TWI475611B - Selective etching and formation of xenon difluoride - Google Patents

Selective etching and formation of xenon difluoride Download PDF

Info

Publication number
TWI475611B
TWI475611B TW099101850A TW99101850A TWI475611B TW I475611 B TWI475611 B TW I475611B TW 099101850 A TW099101850 A TW 099101850A TW 99101850 A TW99101850 A TW 99101850A TW I475611 B TWI475611 B TW I475611B
Authority
TW
Taiwan
Prior art keywords
chamber
xef
plasma
plasma generator
gas
Prior art date
Application number
TW099101850A
Other languages
Chinese (zh)
Other versions
TW201029065A (en
Inventor
Dingjun Wu
Eugene Joseph Karwacki
Anupama Mallikarjunan
Andrew David Johnson
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/360,588 external-priority patent/US8278222B2/en
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201029065A publication Critical patent/TW201029065A/en
Application granted granted Critical
Publication of TWI475611B publication Critical patent/TWI475611B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Description

選擇性蝕刻及二氟化氙的形成Selective etching and formation of antimony difluoride 相關申請的交叉引用Cross-reference to related applications

本申請是提交於2005年11月22日的題為“SELECTIVE ETCHING OF TITANIUM NITRIDE WITH XENON DIFLUORIDE”的U.S.專利申請系列號No.11/285,056的部分延續。This application is a continuation-in-part of U.S. Patent Application Serial No. 11/285,056, filed on Nov. 22, 2005, entitled " SELECTIVE ETCHING OF TITANIUM NITRIDE WITH XENON DIFLUORIDE.

本發明涉及選擇性蝕刻和二氟化氙的形成。This invention relates to selective etching and the formation of antimony difluoride.

在電子工業中已開發出各種沉積技術,其中將選定材料沉積於目標基材上以製造電子元件比如半導體。一種沉積方法是化學氣相沉積(CVD),其中氣體反應劑被導入至經加熱的加工腔室(chamber)中得到被沉積於期望基材上的膜。CVD的一個亞型被稱作電漿增強CVD(PECVD),其中電漿在CVD加工腔室中建立。Various deposition techniques have been developed in the electronics industry in which selected materials are deposited on a target substrate to fabricate electronic components such as semiconductors. One deposition method is chemical vapor deposition (CVD) in which a gaseous reactant is introduced into a heated processing chamber to obtain a film that is deposited on a desired substrate. One subtype of CVD is known as plasma enhanced CVD (PECVD), in which plasma is built up in a CVD processing chamber.

通常,所有沉積方法均造成膜和顆粒材料累積在不同於目標基材的表面上,即,沉積材料也聚集在沉積方法中使用的壁、工具表面、基座(susceptor)和其他設備上。任何聚集在壁、工具表面、基座和其他設備上的材料、膜等均被認為是污染物,並可能導致電子產品元件中的缺陷。Generally, all deposition methods result in the accumulation of film and particulate material on a surface other than the target substrate, i.e., the deposited material also collects on the walls, tool surfaces, susceptors, and other equipment used in the deposition process. Any material, film, etc. that collects on walls, tool surfaces, pedestals, and other equipment are considered contaminants and can cause defects in electronic product components.

普遍認同沉積腔室、工具和設備必須定期地清潔以除去不需要的污染性沉積材料。通常優選的清潔沉積腔室、工具和設備的方法包括使用全氟化的化合物(PFC),例如C2 F6 、CF4 、C3 F8 、SF6 和NF3 來作為蝕刻劑清潔劑。在這些清潔操作中,正常由過程氣體攜帶的化學活性氟物種(species)將不需要的污染性殘渣轉化為揮發性產物。然後,揮發性產物被過程氣體吹掃出反應器。It is generally accepted that deposition chambers, tools, and equipment must be periodically cleaned to remove unwanted contaminating deposition materials. Generally preferred method of cleaning deposition chambers, tools and equipment include the use of perfluorinated compounds (the PFC), for example, C 2 F 6, CF 4, C 3 F 8, SF 6 and NF 3 as an etchant cleaning agents. In these cleaning operations, chemically active fluorine species normally carried by the process gas convert unwanted contaminating residues into volatile products. The volatile product is then purged of the reactor by the process gas.

離子注入用在積體電路製造中以精確地將控制量的摻雜劑雜質導入至半導體晶片中,並且其是微電子/半導體生產中的重要方法。在理想情形中,所有原料分子會被電離並提取,但實際上卻發生一定量原料的分解,這造成在離子源區域內的表面上的、或者離子注入工具的部件,比如低壓絕緣子和高壓元件上的沉積和污染。已知的污染殘渣是矽、硼、磷、鍺或砷。將成為離子注入領域的重要進步的是,提供用於有效地、選擇性除去在注入過程中沉積於注入機(implanter)各處,特別是離子源區域內的不需要殘渣的原位清潔方法。該原位清潔會增強工作人員安全並有助於注入設備的穩定、連續操作。將氣相反應性鹵化物組合物,例如XeF2 、NF3 、F2 、XeF6 、SF6 、C2 F6 、IFs或IF7導入至被污染的部件以充足的時間並在充分的條件下以從元件至少部分地除去殘渣,並且以下述方式進行,即,相對於構建離子注入機的元件的材料選擇性地除去殘渣。Ion implantation is used in integrated circuit fabrication to accurately introduce a controlled amount of dopant impurities into a semiconductor wafer and is an important method in microelectronic/semiconductor production. In an ideal situation, all of the material molecules are ionized and extracted, but in practice a certain amount of material is decomposed, which results in components on the surface within the ion source region, or components of the ion implantation tool, such as low voltage insulators and high voltage components. Deposition and pollution. Known pollution residues are barium, boron, phosphorus, antimony or arsenic. An important advancement in the field of ion implantation would be to provide an in-situ cleaning process for efficiently and selectively removing unwanted residues deposited throughout the implanter, particularly in the ion source region. This in-situ cleaning enhances worker safety and aids in stable, continuous operation of the injection equipment. Introducing a gas phase reactive halide composition, such as XeF 2 , NF 3 , F 2 , XeF 6 , SF 6 , C 2 F 6 , IFs or IF7, into the contaminated component for a sufficient time and under sufficient conditions The residue is at least partially removed from the element and is carried out in such a manner that the residue is selectively removed with respect to the material of the element constructing the ion implanter.

在微型電動機械系統(MEMS)中,形成犧牲層(通常具有非晶矽)和保護層的混合物,由此形成器件結構層。選擇性地除去該犧牲材料是用於結構釋放蝕刻(release etching)方法的關鍵步驟,其中需要各向同性地除去數微米的犧牲材料而不損害其他的結構。已瞭解的是該蝕刻方法是不蝕刻保護層的選擇性蝕刻方法。在MEMS中使用的典型犧牲材料為:矽、鉬、鎢、鈦、鋯、鉿、釩、鉭、鈮。曲型保護材料是鎳、鋁、光阻劑、氧化矽、氮化矽。In a micro electromechanical system (MEMS), a mixture of a sacrificial layer (typically having an amorphous germanium) and a protective layer is formed, thereby forming a device structure layer. Selective removal of the sacrificial material is a critical step in the method of structural release etching in which isotropic removal of a few microns of sacrificial material is required without compromising other structures. It is understood that the etching method is a selective etching method that does not etch the protective layer. Typical sacrificial materials used in MEMS are: tantalum, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, niobium, tantalum. The curved protective material is nickel, aluminum, photoresist, cerium oxide, tantalum nitride.

為了有效地除去犧牲材料,釋放蝕刻使用蝕刻劑氣體,其能夠進行犧牲層的自發性化學蝕刻,優選為除去犧牲層的各向同性蝕刻。因為二氟化氙的各向同性蝕刻效果強,故使用二氟化氙(XeF2 )作為橫向蝕刻方法(lateral etching process)的蝕刻劑。In order to effectively remove the sacrificial material, the release etch uses an etchant gas that is capable of performing a spontaneous chemical etch of the sacrificial layer, preferably an isotropic etch that removes the sacrificial layer. Since the isotropic etching effect of antimony difluoride is strong, xenon difluoride (XeF 2 ) is used as an etchant for a lateral etching process.

然而,二氟化氙昂貴,且是難以處理的材料。二氟化氙與空氣、光或水蒸氣(濕氣)接觸而不穩定。所有的氟化氙都必須防止接觸濕氣、光和空氣以避免形成三氧化氙和氟化氫。三氧化氙是危險的***性無色、非揮發性固體。氟化氫不僅危險而且還降低蝕刻效率。However, antimony difluoride is expensive and is a material that is difficult to handle. Antimony difluoride is unstable in contact with air, light or water vapor (moisture). All barium fluoride must be protected from moisture, light and air to avoid the formation of antimony trioxide and hydrogen fluoride. Antimony trioxide is a dangerous, explosive, colorless, non-volatile solid. Hydrogen fluoride is not only dangerous but also reduces etching efficiency.

此外,二氟化氙是具有低蒸氣壓的固體,這使得難以將二氟化氙運送至加工腔室。Furthermore, antimony difluoride is a solid with a low vapor pressure which makes it difficult to transport the antimony difluoride to the processing chamber.

以下參考文獻舉例說明了用於如下的方法:半導體生產中的膜沉積,以及沉積腔室、工具和設備的清潔,和基材的蝕刻、MEMS中犧牲層的蝕刻,和微電子器件製造中所用離子注入系統中的離子源區域的清潔:US 5,421,957公開了用於低溫清潔冷壁CVD腔室的方法。該方法在無濕氣條件下原位進行。各種材料比如磊晶矽、多晶矽、氮化矽、氧化矽和耐火金屬、鈦、鎢和它們的矽化物的膜的清潔使用蝕刻劑氣體例如三氟化氮、三氟化氯、六氟化硫和四氟化碳來實現。The following references illustrate methods for film deposition in semiconductor production, as well as cleaning of deposition chambers, tools and equipment, and etching of substrates, etching of sacrificial layers in MEMS, and in the fabrication of microelectronic devices. Cleaning of the ion source region in an ion implantation system: US 5,421,957 discloses a method for cryogenically cleaning a cold wall CVD chamber. The process is carried out in situ without moisture. The cleaning of films of various materials such as epitaxial germanium, polycrystalline germanium, tantalum nitride, tantalum oxide and refractory metals, titanium, tungsten and their tellurides uses etchant gases such as nitrogen trifluoride, chlorine trifluoride, sulfur hexafluoride. And carbon tetrafluoride to achieve.

US 6,051,052公開了在離子增強電漿中使用氟化合物例如NF3 和C2 F6 作為蝕刻劑的導體材料的各向異性蝕刻。所述蝕刻劑由含氟化學製品和選自He、Ar、Xe和Kr的稀有氣體組成。試驗基材包括與基材連接的積體電路。在一個實施方案中,將鈦層形成在絕緣層上並與鎢插塞(tungsten plug)接觸。然後,將鋁-銅合金層形成在該鈦層之上,並在其上形成氮化鈦層。US 6,051,052 discloses an anisotropic etch of a conductor material using fluorine compounds such as NF 3 and C 2 F 6 as etchants in ion-enhanced plasma. The etchant consists of a fluorine-containing chemical and a rare gas selected from the group consisting of He, Ar, Xe, and Kr. The test substrate includes an integrated circuit that is connected to the substrate. In one embodiment, a layer of titanium is formed on the insulating layer and in contact with a tungsten plug. Then, an aluminum-copper alloy layer is formed on the titanium layer, and a titanium nitride layer is formed thereon.

US 2003/0047691公開了利用電子束加工來蝕刻或沉積材料或者修補在光微影遮罩(lithography mask)中的缺陷。在一個實施方案中,二氟化氙通過電子束啟動以蝕刻鎢和氮化鉭。US 2003/0047691 discloses the use of electron beam processing to etch or deposit materials or to repair defects in a lithography mask. In one embodiment, the antimony difluoride is activated by an electron beam to etch tungsten and tantalum nitride.

GB 2,183,204A公開了利用NF3 來原位清潔CVD沉積硬體、船、管和石英器皿以及半導體晶片。將NF3 導入至超過350℃的經加熱反應器足夠的時間以除去氮化矽、多晶矽、矽化鈦、矽化鎢、耐火金屬和矽化物。GB 2,183,204 A discloses the use of NF 3 for in situ cleaning of CVD deposition hardware, boats, tubes and quartz vessels and semiconductor wafers. The NF 3 is introduced into a heated reactor over 350 ° C for a sufficient time to remove tantalum nitride, polycrystalline germanium, titanium telluride, tungsten germanium, refractory metals and tellurides.

Holt,J. R.等,Comparison of the Interactions of XeF2 and F2 with Si(100)(2X1),J. Phys. Chem. B 2002,106,8399-8406公開了在250K時XeF2 與Si(100)(2X1)的相互作用,並提供了與F2 的比較。發現XeF2 在室溫下快速並各向同性地與Si反應。Holt, JR et al, Comparison of the Interactions of XeF 2 and F 2 with Si (100) (2X1), J. Phys. Chem. B 2002, 106, 8399-8406 discloses XeF 2 and Si (100) at 250K (2X1) interaction and provides a comparison with F 2 . XeF 2 was found to react rapidly and isotropically with Si at room temperature.

Chang,F. I.,Gas-Phase Silicon Micromachining With Xenon Difluoride,SPIE Vol. 2641/117-127公開了利用XeF2 作為氣相、室溫、各向同性的矽蝕刻劑,並且指出其對用於微電動機械系統的許多材料比如鋁、光阻劑和二氧化矽具有高選擇性。其還在119頁指出,在矽基材上形成圖案時,XeF2 具有對二氧化矽以及銅、金、鈦-鎳合金和丙烯酸類(acrylic)的大於1000:1的選擇性。Chang, FI, Gas-Phase Silicon Micromachining With Xenon Difluoride, SPIE Vol. 2641/117-127 discloses the use of XeF 2 as a gas phase, room temperature, isotropic cerium etchant, and indicates its use for microelectromechanical Many materials of the system such as aluminum, photoresist and cerium oxide have high selectivity. It is also indicated on page 119 that XeF 2 has a selectivity to cerium oxide as well as copper, gold, titanium-nickel alloys and acrylics of greater than 1000:1 when patterned on the ruthenium substrate.

Isaac,W.C.等,Gas Phase Pulse Etching of Silicon For MEMS With Xenon Difluoride,1999 IEEE,1637-1642公開了利用XeF2 作為用於矽的各向同性氣相蝕刻劑。報導了XeF2 對積體電路製造中的許多金屬、電介質和聚合物具有高選擇性。該作者也在1637頁指出,XeF2 不蝕刻鋁、鉻、氮化鈦、鎢、二氧化矽和碳化矽。還觀測到了分別對於鉬:矽;以及鈦:矽的顯著蝕刻。Isaac, WC, et al., Gas Phase Pulse Etching of Silicon For MEMS With Xenon Difluoride, 1999 IEEE, 1637-1642 discloses the use of XeF 2 as an isotropic vapor phase etchant for ruthenium. XeF 2 is reported to have high selectivity for many metals, dielectrics, and polymers in the fabrication of integrated circuits. The author also points out on page 1637 that XeF 2 does not etch aluminum, chromium, titanium nitride, tungsten, cerium oxide and tantalum carbide. Significant etchings for molybdenum: tantalum; and titanium: tantalum were also observed.

Winters等,The Etching of Silicon With XeF2 Vapor,Appl. Phys. Lett. 34(1)1979年1月1日,70-73公開了利用CF4 的氟烴電漿誘導離解中產生的F原子和CF3 基團來蝕刻固體矽以製造揮發性SiF4 物種。該論文訴諸於利用XeF2 以在300K在1.4×10-2 托下蝕刻矽。其他實驗顯示XeF2 也快速地蝕刻鉬、鈦和或許鎢。SiO2 、Si3 N4 和SiC的蝕刻使用XeF2 並不有效,但在電子或離子轟擊的存在下蝕刻卻有效。故作者斷定這些材料的蝕刻不僅需要F原子而且還需要輻射或高溫。Winters et al, The Etching of Silicon With XeF 2 Vapor, Appl. Phys. Lett. 34 (1) January 1, 1979, 70-73 discloses the use of CF 4 fluorocarbon plasma to induce F atoms generated in dissociation and The CF 3 group is used to etch solid ruthenium to produce volatile SiF 4 species. The paper resorts to the use of XeF 2 to etch ruthenium at 300 x at 1.4 x 10 -2 Torr. Other experiments have shown that XeF 2 also rapidly etches molybdenum, titanium and perhaps tungsten. The etching of SiO 2 , Si 3 N 4 and SiC is not effective using XeF 2 , but etching is effective in the presence of electron or ion bombardment. Therefore, the authors conclude that etching of these materials requires not only F atoms but also radiation or high temperatures.

US 6870654和US 7078293兩者均公開了結構釋放蝕刻方法,其通過使用具有氟基團或氯基團的蝕刻劑來代替二氟化氙,避免了因使用二氟化氙而造成的困難。然而,蝕刻效果不如使用二氟化氙時有效。因此,US 6870654和US 7078293公開了用於促進結構釋放蝕刻方法的特殊結構,以使加工時間等與二氟化氙的相當。Both US Pat. No. 6,870,654 and US Pat. No. 7,078, 293 disclose a structure release etch process which utilizes an etchant having a fluorine group or a chlorine group instead of ruthenium difluoride, avoiding the difficulty caused by the use of ruthenium difluoride. However, the etching effect is not as effective as when using antimony difluoride. Thus, US 6870654 and US Pat. No. 7,078,293 disclose specific structures for promoting the structure release etching process so that the processing time and the like are comparable to that of antimony difluoride.

US 20060086376公開了在微電子器件的製造中,利用XeF2 來從離子注入機的元件清潔殘渣(矽、硼、磷、鍺或砷)。US 20060086376 discloses the use of XeF 2 to clean residues (bismuth, boron, phosphorus, antimony or arsenic) from elements of an ion implanter in the manufacture of microelectronic devices.

具體地,US 20060086376涉及從真空腔室和含於其中的元件原位除去殘渣,其通過將所述真空腔室和/或元件與氣相反應性鹵化物組合物例如XeF2 接觸充分的時間並在充分的條件下,以從元件至少部分地除去殘渣,並且以下述方式進行,即,相對於構建離子注入機的元件的材料選擇性地除去殘渣。In particular, US 20060086376 relates to the in situ removal of residues from a vacuum chamber and components contained therein by contacting the vacuum chamber and/or element with a gas phase reactive halide composition such as XeF 2 for a sufficient period of time and Under sufficient conditions, the residue is at least partially removed from the element and is carried out in such a manner that the residue is selectively removed with respect to the material of the element constructing the ion implanter.

一個工業目的是找到可用於從經二氧化矽(SiO2 )和氮化矽(SiN)塗覆的表面除去難以除去的氮化鈦(TiN)膜的新型蝕刻劑。這類表面見於半導體沉積腔室,特別是石英腔室和石英器皿、半導體工具和設備的壁中。許多傳統的攻擊TiN膜的基於氟的蝕刻劑也攻擊SiO2 和SiN表面,因此不可接受於用於從半導體沉積腔室和設備除去TiN沉積產物。One industrial objective is to find new etchants that can be used to remove hard-to-remove titanium nitride (TiN) films from the surfaces coated with cerium oxide (SiO 2 ) and tantalum nitride (SiN). Such surfaces are found in the walls of semiconductor deposition chambers, particularly quartz chambers and quartz vessels, semiconductor tools and equipment. Many conventional fluorine-based etchants that attack TiN films also attack the SiO 2 and SiN surfaces and are therefore unacceptable for removing TiN deposition products from semiconductor deposition chambers and equipment.

另一個工業目的是提供用於從二氧化矽(石英)表面選擇性除去矽的方法,所述表面為比如普遍見於半導體沉積腔室和半導體工具以及MEMS中的器件中的那些。Another industrial purpose is to provide a method for the selective removal of germanium from the surface of a ceria (quartz) such as those commonly found in semiconductor deposition chambers and semiconductor tools and in MEMS.

又一個工業目的在於提供用於現場(on site)生產或形成二氟化氙的方法,如同降低物主成本所需的。Yet another industrial objective is to provide a method for on-site production or formation of antimony difluoride, as required to reduce the cost of the owner.

本發明涉及改進的方法,其用於從二氧化矽(石英)表面比如普遍見於半導體沉積腔室和半導體工具的表面以及普遍見於半導體工具部件等的氮化矽(SiN)表面,選擇性除去氮化鈦(TiN)膜和沉積產物。在除去污染表面的不期望成分的基礎方法中,將蝕刻劑與所述不期望成分在接觸區接觸,並將該不期望成分轉化為揮發性物種。然後將該揮發性物種從接觸區除去。用於從接觸區中選自SiO2 和SiN的表面除去不期望的TiN沉積材料的基礎方法中的改進在於使用二氟化氙(XeF2 )作為蝕刻劑。控制條件以使所述選自SiO2 和SiN的表面不被轉化為揮發性組份。The present invention relates to an improved method for selectively removing nitrogen from a ceria (quartz) surface such as a surface commonly found on semiconductor deposition chambers and semiconductor tools, and on a tantalum nitride (SiN) surface commonly found in semiconductor tool components and the like. Titanium (TiN) film and deposition products. In a basic method of removing undesirable components of a contaminated surface, an etchant is contacted with the undesired component in a contact zone and the undesired component is converted to a volatile species. The volatile species are then removed from the contacting zone. An improvement in the basic method for removing an undesired TiN deposition material from a surface selected from the group consisting of SiO 2 and SiN in the contact region is to use xenon difluoride (XeF 2 ) as an etchant. The conditions are controlled such that the surface selected from the group consisting of SiO 2 and SiN is not converted into a volatile component.

就選擇性蝕刻很難從半導體沉積腔室(有時稱作反應腔室)、工具部件和設備等除去的TiN膜和沉積材料而言,顯著優點包括:從見於沉積腔室的清潔中的經石英即SiO2 ,和SiN塗覆的表面選擇性除去TiN膜的能力;在適度溫度下從石英表面除去TiN膜的能力;和啟動遠端電漿(remote plasma)中的全氟蝕刻劑以從SiO2 和SiN表面除去TiN膜而沒有正常情況下因遠端電漿中的氟原子攻擊所引起的不良效果的能力。Significant advantages in terms of selective etching of TiN films and deposition materials that are difficult to remove from semiconductor deposition chambers (sometimes referred to as reaction chambers), tool components and equipment, etc. include: from the cleaning found in the deposition chamber The ability of quartz, ie SiO 2 , and SiN coated surfaces to selectively remove TiN films; the ability to remove TiN films from quartz surfaces at moderate temperatures; and the initiation of perfluoro etchants in remote plasma to The surface of SiO 2 and SiN removes the TiN film without the ability to adversely affect the fluorine atoms in the far-end plasma under normal conditions.

本發明也公開用於相對於第二材料選擇性蝕刻第一材料的方法,包含:在腔室中提供含有第一材料和第二材料的結構;向所述腔室提供包含氙(Xe)、惰性氣體和含氟化學品的蝕刻劑氣體;將所述結構與所述蝕刻劑氣體接觸並將所述第一材料選擇性地轉化為揮發性物種;和從所述腔室除去所述揮發性物種;其中,所述第一材料選自矽、鉬、鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、鍺、砷和它們的混合物;且所述第二材料選自二氧化矽、氮化矽、鎳、鋁、TiNi合金、光阻劑、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽和它們的混合物。The present invention also discloses a method for selectively etching a first material relative to a second material, comprising: providing a structure comprising a first material and a second material in a chamber; providing the chamber with xenon (Xe), An etchant gas of an inert gas and a fluorochemical; contacting the structure with the etchant gas and selectively converting the first material to a volatile species; and removing the volatility from the chamber a species; wherein the first material is selected from the group consisting of ruthenium, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, niobium, tantalum, boron, phosphorus, antimony, arsenic, and mixtures thereof; and the second material is selected from the group consisting of Cerium oxide, tantalum nitride, nickel, aluminum, TiNi alloy, photoresist, phosphonium phosphate glass, borophosphonate glass, polyimine, gold, copper, platinum, chromium, aluminum oxide, tantalum carbide and a mixture of them.

本發明也公開在腔室中形成二氟化氙的方法,包含:向所述腔室提供選自NF3 、C2 F6 、CF4 、C3 F8 、SF6 、從上游電漿產生器產生的含F原子的電漿和它們的混合物的含氟化學品;和通過在所述腔室中使氙與所述含氟化學品反應而形成二氟化氙。The invention also discloses a method of forming ruthenium difluoride in a chamber, comprising: providing the chamber with NF 3 , C 2 F 6 , CF 4 , C 3 F 8 , SF 6 , generated from upstream plasma Fluorine chemicals of the F atom-containing plasma and their mixtures produced by the apparatus; and bismuth difluoride formed by reacting rhodium with the fluorochemical in the chamber.

氮化鈦(TiN)的沉積普遍實踐於製造積體電路、電器元件等的電子工業中。在沉積方法中,一些TiN沉積在不同於目標基材表面的表面上,例如在沉積腔室內的壁和表面上。已發現XeF2 作為用於TiN污染的二氧化矽(SiO2 )和氮化矽(SiN)表面的選擇性蝕刻劑有效。基於該發現,人們可以使用二氟化氙(XeF2 )作為蝕刻劑來除去不需要的TiN膜和沉積材料污染的表面,所述表面為比如見於塗覆有或內襯有二氧化矽(石英)或氮化矽的半導體反應器或沉積腔室、工具、設備、部件和晶片中的那些。The deposition of titanium nitride (TiN) is generally practiced in the electronics industry for manufacturing integrated circuits, electrical components, and the like. In the deposition process, some TiN is deposited on a surface other than the surface of the target substrate, such as on walls and surfaces within the deposition chamber. XeF 2 has been found to be effective as a selective etchant for TiN-contaminated ceria (SiO 2 ) and tantalum nitride (SiN) surfaces. Based on this finding, one can use xenon difluoride (XeF 2 ) as an etchant to remove unwanted TiN films and surfaces contaminated by deposition materials, such as those coated or lined with cerium oxide (quartz). Or a semiconductor reactor or a deposition chamber, tools, equipment, components, and wafers of tantalum nitride.

在從SiO2 和SiN表面比如沉積腔室中的表面除去不需要的TiN殘渣時,在接觸區中在用於將TiN轉化為揮發性TiF4 然後再從所述接觸區除去該揮發性物種的條件下將XeF2 與所述表面接觸。經常,將XeF2 與惰性氣體例如N2 、Ar、和He等一起添加。Upon removal of unwanted TiN residue from surfaces in the SiO 2 and SiN surfaces, such as deposition chambers, in the contact zone is used to convert TiN to volatile TiF 4 and then remove the volatile species from the contact zone. XeF 2 is contacted with the surface under conditions. Often, XeF 2 is added together with an inert gas such as N 2 , Ar, He, and the like.

在進行從SiN和SiO2 表面除去TiN沉積材料的方法中,XeF2 可以在導入至接觸區之前預形成,或者為了本發明的目的,且由此處定義,XeF2 可通過兩種方法形成。In the method of removing the TiN deposition material from the surface of SiN and SiO 2 , XeF 2 may be preformed prior to introduction into the contact region, or for the purposes of the present invention, and as defined herein, XeF 2 may be formed by two methods.

在一個原位形成XeF2 的實施方案中,將氙(Xe)添加至含氟化學品並裝入遠端電漿產生器。在該處,Xe與存在於所得遠端電漿中的F原子反應而形成XeF2In an embodiment where XeF 2 is formed in situ, xenon (Xe) is added to the fluorochemical and loaded into the remote plasma generator. Here, Xe reacts with the F atoms present in the resulting far-end plasma to form XeF 2 .

在另一個實施方案,即所述原位實施方案的變形中,將含氟化學品添加至遠端電漿產生器,然後再將Xe和含F原子的遠端電漿添加至遠端電漿產生器下游的腔室。在該處,Xe與F原子反應而在腔室中形成XeF2 。所述腔室可以是任意類型的腔室,比如但不限定於加工腔室、沉積腔室、清潔腔室、反應器和電漿產生器。In another embodiment, a variation of the in-situ embodiment, a fluorochemical is added to the remote plasma generator, and then Xe and a far-end plasma containing F atoms are added to the remote plasma. a chamber downstream of the generator. Here, Xe reacts with the F atom to form XeF 2 in the chamber. The chamber may be any type of chamber such as, but not limited to, a processing chamber, a deposition chamber, a cleaning chamber, a reactor, and a plasma generator.

該用於形成XeF2 的含氟化學品的例示包括F2 ,NF3 ,全氟化碳如C2 F6 、CF4 、C3 F8 ,硫衍生物比如SF6 ,和產生於上游電漿產生器的含F原子的遠程電漿。在優選實施方案中,使用NF3 作為用於形成XeF2 的含氟化學品。Examples of the fluorochemical used to form XeF 2 include F 2 , NF 3 , perfluorocarbons such as C 2 F 6 , CF 4 , C 3 F 8 , sulfur derivatives such as SF 6 , and are generated from upstream electricity. A remote plasma containing F atoms from a slurry generator. In a preferred embodiment, NF 3 is used as the fluorochemical for forming XeF 2 .

所述含氟化學品可以就地產生。例如,使用鹵素產生器就地產生F2 ,然後再將該F2 導入至方法。這將成為減輕氟操作相關危險的可能手段。The fluorochemical can be produced in situ. For example, F 2 is generated in situ using a halogen generator, and then the F 2 is introduced into the process. This will be a possible means of mitigating the risks associated with fluorine operations.

在形成XeF2 的原位方法中可以使用寬範圍的Xe對含氟化學品的比。Xe對含氟化學品的摩爾比依賴於相比於所述遠端電漿中的F原子的濃度所形成的XeF2 的量。Wide range of Xe to fluorine ratio of chemicals can be used in the method of in situ formation of XeF 2. The molar ratio of Xe to fluorochemical depends on the amount of XeF 2 formed compared to the concentration of F atoms in the distal plasma.

並不受理論的束縛,但人們相信遠端電漿充當著用於激發和離解被作為氟源導入的含氟化學品的源。然後氟基團與存在於電漿發生區段緊後面的區段中的Xe反應。除用於激發含氟物種的能量以及Xe之外,該區段的路徑長度也被認為是平衡對於XeF2 的優選和XeF4 的減少中的重要參數。Without being bound by theory, it is believed that the far-end plasma acts as a source of fluorochemicals that are introduced as a source of fluorine for excitation and dissociation. The fluoro group then reacts with Xe present in the section immediately after the plasma generation zone. In addition to the energy used to excite the fluorine-containing species and Xe, the path length of this segment is also considered to be an important parameter in the balance of XeF 2 and XeF 4 reduction.

此外,人們相信如果Xe被導入到電漿激發區段緊後面的空間中,則由於Xe還未被激發而能夠導致XeF4 形成的更進一步減少。熟知氙具有極低的亞穩能態。該亞穩態的形成能夠導致形成在該區段內的XeF2 分子之間另外的碰撞反應。這些碰撞可造成XeF2 離解為XeF和F基團。然後這些物種可導致與其他XeF2 分子的進一步反應以形成XeF4 。因此,通過在電漿激發後導入Xe,不形成Xe亞穩態。因此XeF4 的形成會降低。這公開在第二實施方案,即原位實施方案的變形中,其中Xe被添加至產生於電漿產生器上游的含有F原子的遠程電漿。Furthermore, it is believed that if Xe is introduced into the space immediately behind the plasma excitation section, a further reduction in XeF 4 formation can be caused since Xe has not been excited. It is well known that 氙 has a very low metastable energy state. The formation of this metastable state can result in an additional collision reaction between the XeF 2 molecules formed within the segment. These collisions can cause XeF 2 to dissociate into XeF and F groups. These species can then cause further reaction with other XeF 2 molecules to form XeF 4 . Therefore, Xe metastable state is not formed by introducing Xe after plasma excitation. Therefore, the formation of XeF 4 is lowered. This is disclosed in a second embodiment, a variation of the in-situ embodiment, in which Xe is added to a remote plasma containing F atoms that is generated upstream of the plasma generator.

Xe對含氟化學品的優選摩爾比是1:10至10:1。任選地,可將惰性氣體例如氬包括於XeF2 的遠端電漿發生中,作為調節相對於SiO2 而蝕刻TiN,相對於SiO2 和SiN而蝕刻SiN或Si的選擇性的手段。The preferred molar ratio of Xe to fluorochemical is from 1:10 to 10:1. Optionally, an inert gas such as argon may be included in the distal end of XeF 2 occurs in the plasma, as adjusted with respect to SiO 2 is etched TiN, SiN and SiO 2 with respect to the means of selective etching of SiN or Si.

適於從SiO2 和SiN表面除去TiN的壓力為0.5至50托,優選為1至10托。實現從二氧化矽表面(石英)和SiN表面選擇性蝕刻TiN膜的溫度主要取決於進行該方法的方法。由此,這意味著如果預先形成XeF2 並直接添加至接觸區,溫度應當升高至至少100℃,例如100至800℃,優選150至500℃。用於XeF2 的壓力應當為至少0.1托,例如0.1至20托,優選0.2至10托。與其中蝕刻速率(Si蝕刻)隨溫度增高而減少的現有技術方法相反,此處,蝕刻速率隨溫度增高而增加。認為該溫度增加增大了TiN蝕刻的比率,因為TiF4 在這些條件下是揮發性的且容易從SiO2 和SiN表面除去。較低溫度使得TiF4 物種留在SiO2 和SiN表面的附近,阻礙XeF2 的進攻。The pressure suitable for removing TiN from the surfaces of SiO 2 and SiN is from 0.5 to 50 Torr, preferably from 1 to 10 Torr. The temperature at which the TiN film is selectively etched from the surface of the ceria (quartz) and the surface of the SiN is mainly determined by the method of carrying out the method. Thus, this means that if XeF 2 is formed in advance and added directly to the contact zone, the temperature should be raised to at least 100 ° C, for example 100 to 800 ° C, preferably 150 to 500 ° C. The pressure for XeF 2 should be at least 0.1 Torr, for example 0.1 to 20 Torr, preferably 0.2 to 10 Torr. Contrary to prior art methods in which the etch rate (Si etch) decreases with increasing temperature, here the etch rate increases with increasing temperature. This increase in temperature is believed to increase the ratio of TiN etching because TiF 4 is volatile under these conditions and is easily removed from the SiO 2 and SiN surfaces. Lower temperatures leave the TiF 4 species in the vicinity of the SiO 2 and SiN surfaces, hindering the attack of XeF 2 .

在形成XeF2 的原位方法中,清潔或蝕刻在遠端電漿的存在下進行。溫度在存在遠端電漿時可以為環境溫度至500℃,優選為環境溫度至300℃。In an in-situ method of forming XeF 2 , cleaning or etching is performed in the presence of a remote plasma. The temperature may range from ambient to 500 ° C in the presence of remote plasma, preferably from ambient to 300 ° C.

公開的形成XeF2 的方法為所述原位清潔方法提供了顯著的進步。因為,它們不僅提供以低成本製造XeF2 的方法,它們也提供不需要殘渣的有效的選擇性除去同時不需大的停工,進而降低維護成本。另外,該公開的方法使用高蒸氣壓氣體而不使用低蒸氣壓固體。由於更高的氣體流量因而這改善生產率,並因而可得到更高的蝕刻速率。The disclosed method of forming XeF 2 provides a significant advancement in the in situ cleaning process. Because they not only provide a method of manufacturing XeF 2 at a low cost, they also provide efficient selective removal without residue without requiring large downtime, thereby reducing maintenance costs. Additionally, the disclosed method uses a high vapor pressure gas without the use of a low vapor pressure solid. This improves productivity due to higher gas flow rates and thus a higher etch rate.

源於使用該公開的形成XeF2 的方法的進一步的利益在於,除了XeF2 之外還提供一些可能有助於促進除去僅與XeF2 接觸時可能不反應的殘渣的游離氟自由基。這對所有選擇性清潔/蝕刻應用都是有利的,所述應用比如清潔塗覆有在其上沉積有某些不需要殘渣的SiO2 的部件和半導體工具;MEMS中犧牲層的蝕刻,以及在微電子器件的製造中使用的離子注入系統的離子源區域中的殘渣清潔。A further benefit stemming from the use of the disclosed method of forming XeF 2 is that in addition to XeF 2 some free fluorine radicals may be provided which may help to promote the removal of residues which may not react when only in contact with XeF 2 . This is advantageous for all selective cleaning/etching applications such as cleaning and coating of components and semiconductor tools on which SiO 2 that does not require residue is deposited; etching of sacrificial layers in MEMS, and The residue in the ion source region of the ion implantation system used in the manufacture of the microelectronic device is cleaned.

以下實施例被提供以例示本發明的各種實施方案,而不欲限制其範圍。The following examples are provided to illustrate various embodiments of the invention and are not intended to limit the scope thereof.

實施例1Example 1

在各種溫度和壓力下XeF2 在沉積材料的蝕刻中的效力Efficacy of XeF 2 in etching of deposited materials at various temperatures and pressures

在本實施例中,使用XeF2 作為蝕刻劑,在各種溫度和壓力下測定了對於TiN、SiO2 和SiN的蝕刻速率。試驗樣品由塗覆有TiN、SiO2 和SiN薄膜的矽晶片製備。蝕刻速率通過所述薄膜厚度在初始膜厚度和定時暴露於蝕刻或加工條件後的膜厚度之間的變化來計算。In the present embodiment, the etching rates for TiN, SiO 2 and SiN were measured at various temperatures and pressures using XeF 2 as an etchant. The test samples were prepared from tantalum wafers coated with TiN, SiO 2 and SiN films. The etch rate is calculated by the change in film thickness between the initial film thickness and the film thickness after exposure to etching or processing conditions.

為了實施蝕刻,將大量XeF2 氣體從氣瓶經由從未用過的遠端電漿產生器導入反應器腔室。該XeF2 氣體在反應器腔室中的壓力通過一經達到期望壓力就關閉來自所述氣瓶的氣流而保持恒定。To effect the etching, a large amount of XeF 2 gas is introduced from the cylinder into the reactor chamber via an unused remote plasma generator. The pressure of the XeF 2 gas in the reactor chamber is maintained constant by closing the gas flow from the cylinder as soon as the desired pressure is reached.

將試驗試樣置於用來維持不同基材溫度的基座加熱器(pedestal heater)的表面上。結果示於以下表I。The test specimens were placed on the surface of a pedestal heater used to maintain the temperature of the different substrates. The results are shown in Table I below.

以上結果表明在0.5至1托的壓力下,XeF2 有效於在150至300℃的升高溫度下蝕刻TiN膜,而在25℃的室溫下無效。令人意外的是,XeF2 在所採用的溫度和壓力的任一下並不蝕刻SiO2 或SiN表面,卻在這些溫度下蝕刻TiN膜。因為XeF2 在這些升高的溫度下不能蝕刻SiO2 和SiN表面,卻蝕刻TiN膜,故斷定XeF2 可被用作從SiO2 和SiN表面選擇性蝕刻TiN膜和顆粒的試劑。The above results indicate that XeF 2 is effective at etching the TiN film at an elevated temperature of 150 to 300 ° C at a pressure of 0.5 to 1 Torr, and is ineffective at room temperature of 25 ° C. Surprisingly, XeF 2 does not etch the SiO 2 or SiN surface at any of the temperatures and pressures employed, but etches the TiN film at these temperatures. Since XeF 2 cannot etch the SiO 2 and SiN surfaces at these elevated temperatures, but etches the TiN film, it is concluded that XeF 2 can be used as an agent for selectively etching the TiN film and particles from the SiO 2 and SiN surfaces.

實施例2Example 2

矽相對於SiO2 的選擇性蝕刻Selective etching of germanium relative to SiO 2

在該實施例中,將MKS Astron遠端電漿產生器安裝在反應器腔室的頂部。該Astron產生器的出口和樣品試樣間的距離約為六英寸。打開遠端電漿產生器,但關閉反應器腔室中的基座加熱器。將該腔室保持於室溫。對使用遠端電漿情況下Si和SiO2 基材兩者的蝕刻速率進行測量。In this embodiment, a MKS Astron remote plasma generator is mounted on top of the reactor chamber. The distance between the outlet of the Astron generator and the sample sample is approximately six inches. Turn on the remote plasma generator, but turn off the pedestal heater in the reactor chamber. The chamber was kept at room temperature. The etch rate of both the Si and SiO 2 substrates in the case of remote plasma was measured.

針對所述遠端電漿的過程氣體是NF3 ,並且其和各種量的第二氣體物流進行混合。所述第二氣體物流包括Xe、氬(Ar)或它們的組合。將流至反應器腔室的總氣體流率固定於400sccm,且將NF3 流率固定於80sccm。一邊將第二氣體物流的總流率保持於320sccm,一邊將Xe流率相對於第二氣體物流總流率的比率(Xe/(Ar+Xe))在0(僅Ar作為所述另外的過程氣體)和1(僅Xe作為所述另外的過程氣體)之間進行變化。將Si基材蝕刻的結果示於表1,並將SiO2 基材蝕刻的結果示於表2。Process for the distal end of the plasma gas is NF 3, and which a second gas stream and a mixed various amounts. The second gas stream comprises Xe, argon (Ar), or a combination thereof. The total gas flow rate to the reactor chamber was fixed at 400 sccm and the NF 3 flow rate was fixed at 80 sccm. While maintaining the total flow rate of the second gas stream at 320 sccm, the ratio of the Xe flow rate to the total flow rate of the second gas stream (Xe / (Ar + Xe)) is at 0 (Ar only as the additional process) The gas) and 1 (Xe only as the additional process gas) vary. The results of etching the Si substrate are shown in Table 1, and the results of etching the SiO 2 substrate are shown in Table 2.

如圖1所示,Xe添加至所述過程氣體NF3 中,提高了Si蝕刻速率。令人意外的是,Xe與NF3 一起添加至遠端電漿產生器會產生提高Si蝕刻的電漿。As shown, Xe gas is added 1 to the NF 3 process, increasing the Si etch rate. Surprisingly, the addition of Xe to NF 3 to the remote plasma generator produces a plasma that enhances the Si etch.

圖2顯示Xe添加至NF3 /氬電漿抑制了SiO2 基材蝕刻速率,這是令人意外的。存在於遠端電漿中的F原子通常進攻以SiO2 為基礎的基材。Figure 2 shows that the addition of Xe to NF 3 /argon plasma inhibits the etch rate of the SiO 2 substrate, which is surprising. Plasma present in the distal end of the F atoms is usually attack of SiO 2 based substrate.

連同圖1的分析,推測Xe添加至電漿導致了Si基材蝕刻的提高,但卻如實施例1所指出,減少或抑制了SiO2 基材蝕刻。In conjunction with the analysis of Figure 1, it is speculated that the addition of Xe to the plasma results in an increase in the etching of the Si substrate, but as indicated in Example 1, the etching of the SiO 2 substrate is reduced or suppressed.

圖3被提供來比較添加Xe至NF3 過程氣體對於Si相對於SiO2 的蝕刻選擇性的影響。如通過比較圖1和2中的結果可見,圖3顯示Si相對於SiO2 的蝕刻選擇性隨著Xe在過程氣體中的量的增加而增大。特別地,該選擇性隨著Xe在所述氣體物流中從0%增加至100%,而從30增大至250(>8倍)。Figure 3 is provided to compare the effect of adding Xe to NF 3 process gases on the etch selectivity of Si relative to SiO 2 . As shown by comparing FIG. 2 and the results can be seen in 1, Figure 3 shows the Si etch selectivity with respect to SiO 2 is increased as the amount of Xe in the process gas is increased. In particular, this selectivity increases from 0% to 100% in the gas stream, and from 30 to 250 (>8 times).

MEMS中的曲型犧牲材料是:矽、鉬、鎢、鈦、鋯、鉿、釩、鉭、鈮。曲型的保護材料是鎳、鋁、光阻劑、氧化矽、氮化矽。The curved sacrificial materials in MEMS are: tantalum, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, niobium, tantalum. The curved protective material is nickel, aluminum, photoresist, cerium oxide, tantalum nitride.

實施例3Example 3

鉬(Mo)相對於SiO2 的選擇性蝕刻Selective etching of molybdenum (Mo) relative to SiO 2

使用長2.5m直徑25cm的大圓柱形SS蝕刻腔室來測定MEMS應用中的另一普通犧牲材料:鉬(Mo)的蝕刻速率。使用水冷MKS Astron AX 7670 6 slpm單元(unit)產生遠端電漿。將該電漿源通過10cm長的內徑4cm的輸送管與所述腔室連接。將樣品置於距該管的載入/卸載端2英尺處。Another large sacrificial material in MEMS applications: molybdenum (Mo) etch rate is determined using a large cylindrical SS etching chamber with a length of 2.5 m and a diameter of 25 cm. The remote plasma was generated using a water cooled MKS Astron AX 7670 6 slpm unit. The plasma source was connected to the chamber through a 10 cm long inner diameter 4 cm delivery tube. Place the sample 2 feet from the loading/unloading end of the tube.

在2.75托、NF3 流量275sccm和Xe或Ar流量600sccm下,Mo的蝕刻速率=1.1微米/分鐘。SiO2 的蝕刻速率對於NF3 /Ar氣體混合物為82nm/min,而對於NF3 /Xe混合物為26nm/min。因此,Xe/NF3 混合物的選擇性是Ar/NF3 混合物的選擇性的至少3倍。請注意Mo蝕刻速率被表面氧化物所限制。在採用表面準備處理以破壞其固有的氧化物的情況下,Mo的蝕刻速率能夠提高至>2.7微米/分鐘。The etching rate of Mo = 1.1 μm/min at 2.75 Torr, NF 3 flow rate 275 sccm, and Xe or Ar flow rate 600 sccm. The etch rate of SiO 2 was 82 nm/min for the NF 3 /Ar gas mixture and 26 nm/min for the NF 3 /Xe mixture. Thus, the selectivity of the Xe/NF 3 mixture is at least 3 times greater than the selectivity of the Ar/NF 3 mixture. Please note that the Mo etch rate is limited by the surface oxide. With a surface preparation process to destroy its inherent oxide, the etch rate of Mo can be increased to > 2.7 microns / minute.

實施例4Example 4

經由Xe和NF3 的反應原位形成XeF2 XeF 2 is formed in situ via the reaction of Xe and NF 3

該實施例中沿行了實施例2的步驟。將備有6slpm MKS Astron eX遠端電漿產生器的Applied Materials P5000 DxZ2 PECVD腔室用於傅裏葉變換紅外光譜(FTIR)研究。在環境壓力下在該腔室泵的下游進行了FTIR測量。使用了150℃的路徑長度5.6m的室。儀器解析度為2cm-1The steps of Embodiment 2 are followed in this embodiment. An Applied Materials P5000 DxZ2 PECVD chamber equipped with a 6slpm MKS Astron eX remote plasma generator was used for Fourier Transform Infrared Spectroscopy (FTIR) studies. FTIR measurements were taken downstream of the chamber pump at ambient pressure. A chamber having a path length of 5.6 m at 150 ° C was used. The instrument resolution is 2cm -1 .

圖4顯示在與實施例2中相同的條件下收集到的FTIR光譜:4托的壓力,400sccm的總氣體流量,80sccm的NF3 流量,320sccm的Xe和Ar的總流量。在Xe/NF3 光譜中的500-600cm-1 範圍內觀察到清楚顯著的峰,而Ar/NF3 光譜卻在該區域未顯示峰。551.5cm-1 和570.3cm-1 處的兩個主鋒被鑒定為XeF2 峰。來自XeF3 廠商的對照光譜在位於550.8和566.4cm-1 處顯示峰。4 shows the FTIR spectrum collected under the same conditions as in Example 2: a pressure of 4 Torr, a total gas flow rate of 400 sccm, an NF 3 flow rate of 80 sccm, and a total flow rate of Xe and Ar of 320 sccm. A clearly significant peak was observed in the range of 500-600 cm -1 in the Xe/NF 3 spectrum, while the Ar/NF 3 spectrum showed no peak in this region. Two main fronts at 551.5 cm -1 and 570.3 cm -1 were identified as XeF 2 peaks. The control spectra from the XeF 3 manufacturer showed peaks at 550.8 and 566.4 cm -1 .

圖5顯示,存在Xe和NF3 的情況下,在551、570和590cm-1 處觀察到了3個明顯的峰。XeF2 通過在551、567cm-1 處的峰而被鑒定,而XeF4 在580、590cm-1 處被檢出。因而567cm-1 處的峰是567和580cm-1 峰的組合。所以XeF2 和XeF4 兩者均形成於Xe/NF3 混合物中。從FTIR光譜中沒有發現XeF6 或XeOF4 形成的證據。Figure 5 shows that in the presence of Xe and NF 3 , three distinct peaks were observed at 551, 570 and 590 cm -1 . XeF 2 was identified by a peak at 551, 567 cm -1 , and XeF 4 was detected at 580, 590 cm -1 . Thus the peak at 567cm -1 and 580 cm -1 567 is a combination of peaks. Therefore, XeF 2 and XeF 4 are both formed in Xe / NF 3 mixture. No evidence of XeF 6 or XeOF 4 formation was found from the FTIR spectrum.

表II顯示壓力從0.5至5托進行變化,Xe流速在200-1000sccm進行變化,且NF3 流速從50至500sccm進行變化的幾個條件。在所有情形中,均檢出XeF2 峰。此處記錄了峰值。Table II shows several conditions in which the pressure is varied from 0.5 to 5 Torr, the Xe flow rate is varied from 200 to 1000 sccm, and the NF 3 flow rate is varied from 50 to 500 sccm. In all cases, the XeF 2 peak was detected. The peak is recorded here.

所述峰在一些條件下容易飽和,因此還分析了520.1cm-1 處的XeF2 峰的前沿和603.1cm-1 處的XeF4 峰的後沿。XeF2 /XeF4 比被定義為530cm-1 和603cm-1 處的峰高值的比。The peak was easily saturated under some conditions, so the leading edge of the XeF 2 peak at 520.1 cm -1 and the trailing edge of the XeF 4 peak at 603.1 cm -1 were also analyzed. XeF 2 / XeF 4 is defined as peak height 530cm -1 and 603cm -1 at the ratio.

使用回應表面回歸的實驗結果總結在以下表III中。The experimental results using response surface regression are summarized in Table III below.

請注意:在此處所有條件下Xe的流量>NF3 的流量,故NF3 是更強的因數。更高的NF3 流量增大XeF2 和XeF4 峰兩者,且Xe對於所述峰有弱影響(由於存在過量Xe)。壓力對XeF2 峰有弱影響,而對XeF4 峰有強影響。Astron工作壓力典型為1-10托。Please note: Under all conditions here, the flow of Xe > NF 3 flow, so NF 3 is a stronger factor. Higher NF 3 flux increases both XeF 2 and XeF 4 peaks, and Xe has a weak effect on the peak (due to the excess Xe). The pressure has a weak effect on the XeF 2 peak and a strong influence on the XeF 4 peak. Astron's working pressure is typically 1-10 Torr.

因此,壓力是控制XeF4 形成的關鍵參數。XeF4 可以水解製造XeO3 ,其是***性和對衝擊敏感的化合物。在當前試驗條件下,XeF2 /XeF4 比可以在高Xe、低NF3 和低壓力條件下最大化。例如,Xe的流速為1000sccm,NF3 的流速為50sccm,壓力為0.5托。Therefore, pressure is a key parameter in controlling the formation of XeF 4 . XeF 4 can be hydrolyzed to produce XeO 3 , which is an explosive and impact sensitive compound. Under current experimental conditions, the XeF 2 /XeF 4 ratio can be maximized under high Xe, low NF 3 and low pressure conditions. For example, the flow rate of Xe is 1000 sccm, the flow rate of NF 3 is 50 sccm, and the pressure is 0.5 Torr.

圖6顯示作為Xe/(Xe+Ar)的函數的XeF2 FTIR峰高和XeF4 FTIR峰高。峰高的單位是任意的。隨著Xe流量份數增加,製造的XeF2 增加而XeF4 份數降低。期望高Xe流量以相對於XeF4 最大化XeF2 的形成。圖7顯示作為Xe/NF3 流速比率的函數的XeF2 /XeF4 FTIR峰高的比率。清楚地,期望Xe/NF3 的高比率以相對於XeF4 最大化XeF2 的形成。Figure 6 shows the XeF 2 FTIR peak height and XeF 4 FTIR peak height as a function of Xe / (Xe + Ar). The unit of peak height is arbitrary. As the number of Xe flow fractions increases, the manufactured XeF 2 increases and the XeF 4 fraction decreases. High Xe flow is desired to maximize XeF 2 formation relative to XeF 4 . Figure 7 shows the ratio of XeF 2 /XeF 4 FTIR peak height as a function of Xe/NF 3 flow rate ratio. Clearly, a high ratio of Xe/NF 3 is desired to maximize the formation of XeF 2 relative to XeF 4 .

圖8顯示作為Xe/(Xe+Ar)的函數的XeF2 FTIR峰高(右Y-軸)和Si/SiO2 的蝕刻選擇性(左Y-軸)。Si/SiO2 的蝕刻選擇性清楚地與XeF2 的原位形成相關。Figure 8 shows a high XeF as Xe / (Xe + Ar) 2 function FTIR peaks (right Y- axis) and Si / SiO 2 etch selectivity (left Y- axis). The etch selectivity of Si/SiO 2 is clearly related to the in situ formation of XeF 2 .

使用電漿激發來製造XeF2 也可以用於生產XeF2 ,用於在與其製造地點不直接相關的方法中用作蝕刻劑。資料顯示存在下述條件,其明顯有助於XeF2 的生產並最小化XeF4 的生產。由於XeF4 若在之後反應形成XeO3 的***性,故非常期望最小化XeF4 生產。由於XeF2 形成在電漿產生器之後的反應區段中,故其可通過使用冷涷捕集(cryogenic trapping)以將材料冷凝在冷表面上而從所述區段除去。然後可從加工腔室將固體XeF2 提出,並再裝填至傳送氣瓶中用於蝕刻方法中。由於導入了過量的氙來減少XeF4 形成,因而非常有幫助的是利用氙回收或將氙再循環至方法中以確保所需用於XeF2 生產的全部氙的有生產價值的使用。The use of plasma excitation to make XeF 2 can also be used to produce XeF 2 for use as an etchant in processes that are not directly related to its manufacturing location. The data shows the presence of conditions that significantly contribute to the production of XeF 2 and minimize the production of XeF 4 . Since XeF 4 reacts to form the explosiveness of XeO 3 afterwards, it is highly desirable to minimize XeF 4 production. Since XeF 2 is formed in the reaction zone after the plasma generator, it can be removed from the section by using cryogenic trapping to condense the material on the cold surface. Solid XeF 2 can then be lifted from the processing chamber and refilled into a transfer cylinder for use in an etching process. Since the introduction of excess hydrazine reduces XeF 4 formation, it is very helpful to recycle the hydrazine or recycle hydrazine into the process to ensure the productive use of all hydrazine required for XeF 2 production.

實施例5Example 5

遠端電漿和溫度對TiN和SiO2 的蝕刻速率的影響Effect of remote plasma and temperature on the etching rate of TiN and SiO 2

在該實施例中,除了將遠端電漿產生器和基座加熱器均打開以允許在各種基材溫度下使用遠端電漿來測定TiN和SiO2 兩者的蝕刻速率之外,沿行了實施例2的步驟。In this embodiment, in addition to opening the remote plasma generator and the pedestal heater to allow the use of remote plasma at various substrate temperatures to determine the etch rate of both TiN and SiO 2 , along the line The procedure of Example 2 was followed.

在第一組實驗中,TiN和SiO2 的蝕刻速率使用NF3 和Xe的混合物作為過程氣體來進行測量。Xe的流速固定於320sccm。溫度在100℃至150℃之間變化。這些實驗的結果分別作為對於TiN和SiO2 的方形點示於圖9和10中。In the first set of experiments, the etch rate of TiN and SiO 2 was measured using a mixture of NF 3 and Xe as the process gas. The flow rate of Xe is fixed at 320 sccm. The temperature varies between 100 ° C and 150 ° C. The results of these experiments are shown in Figures 9 and 10 as square points for TiN and SiO 2 , respectively.

在第二組實驗中,TiN和SiO2 的蝕刻速率使用NF3 和氬(Ar)的混合物作為過程氣體來進行測量。Ar的流速固定於320sccm。溫度在100℃至150℃之間變化。這些實驗的結果分別作為對於TiN和SiO2 的菱形點示於圖4和5中。In a second set of experiments, the etch rate of TiN and SiO 2 was measured using a mixture of NF 3 and argon (Ar) as the process gas. The flow rate of Ar was fixed at 320 sccm. The temperature varies between 100 ° C and 150 ° C. The results of these experiments are shown in Figures 4 and 5 as diamond points for TiN and SiO 2 , respectively.

如圖9所示,Xe添加至過程氣體在一般高於130℃的溫度提高了TiN蝕刻速率。圖10顯示相比於Ar添加至NF3 ,Xe添加至NF3 在所有研究溫度下抑制了SiO2 蝕刻速率。Xe添加至過程氣體對蝕刻選擇性的影響通過比較圖9和10中的結果可見。As shown in Figure 9, the addition of Xe to the process gas increases the TiN etch rate at temperatures generally above 130 °C. Figure 10 shows that the addition of Xe to NF 3 inhibited the SiO 2 etch rate at all study temperatures compared to the addition of Ar to NF 3 . The effect of Xe addition to the process gas on etch selectivity is seen by comparing the results in Figures 9 and 10.

圖11顯示TiN相對於SiO2 的蝕刻選擇性,且該圖表顯示在Xe相對於Ar添加至NF3 過程氣體時,TiN選擇性在溫度高於約110℃時開始增大,且在高於120℃時快速增大。Figure 11 shows the etch selectivity of TiN with respect to SiO 2 , and the graph shows that when Xe is added to the NF 3 process gas relative to Ar, the TiN selectivity begins to increase at temperatures above about 110 ° C, and above 120 It increases rapidly at °C.

總之,實施例1顯示,當該蝕刻在升高的溫度下進行時,XeF2 是相對於二氧化矽和氮化矽基材的對於TiN膜的選擇性蝕刻劑。In summary, Example 1 shows that when the etching is carried out at elevated temperatures, XeF 2 is a selective etchant for the TiN film relative to the ceria and tantalum nitride substrates.

實施例2和3顯示將Xe添加至遠端電漿產生器中(或反應器或腔室)的NF3 過程氣體,與僅將NF3 用作過程氣體時的蝕刻選擇性比較,可以增大Si或Mo相對於SiO2 的蝕刻選擇性。Examples 2 and 3 show that the addition of Xe to the NF 3 process gas in the remote plasma generator (or reactor or chamber) can be increased compared to the etch selectivity when only NF 3 is used as the process gas. Etching selectivity of Si or Mo with respect to SiO 2 .

實施例4顯示將氙和含氟氣體比如NF3 導入至電漿產生器(或反應器或腔室)時,觀測到XeF2 的原位形成。組合氙與含氟氣體比如NF3 而不將XeF2 直接用於清潔方法具有經濟優勢(即,更低的物主的成本)。源自使用該公開的形成XeF2 的方法的進一步的好處在於,除了XeF2 之外它們還提供一些游離氟自由基,所述游離氟自由基有助於促進去除當僅與XeF2 接觸時可能不是反應性的殘渣。Example 4 shows that xenon and fluorine-containing gas such as NF 3 when introduced to the plasma generator (or reactor or chamber) observed XeF 2 is formed in situ. It is economically advantageous (i.e., lower cost of ownership) to combine hydrazine with a fluorine-containing gas such as NF 3 without directly using XeF 2 for a cleaning process. A further benefit derived from the use of the disclosed method of forming XeF 2 is that in addition to XeF 2 they also provide some free fluorine radicals which help to facilitate removal when only in contact with XeF 2 Not a reactive residue.

實施例5顯示,與僅NF3 用作過程氣體時的蝕刻選擇性相比,Xe添加至遠端電漿中的NF3 過程氣體可以在高(升高的)溫度下增大TiN相對於SiO2 的蝕刻選擇性。增大的TiN相對於SiO2 的選擇性在石英管爐應用中重要,並對塗覆有其上具有TiN沉積的SiO2 的部件和半導體工具重要。該方法可以通過將遠端下游電漿單元連接至方法反應器上並通入過程氣體,而促進沉積循環間的沉積反應器的清潔。組合氙與含氟氣體比如NF3 而不將XeF2 用於該清潔方法具有經濟優勢(即,更低的物主的成本)。Example 5 shows that, compared to the etch selectivity when only NF 3 as the process gas, Xe gas is added to the distal end of NF 3 plasma process can be increased at high (elevated) temperature TiN to SiO 2 etch selectivity. The increased selectivity of TiN relative to SiO 2 is important in quartz tube furnace applications and is important for components and semiconductor tools coated with SiO 2 having TiN deposition thereon. The method facilitates cleaning of the deposition reactor between deposition cycles by connecting a remote downstream plasma unit to the process reactor and passing a process gas. In combination with a fluorine-containing gas such as xenon NF 3 without the XeF 2 (i.e., lower cost of ownership) The cleaning method for an economic advantage.

記載於實施例中的清潔方法也可用在離線方法反應器中,其唯一目的是在它們重新使用之前清潔方法反應器部件。此處,會將遠端下游電漿反應器連接到離線方法反應器上,在所述離線方法反應器中放置有部件(來自沉積反應器的元件)處。隨後,會在過程氣體進氣至含有待清潔部件的腔室之前,將氙和含氟氣體比如NF3 導入該遠端下游單元。The cleaning methods described in the examples can also be used in off-line process reactors, the sole purpose of which is to clean the process reactor components before they are reused. Here, the distal downstream plasma reactor is connected to an off-line process reactor in which components (from the components of the deposition reactor) are placed. Subsequently, helium and a fluorine-containing gas such as NF 3 are introduced into the remote downstream unit before the process gas is introduced into the chamber containing the component to be cleaned.

增大的Si、Mo或TiN相對於SiO2 的選擇性,以及公開的形成XeF2 的方法在許多應用中重要:比如清潔塗覆有其上具有不需要的Si、Mo或TiN沉積的SiO2 的部件和半導體工具;MEMS中犧牲層的蝕刻;以及微電子器件製造中使用的離子注入系統的離子源區域中的殘渣清潔。The increased selectivity of Si, Mo or TiN relative to SiO 2 and the disclosed method of forming XeF 2 are important in many applications: for example, cleaning with SiO 2 coated with unwanted Si, Mo or TiN deposits thereon. Components and semiconductor tools; etching of sacrificial layers in MEMS; and residue cleaning in the ion source region of ion implantation systems used in the fabrication of microelectronic devices.

所述應用可以擴展至從Si3 N4 、Al、Al2 O3 、Au、Ga、Ni、Pt、Cu、Cr、TiNi合金、SiC、光阻劑、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽和它們的組合,清潔其他不需要的材料比如:鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、鍺、砷和混合物。The application can be extended to from Si 3 N 4 , Al, Al 2 O 3 , Au, Ga, Ni, Pt, Cu, Cr, TiNi alloy, SiC, photoresist, phosphonium phosphate, borophosphoric acid Salt glass, polyimine, gold, copper, platinum, chromium, alumina, tantalum carbide and combinations thereof, cleaning other unwanted materials such as: tungsten, titanium, zirconium, hafnium, vanadium, niobium, tantalum, boron, Phosphorus, antimony, arsenic and mixtures.

圖1是矽基材的蝕刻速率作為NF3 遠端電漿中Xe相比於Ar的濃度的函數圖。Figure 1 is a graph of the etch rate of a ruthenium substrate as a function of the concentration of Xe in the NF 3 far end plasma compared to Ar.

圖2是SiO2 的蝕刻速率作為NF3 遠端電漿中Xe相比於Ar的濃度的函數圖。FIG 2 is a SiO 2 etch rate as a NF 3 plasma of Xe as compared to the distal end of a function of the concentration of Ar.

圖3是比較矽相對於二氧化矽的蝕刻選擇性作為NF3 遠端電漿中Xe相比於Ar的濃度的函數圖。Figure 3 is a graph comparing the etch selectivity of ruthenium relative to ruthenium dioxide as a function of Xe versus Ar concentration in the NF 3 far end plasma.

圖4是來自NF3 遠端電漿中的Ar/NF3 和Xe/NF3 的傅裏葉變換紅外光譜(FTIR)光譜圖。Figure 4 is a Fourier transform infrared (FTIR) spectrum of Ar/NF 3 and Xe/NF 3 from the NF 3 distal plasma.

圖5是來自NF3 遠端電漿中的Xe/NF3 的傅裏葉變換紅外光譜(FTIR)光譜圖。Figure 5 is a Fourier transform infrared (FTIR) spectrum of Xe/NF 3 from the NF 3 distal plasma.

圖6是XeF2 和XeF4 傅裏葉變換紅外光譜(FTIR)峰高作為NF3 遠端電漿中的Xe/(Xe+Ar)的函數圖。Figure 6 is a plot of XeF 2 and XeF 4 Fourier Transform Infrared Spectroscopy (FTIR) peak height as a function of Xe/(Xe+Ar) in the NF 3 distal plasma.

圖7是XeF2 和XeF4 傅裏葉變換紅外光譜(FTIR)峰高作為NF3 遠端電漿中的Xe/NF3 流量比率的函數圖。Figure 7 is a plot of XeF 2 and XeF 4 Fourier Transform Infrared Spectroscopy (FTIR) peak height as a function of Xe/NF 3 flow ratio in NF 3 distal plasma.

圖8是XeF2 傅裏葉變換紅外光譜(FTIR)峰高和矽相對於二氧化矽的蝕刻選擇性作為NF3 遠端電漿中的Xe/(Xe+Ar)的函數圖。Figure 8 is a plot of XeF 2 Fourier Transform Infrared Spectroscopy (FTIR) peak height and etch selectivity of ruthenium relative to ruthenium dioxide as a function of Xe/(Xe+Ar) in the NF 3 far end plasma.

圖9是TiN的蝕刻速率作為NF3 遠端電漿中的溫度和Xe相比於Ar濃度的函數圖。Figure 9 is a graph of the etch rate of TiN as a function of temperature and Xe versus Ar concentration in the NF 3 far end plasma.

圖10是二氧化矽的蝕刻速率作為NF3 遠端電漿中的溫度和Xe相比於Ar的濃度的函數圖。Figure 10 is a graph of the etch rate of cerium oxide as a function of temperature in the NF 3 far end plasma and Xe versus Ar concentration.

圖11是比較TiN相對於二氧化矽的蝕刻選擇性作為NF3 遠端電漿中Xe相比於Ar的濃度的函數圖。Figure 11 is a graph comparing the etch selectivity of TiN relative to cerium oxide as a function of Xe versus Ar concentration in the NF 3 far end plasma.

Claims (25)

一種用於相對於第二材料選擇性蝕刻第一材料的方法,包括:在腔室中提供含有第一材料和第二材料的結構;向所述腔室提供蝕刻劑氣體,其中該蝕刻劑氣體包含惰性氣體,氙(Xe)氣體,和含氟化學品;將所述結構與所述蝕刻劑氣體接觸並將所述第一材料選擇性地轉化為揮發性物種;和從所述腔室除去所述揮發性物種;其中,所述第一材料選自矽、鉬、鎢、鈦、鋯、鉿、釩、鉭、鈮、硼、磷、鍺、砷和它們的混合物;且所述第二材料選自二氧化矽、氮化矽、鎳、鋁、TiNi合金、光阻劑、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、聚醯亞胺、金、銅、鉑、鉻、氧化鋁、碳化矽和它們的混合物。 A method for selectively etching a first material relative to a second material, comprising: providing a structure comprising a first material and a second material in a chamber; providing an etchant gas to the chamber, wherein the etchant gas Including an inert gas, a xenon (Xe) gas, and a fluorochemical; contacting the structure with the etchant gas and selectively converting the first material to a volatile species; and removing from the chamber The volatile species; wherein the first material is selected from the group consisting of ruthenium, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, niobium, tantalum, boron, phosphorus, antimony, arsenic, and mixtures thereof; and the second The material is selected from the group consisting of cerium oxide, cerium nitride, nickel, aluminum, TiNi alloy, photoresist, phosphonium silicate glass, borophosphonate glass, polyimine, gold, copper, platinum, chromium, alumina. , tantalum carbide and mixtures thereof. 如申請專利範圍第1項的方法,其中,所述含氟化學品選自F2 、NF3 、C2 F6 、CF4 、C3 F8 、SF6 、從上游電漿產生器產生的含F原子的電漿和它們的混合物。The method of claim 1, wherein the fluorochemical is selected from the group consisting of F 2 , NF 3 , C 2 F 6 , CF 4 , C 3 F 8 , SF 6 , produced from an upstream plasma generator. Plasma containing F atoms and mixtures thereof. 如申請專利範圍第1項的方法,其中,所述含氟化學品是從上游電漿產生器產生的含F原子的電漿。 The method of claim 1, wherein the fluorine-containing chemical is a plasma containing F atoms generated from an upstream plasma generator. 如申請專利範圍第1項的方法,其中所述惰性氣體選自Xe、Ar、He和它們的混合物。 The method of claim 1, wherein the inert gas is selected from the group consisting of Xe, Ar, He, and mixtures thereof. 如申請專利範圍第1項的方法,其中,所述腔室含有遠端電漿產生器。 The method of claim 1, wherein the chamber contains a remote plasma generator. 如申請專利範圍第1項的方法,其中,所述腔室中的溫度為環境溫度至500℃。 The method of claim 1, wherein the temperature in the chamber is from ambient temperature to 500 °C. 如申請專利範圍第1項的方法,其中,所述腔室中的壓力為0.1至10托。 The method of claim 1, wherein the pressure in the chamber is from 0.1 to 10 Torr. 如申請專利範圍第1項的方法,其中Xe相對於含氟化學品的摩爾比為1:10至10:1。 The method of claim 1, wherein the molar ratio of Xe to the fluorochemical is from 1:10 to 10:1. 如申請專利範圍第1項的方法,其中,所述結構是半導體器件或半導體加工腔室。 The method of claim 1, wherein the structure is a semiconductor device or a semiconductor processing chamber. 如申請專利範圍第1項的方法,其中,所述結構是微電動機械器件。 The method of claim 1, wherein the structure is a microelectromechanical device. 如申請專利範圍第1項的方法,其中,所述結構是離子注入系統中的離子注入機工具。 The method of claim 1, wherein the structure is an ion implanter tool in an ion implantation system. 一種在腔室中形成二氟化氙的方法,包含:向所述腔室提供Xe氣體;向所述腔室提供選自NF3 、C2 F6 、CF4 、C3 F8 、SF6 、從上游電漿產生器產生的含F原子的電漿和它們的混合物的含氟化學品;和通過在所述腔室中使氙與所述含氟化學品反應而形成二氟化氙。A method of forming bismuth difluoride in a chamber, comprising: providing Xe gas to the chamber; providing the chamber with NF 3 , C 2 F 6 , CF 4 , C 3 F 8 , SF 6 a fluorochemical comprising a F atom-containing plasma and a mixture thereof produced from an upstream plasma generator; and bismuth difluoride formed by reacting hydrazine with the fluorochemical in the chamber. 如申請專利範圍第12項的方法,其中,所述含氟化學品是從上游電漿產生器產生的含F原子的電漿。The method of claim 12, wherein the fluorine-containing chemical is a plasma containing F atoms generated from an upstream plasma generator. 如申請專利範圍第12項的方法,其中Xe相對於含氟化學品的摩爾比為1:10至10:1。The method of claim 12, wherein the molar ratio of Xe to the fluorochemical is from 1:10 to 10:1. 如申請專利範圍第12項的方法,其中,所述腔室含有電漿產生器。The method of claim 12, wherein the chamber contains a plasma generator. 如申請專利範圍第15項的方法,其中,所述電漿產生器是遠端電漿產生器。The method of claim 15, wherein the plasma generator is a remote plasma generator. 如申請專利範圍第12項的方法,其中,所述腔室中的溫度為環境溫度至500℃。The method of claim 12, wherein the temperature in the chamber is from ambient temperature to 500 °C. 如申請專利範圍第12項的方法,其中,所述腔室中的壓力為0.1至10托。The method of claim 12, wherein the pressure in the chamber is from 0.1 to 10 Torr. 一種用於相對於二氧化矽、氮化矽或二氧化矽與氮化矽選擇性蝕刻矽、鉬或矽與鉬的方法,包含:在腔室中提供含有矽、鉬或矽與鉬,以及二氧化矽、氮化矽或二氧化矽與氮化矽的結構;向所述腔室提供包含氙(Xe)、惰性氣體和含氟化學品的蝕刻劑氣體;將所述結構與所述蝕刻劑氣體接觸並將所述矽、鉬或矽與鉬選擇性地轉化為揮發性物種;和從所述腔室除去所述揮發性物種。A method for selectively etching germanium, molybdenum or tantalum and molybdenum relative to germanium dioxide, tantalum nitride or hafnium oxide and tantalum nitride, comprising: providing germanium, molybdenum or tantalum and molybdenum in a chamber, and a structure of cerium oxide, cerium nitride or cerium oxide and cerium nitride; an etchant gas containing cerium (Xe), an inert gas, and a fluorine-containing chemical is supplied to the chamber; the structure and the etching are performed The gas contacts and selectively converts the cerium, molybdenum or cerium with molybdenum into a volatile species; and removes the volatile species from the chamber. 如申請專利範圍第19項的方法,其中,所述含氟化學品選自F2 、NF3 、C2 F6 、CF4 、C3 F8 、SF6 、從上游電漿產生器產生的含F原子的電漿和它們的混合物。The method of claim 19, wherein the fluorochemical is selected from the group consisting of F 2 , NF 3 , C 2 F 6 , CF 4 , C 3 F 8 , SF 6 , produced from an upstream plasma generator. Plasma containing F atoms and mixtures thereof. 如申請專利範圍第19項的方法,其中,所述含氟化學品是從上游電漿產生器產生的含F原子的電漿。The method of claim 19, wherein the fluorochemical is a plasma containing F atoms generated from an upstream plasma generator. 如申請專利範圍第19項的方法,其中所述惰性氣體選自Xe、Ar、He和它們的混合物。The method of claim 19, wherein the inert gas is selected from the group consisting of Xe, Ar, He, and mixtures thereof. 如申請專利範圍第19項的方法,其中,所述腔室含有遠端電漿產生器。The method of claim 19, wherein the chamber contains a distal plasma generator. 如申請專利範圍第19項的方法,其中,所述結構是半導體器件或半導體加工腔室。The method of claim 19, wherein the structure is a semiconductor device or a semiconductor processing chamber. 如申請專利範圍第19項的方法,其中,所述結構是離子注入系統中的離子注入機工具。The method of claim 19, wherein the structure is an ion implanter tool in an ion implantation system.
TW099101850A 2009-01-27 2010-01-22 Selective etching and formation of xenon difluoride TWI475611B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/360,588 US8278222B2 (en) 2005-11-22 2009-01-27 Selective etching and formation of xenon difluoride

Publications (2)

Publication Number Publication Date
TW201029065A TW201029065A (en) 2010-08-01
TWI475611B true TWI475611B (en) 2015-03-01

Family

ID=42371448

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099101850A TWI475611B (en) 2009-01-27 2010-01-22 Selective etching and formation of xenon difluoride

Country Status (5)

Country Link
JP (1) JP2010177666A (en)
KR (1) KR20100087678A (en)
CN (2) CN102592994A (en)
CA (1) CA2690697A1 (en)
TW (1) TWI475611B (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5627990B2 (en) * 2010-10-25 2014-11-19 Hoya株式会社 Method for producing imprint mold
JP6408396B2 (en) * 2015-02-17 2018-10-17 三井化学株式会社 Pellicle film manufacturing method, pellicle manufacturing method, and photomask manufacturing method
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
CN105537207B (en) * 2015-12-11 2018-09-25 上海交通大学 A kind of cleaning method of high temperature quartz ampoule
JP6766184B2 (en) * 2016-06-03 2020-10-07 インテグリス・インコーポレーテッド Hafnia and zirconia vapor phase etching
JP6957252B2 (en) * 2017-07-20 2021-11-02 岩谷産業株式会社 Cutting method
JP7066263B2 (en) * 2018-01-23 2022-05-13 株式会社ディスコ Machining method, etching equipment, and laser processing equipment
CN110718459A (en) * 2018-07-13 2020-01-21 北京北方华创微电子装备有限公司 Non-plasma etching method and etching equipment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US20040077162A1 (en) * 2002-10-18 2004-04-22 Leeson Noel James Thermal activation of fluorine for use in a semiconductor chamber

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
JP4475548B2 (en) * 1998-03-20 2010-06-09 サーフィス テクノロジー システムズ ピーエルシー Method and apparatus for manufacturing micromechanical devices
US6736987B1 (en) * 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US20070117396A1 (en) * 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP2010503977A (en) * 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド Cleaning method for semiconductor processing system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US20040077162A1 (en) * 2002-10-18 2004-04-22 Leeson Noel James Thermal activation of fluorine for use in a semiconductor chamber

Also Published As

Publication number Publication date
CN102592994A (en) 2012-07-18
CN101847570B (en) 2012-11-07
KR20100087678A (en) 2010-08-05
CN101847570A (en) 2010-09-29
JP2010177666A (en) 2010-08-12
CA2690697A1 (en) 2010-07-27
TW201029065A (en) 2010-08-01

Similar Documents

Publication Publication Date Title
US8278222B2 (en) Selective etching and formation of xenon difluoride
TWI475611B (en) Selective etching and formation of xenon difluoride
EP1788120A1 (en) Removal of titanium nitride with xenon difluoride
KR100644176B1 (en) Method for cleaning deposition chambers for high dielectric constant materials
KR100667723B1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
CA1235630A (en) Etching techniques
CN111052318A (en) Chemical process for etching multiple stacked layers
JP2004146787A (en) Method for etching high dielectric constant material, and for cleaning deposition chamber for high dielectric constant material
JP2006041523A (en) Method of increasing fluorine utilization factor
JP2009050854A (en) Process of removing titanium nitride
JP2006060237A (en) Chemical reaction chamber and semiconductor process chamber
TWI525699B (en) Silicon dry etching method
US7485580B2 (en) Method for removing organic electroluminescent residues from a substrate
WO2016181723A1 (en) Dry etching method, dry etching agent and method for manufacturing semiconductor device
JP2006324663A (en) Method of cleaning contaminated tool component
JP6952766B2 (en) Dry etching method or dry cleaning method
JP4320389B2 (en) CVD chamber cleaning method and cleaning gas used therefor