TW200949929A - Method of etching a high aspect ratio contact - Google Patents

Method of etching a high aspect ratio contact Download PDF

Info

Publication number
TW200949929A
TW200949929A TW097151480A TW97151480A TW200949929A TW 200949929 A TW200949929 A TW 200949929A TW 097151480 A TW097151480 A TW 097151480A TW 97151480 A TW97151480 A TW 97151480A TW 200949929 A TW200949929 A TW 200949929A
Authority
TW
Taiwan
Prior art keywords
gas
etching
plasma
opening
dielectric layer
Prior art date
Application number
TW097151480A
Other languages
English (en)
Other versions
TWI402908B (zh
Inventor
Russell A Benson
Ted Taylor
Mark Kiehlbauch
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200949929A publication Critical patent/TW200949929A/zh
Application granted granted Critical
Publication of TWI402908B publication Critical patent/TWI402908B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

200949929 九、發明說明: 【發明所屬之技術領域】 本發明之實施例係關於氣體化學品以及在氧化物層中電 漿蝕刻高長寬比接觸(HARC)之方法。 【先前技術】 ‘ 在半導體器件中,諸如二氧化矽(SiOJ之絕緣層、諸如 • BPSG之摻雜氧化物,及氮化矽用於電分離傳導層,諸如 摻雜多晶矽、金屬、耐火金屬矽化物等。高長寬比接觸 ❿ (H A R c)蝕刻係用於在高密度整合器件中形成穿過絕緣層 至一活性器件區域或傳導層之接觸孔互連件的關鍵製程。 HARC需要產生一垂直輪廓及一經界定之臨界尺寸的 蝕刻製程。HARC特徵在介電質中之另一應用係在堆疊電 容器DRAM中形成電容器或容器結構。 在一典型電漿蝕刻中,將一基板定位於一氣體腔室中之 一夾盤上,將一蝕刻劑氣體引入至該腔室中,且減小腔室 Μ力。一能量源/電源產生一穿過定位於腔室内之電極的 帶電之電或電磁場,以將蝕刻劑氣體激勵為電漿狀態。該 敍刻劑氣體轉變為Mt甲子以及電子與正離子之=解離 混合物。通常,纟離子藉由-提供用於形成垂直接觸孔輪 廓之方向性的射頻(RF)偏置電極外鞘而加速至基板,且支 撐基板之夾盤充當一底部電極且可由一第:RF電源偏置。 離子與基板反應,藉此自半導體器件移除曝露材料。 大體而·τ,用於餘刻穿過二氧化石夕(Si〇2)至下方石夕及/或 氮化石夕的標準製程使用氣碳化合物氣體電浆。敦碳化合物 137245.doc 200949929 分子藉自電漿作用之解離產生對Si〇2基板起作用之活性基 圈及/或離子。舉例而言,在—些高密度電浆中,自⑶及 其他基團(其中x直至uy直至15)產生之cf+、Gy及 CF/離子為用於Si〇2之主要蝕刻離子,其中Ar+離子在氧 化物上濺鍍CFx膜,且較少氟化基團(例如,Ch及CF)在蝕 . 刻製程期間吸附於以〇2之接觸孔中的側壁及底部表面上, • 並聚合以形成一抑制藉由離子之蝕刻的非揮發性含氟聚合 物層。對在Si〇2接觸電漿蝕刻期間之吸附基團與蝕刻離子 〇 ^間的平衡之精確控制係重要的,以同時鈍化開口之側壁 且在開口之底部延伸蝕刻前端。然而,當使用習知氟碳化 合物化學品時,此控制係困難的。 包括開口之彎折及/或扭轉之問題常在HARC蝕刻期間出 現。圖1至圖2說明一大體指定為10之基板片段(例如,晶 圓),其展示藉由先前技術蝕刻方法在一介電層14中形成 一至下方基板層16的接觸孔12。圖1中所說明之彎折大體 _ 由自由氟之反應形成,該自由氟在蝕刻期間累積於接觸開 口 12之側壁1 8上(箭頭丨丨丨)且橫向侵蝕並蝕刻曝露側壁從而 產生一特性彎折20。在典型HARC蝕刻期間,沿一窄且深 •的開口之側壁18積聚之電荷可使傳入離子偏轉,從而引起 ‘彼等離子之軌跡改變。圖2中所說明之扭轉可在結合特徵 充電之钱刻期間由沿側壁18之不對稱聚合物沈積物22引 起’該特徵充電可引起傳入敍刻離子之偏轉及該等離子之 改變軌跡(箭頭),從而導致接觸孔12自一完全垂直輪廊扭 轉或彎曲,其中該孔趨向一側或另一側。接觸孔之扭轉可 137245.doc 200949929 導致未對準及在一隨後沈積之傳導金屬與一(例如)在下方 基板16中之活性區域導降(ianding)區域24之間的不完全接 觸。諸如f折及扭轉之偏差亦可導致一非垂直接觸孔及該 接觸與一鄰近接觸或其他結構之短接。 提供-種用於在氧化石夕層中姓刻高長寬比開口之方法及 . 蝕刻劑氣體係有用的,其克服此等或其他問題。 【實施方式】 在下文中參看以下隨附圖式來描述本發明之實施例,其 Μ於說明之目的。貫穿以下視圖’在該等圖式中將使用 參考數字,且貫穿若干視圖及在描述令將像用相同的參考 數字來指示相.同或相似部分。 參看圖4之以下描述提供根據本發明之實施例之器件及 方法的說明性實例。此描述僅出於說明之目的且並非出於 限制其之目的。 ' 在當前申請案之内容中,術語"半導體基板"或"半傳導 象基板"或,,半傳導晶圓碎片,•或"晶圓碎片"或"晶圓”將理解為 意謂包含半㈣材料之任何構造,包括(㈣限於)諸如半 導體晶圓之塊體半傳導材料(單獨或在上面包含其他材料 之總成中)及半傳導材料層(單獨或在包含其他材料之總成 中)。術語”基板"指代包括(但不限於)上文所描述之半傳導 基板、晶圓碎片或晶圓之任何支撐結構。 如本文所使用,術語"高長寬比,,指約15:1或更高之深戶 與寬度(或直徑)比。 & 本發明之實施例係關於電漿钮刻在半傳導微電子器件處 137245.doc 200949929 理中使用之摻雜及未摻雜介電材料以產生開σ來製造高長 寬比接觸或容ii(HARC)的方法。本發明提供用於高長寬 比接觸孔之㈣的處理參數,該等參數減少㈣期問之接 觸開口之f折及扭轉,維持用於接觸孔之整個深度輪廊之 臨界尺寸(CD),且產生—具有大體上為圓柱形且具有最小 錐度之垂直輪廓的接觸孔。舉例而言,具有約2 pm之深 度、60 nm之頂部CD及約45至6〇 nm之底部cd的接觸將視 為具有所需CD輪廓控制。 參看圖3至圖4說明一根據本發明之方法之一實施例。圖 3說明大體參考數予所指示之處於初步處理階段的基板 片段。基板片段1 〇·包含形成於一基板層i 6,上之絕緣或介 電層14,及一活性區域或元件24,(例如,一擴散區域、接 觸、傳導線等)。進行中之基板片段丨〇,可包含一半導體晶 圓基板或晶圓連同形成於其上之各種處理層,包括一或多 個半導體層或其他形成物,及半導體器件之活性或可操作 部分。 介電層14'形成至待蝕刻之選定厚度以界定高長寬比接 觸孔’例如’約2至3 μπι之厚度。介電層14,可由未摻雜之 二氧化矽(Si〇2)或摻雜之81〇2以單一層或多層形成,該掺 雜之Si〇2例如為自正矽酸四乙酯(TE〇s)沈積之氧化矽、硼 磷矽玻璃(BPSG)、硼矽玻璃(BSG)及磷矽玻璃(psG),或諸 如氮化物(例如’諸如Si3N4之氮化矽(SixNy))或氮氧化矽 (SiOxNy)的其他材料。下方基板層16,在組成上不類似於介 電層14’,且可由一氮化物蝕刻終止層(例如,si3N4)、諸 137245.doc 200949929 如氮化鈦、氮化鎢等之耐火金屬氮化物、具有盥二氧化矽 介電層14,不同之摻雜的二氧切層、諸如單晶:或多晶石夕 夕層摻雜矽區域、諸如矽化鈦之金屬矽化物、金屬互 連件或其他材料層構成。在所說明之實例中,基板16'為
Sl〇2,其具有一接觸區域24,(例如,多晶矽)。 抗餘刻遮罩層28,(例如,光阻或硬遮罩材料)形成於介電 層14上且如所描繪經圖案化及蝕刻以界定曝露介電層之 若干部分的開口 30,。 參看圖4,介電層14,經蝕刻以形成高長寬比接觸開口 12'(亦即,接觸孔及通路,或諸如溝槽之其他開口),其延 伸至下方基板層16,以用於在諸如sram、等之各種 器件或電路之製造中形成(例如)用於互連層、閘電極、電 合器電極、通路等之接觸孔。通常,將接觸開口 12,蚀刻為 約1·15至約1:40或約1:2〇至約1:3〇之高長寬比,臨界尺寸 (CD)(寬度)小於約1〇〇 nm或約25至7〇 nm,且深度(例 如)為約1至3 μιη。 在本發明之實施例中,使用體電漿(箭頭Ui)蝕 刻介電層14’以形成接觸孔12,,該HARC氣體電漿係根據本 發明利用cjs(八氟環丁烷)、C4F6(六氟丁二烯)或其混合 物、氧源’及惰性氣體結合C2F4(四氟乙烷)而產生。 C4FS、GF6及QF4氣體產生作為用於聚合物沈積之氣體前 驅體的CF2基團及用以蝕刻氧化矽層之cf3 +離子。在一些 實施例中,蝕刻劑氣體本質上由c4f8&/或C4F6、一個或多 個氧源、惰性氣體及C2F4組成或由其組成。 137245.doc •10- 200949929 氧源可為(例如)氧(〇2)、一氧化碳(C〇)或其混合物,其 量並不減弱触刻劑氣體之效能。氧源將與電漿内之含碳及 氣之離子(CFX)反應以調整附著至側壁1 8'之碳及氟之量, 且抑制可由接觸孔12'之底部表面26,上之碳沈積物引起的" 触刻終止”。 視情況,姓刻劑氣體包括此項技術中已知之惰性載氣, 諸如氬(Ar)、氙(Xe)、氖(Ne)、氪(Kr)及氦(He)。載氣存在 於姓刻劑中以稀釋蝕刻劑氣體’使得過量蝕刻或沈積不會 發生’以穩定正產生之電漿且增強蝕刻製程之均勻性。在 一些實施例中,氙(Xe)、氖(Ne)及/或氪(Kr)用作替代氬 (Ar)或與氬(Ar)組合之載氣。 在本發明之實施例中,氟碳化合物饋送氣體由 C4F8/〇2/Ar/C2F4、C4F6/02/Ar/C2F4 或 C4F8/C4F6/02/Ar/C2F4 構成。在其他實施例中。〇2與C〇組合或由c〇替代,及/或 Ar由Xe、Ne、以及/或^替代或與其組合。在各種實施例 中’饋送氣體可包含上述氣體、本質上由上述氣體組成, 或由上述氣體組成。 钱刻氣體可視情況包括一或多種額外氟碳化合物氣體, 其具有通式Gh,其中文=1至6且7=2至8,例如CF4(四氣化 碳)、C2F6(六氟乙烷)、c3F6(六氟丙烯)、c3F8(八氟丙烷)、 CsFs(八氟環戊烯)及c6F6(全氟苯)以及其組合。 發現’在利用QF8或CUF6之蝕刻化學品與氧源及惰性氣 體之HARC蝕刻期間添加QF4提供一薄且保形之聚合物層 22’之沈積,以在蝕刻期間鈍化接觸開口 ι2,之側壁丨8,,以 137245.doc 200949929 尤其在移除基板1 6’上之剩餘的殘餘氧化物之過餘刻期間防 止橫向蝕刻並最小化接觸孔之扭轉及彎折,而無需補償所 添加之聚合氣體(亦即,C2F4)。 由向C4FS及/或C4F6蝕刻氣體添加C2?4引起之基於CF之 聚合物沈積提供一保形且對稱之經改良鈍化層,且蝕刻位 於側壁上作為接觸孔之沈積物。保形聚合物層防止離子驅 動之橫向蝕刻或側壁局部區域中之"彎折",以維持接觸孔 之整個深度輪廓之臨界尺寸(CD)並減小容器變形。接觸孔 之側壁上該保形聚合物層之沈積亦可用作一弱傳導路徑以 耗散接觸孔底部處之電荷並減少扭轉。又,對稱聚合物沈 積減小形成一橫向電場之機率,此減少扭轉。内部特徵電 漿沈積聚合物材料之不規則性經已知為轉移至待蝕刻之基 板中。由當前之基於QFVC^FyCj4之HARC化學品產生之 極規則且保形之聚合物材料層減少接觸扎中之變形及缺 陷,而同時之離子轟擊抑制接觸孔之底部處蝕刻前端上之 聚合物的吸收或沈積,由此促進蝕刻而不影響錐度。 研究者已描述使用三氟破甲垸(CF3l)與四氣乙燒(⑽) 之氣體混合物圖案化Si〇2。然而,CF3l並非較佳之蚀刻化 學品,因為其為高腐錄氣體且在達成所要之接觸孔輪廊 的過程中並非«有效。當前㈣化學品克服彼等缺點並 達成-動態均衡,使得以約相同速率沈積及移除聚合物, 此防止钱刻終止並提供連續鈍化,藉此不曝露側壁,此防 止變折效應。亦可控制蝕刻氣體以避免可引起接觸孔扭轉 之過量聚合物殘餘物之沈積(例如,如圖2中),且限制開口 137245.doc -12· 200949929 12’之底部表面24’上電漿沈積聚合物殘餘物之累積。 在本發明之實施例中’ _氣體電漿可為-用於以比氣 化物及/❹基板h㈣顯高之速率高長寬比㈣氧化石夕 的氣體混合物’亦即’㈣氣體電漿對氮化物及碎為高選 擇性的。此蝕刻氣體電漿允許蝕刻氧化物層14,向下至2板 層16',而大體上不損壞氮化物或矽^。 ,蝕刻氣體可包括一碳氫化合物如 多種氫氟碳化合物饋送氣體,其具有 πΐ至6、少=1至6且Z = 1至6,諸如
在其他實施例中 CH4(甲烷)及/或一或 通式,其中 CHF3(三I曱烧)、CHAh氟甲院)、叫以西氟乙烷)、 CH3F(甲基氟)、CzHF5(五氟乙烷)、四氟乙烷)、 C2H5F(乙基氟)、CsHF5(五氟丙烯)、ghf?(七氟丙烷)、 GHJ6(六氟丙烷)、c^HJ3(三氟丙烯)、五氟丙 烷)、c3h4f4(四氟丙烷)、C4HF7(七氟丁烯)、c4Hf〆九氟 丁烷)、C4H2F6(六氟丁烯)、c4H2F8(八氟丁烷)、c5HF9(九 氣戊晞)、CsHFn(十一氟戊烷)或任何氫氟苯(例如, C6H3F3)。併有氫氟碳化合物氣體之蝕刻氣體之實施例包 括(例如)C4F8/CHF3/02/Ar。包括碳氫化合物(例如,〇η4) 及/或氫氟碳化合物饋送氣體有用於蝕刻除Si〇2以外之介電 質’包括(例如)氮化矽(例如,諸如Si3N4之SixNy)。 使用GF4之益處在於,所沈積之聚合物為與使用諸如 SiH4、SiF4或SiCU之蝕刻氣體之製程所形成的含8丨聚合物 相比更容易剝落/清潔之基於CF之聚合物。自c2F4產生之 基於CF之聚合物極為保形,其允許添加c2F4氣體而無需更 137245.doc •13- 200949929 改CWs/C^F6餘刻氣體中a之量以補償所添加之聚合氣體 ((:2Ϊ?4)。另外,CZF4之使用並不導致可"堵塞”接觸開口之 過沈積物(亦即,麵包狀囤積)。 儘管以QF4氣體形成之蝕刻電漿氣體之實施例在蝕刻期 間提供所要之保形聚合物沈積,但氣體在經淨化時係 尚可燃且不穩定的,且若曝露於痕量之A則可在氣體管線 中及在儲存期間自發聚合。可使CZF4穩定,但諸如右旋檸 檬烯(c^h〗6)、二氧化碳((:〇2)或氫氣酸(HC1)之穩定劑可不 利地影響蝕刻製程。另外,使用之費用可能過高。 在本發明之其他實施例中,使用由及/或(::4176、氧源 (例如,〇2及/或C0)、惰性氣體及齒代氟碳化合物構成的 蝕刻氣體在一介電層中蝕刻一接觸開口,該齒代氟碳化合 物將在氧化物之乾式蝕刻期間產生以提供相同或類似 聚合物沈積,作為饋送至C4F6_C4F8蝕刻電漿中之純c2F4。 敍刻氣體中所利用之自代氟碳化合物為J,2_二溴四氟乙 烷(BrCF2CF2Br 或 C2F4Br2)、1,2-二碘四氟乙烷(ICF2CF2l4 CWJ2)及二埃二氟曱烷(cfJ2)中之至少一者。上述鹵代氟 碳化合物為將在一電漿中解離為CF2基團之穩定液體源。 C2F4Br^C2F4I2將在曝露於UV光(例如,266至193 nm)下 解離以形成在電漿中形成兩個單位之CF2基團的c2F4。 CUF6及/或C4FS蝕刻氣體電漿中c2f4或上述鹵代氟碳化合 物之使用使得能夠對電漿氣體中之CF2基團產生進行更精 確之控制,從而導致在蝕刻期間在接觸開口之側壁上形成 一規則且保形之聚合物層,以最小化彎折及扭轉並改良對 137245.doc 14 200949929 接觸孔之輪廓控制。上述鹵代氟碳化合物充當c2f4之相似 物並在一乾式蝕刻期間提供一製程影響及聚合物沈積,其 類似於饋送至C^F6及/或C4F8餘刻電漿中之純C2F4,但具有 更大穩定性且無由可燃且可在曝露於氧後即***性聚合的 純C2F4所帶來之問題。 - 可利用任何已知的合適蝕刻器件來產生電漿,諸如一蝕 . 刻器,例如可自 Applied Materials, Inc.購得之 Applied
Centura Enabler®蝕刻系統、來自 Lam Research c〇rporation ❹ 之 2300 Exelan 系統、來自 Tokyo Electron Limited 之 TEL Unity SCCM介電蝕刻腔室,或任何其他高密度電漿蝕刻 器。用於電漿蝕刻之設備及技術之實例描述於頒予 Donohoe等人之共同讓渡之美國專利第6 123 862號中。熟 習此項技術者應容易瞭解,視用於產生電漿之特定蝕刻裝 置而疋’諸如氣體混合物、溫度、RF功率、壓力及氣流速 率之各種蝕刻參數可變化以達成電漿系統之所要蝕刻速率 _ 及蝕刻特性。 ❹ 將具有待蝕刻之介電層14,之基板1〇,(例如,晶圓)置放於 一用於進行蝕刻製程之適當裝置之電漿反應腔室中,且蝕 刻劑氣體大體流入-電聚反應腔室中,且施加功率以自触 . _氣體誘發—電聚。大體而言,-電漿形成於晶圓之表 面上且-偏置功率供應至含有該晶圓之基板或供應至支撐 該基板之支樓件或失盤,以自反應物氣體朝向該表面加速 離子。自钱刻劑氣體形成之物質(例如,氣離子)撞擊在介 電層Μ,之經由圖案化遮罩18•曝露之區域上並與其反應以 137245.doc lc 200949929 蝕刻掉並推進蝕刻前端。可能為揮發性之副產物可經由— 出口自反應腔室排出。 在同時平衡蝕刻製程之條件下進行蝕刻製程,亦即,電 漿產生反應性中性及離子物質,其在離子(CF3+)擁有由晶 圓處之偏置功率供應之充足能量的情況下蝕刻介電質,且 在接觸開口或孔121之側壁18,上沈積聚合物材料(經由π: 基團)。底部表面26’在一過蝕刻期間受離子轟擊,以便移 除基板16'上之任何剩餘的殘餘氧化物。
控制、GF6及C2F4之氣流以最佳化ci?2基團及cp^+離 子密度。在CUFs/C^F4或C4F6/C2F4飯刻氣體之本發明實施例 中,進入電漿腔室中之氣流速率為:針對或c4F6為約 10至 100 seem(或約 50至 70 seem) ’ 針對 c2F4為約 50至 150 seem(或約80至100 sccm),針對A為約1〇至6〇扣⑽(或約 20至4〇 sccm),及針對惰性氣體為約5〇〇至15〇〇 sccm(或約 900至1300 seem)。大體而言,C4F8:C2F4之比率為約0 25至 1.5.1(或約0.5至1:1) ’且C;4F6:C2F4之比率為約0.25至 1.5:1(或約 0.5 至 1:1) » 在C4F8/C4F6/C2F4姓刻氣體之實施例中,氣流速率通常 為:針對C4F8為約0至100 seem(或約20至70 seem),針對 C4F6為約0至100 seem(或約20至70 seem),針對C2F4為約50 至150 seem(或約80至100 seem),針對〇2為約10至6〇 seem(或約20至40 seem),及針對惰性氣體為約5〇〇至1500 seem(或約900至1300 seem)’針對C4F6與C4F8之組合總流 動速率為約30至100 seem(或約50至70 seem)之範圍。大餿 137245.doc -16 · 200949929 而言’ C4Fs與C4F0(組合):C2F4之比率為約0.25至1 5:1(戍約 0.5至 1:1)。 在利用鹵代氟碳化合物來替代(:2卩4之本發明實施例中, 進入電裝腔室中之#刻氣流速率為:針對^卜或匕匕為約 10 至 100 seem(或約 50 至 70 seem)’ 針對c2F4Br2 或 c2F4I2 為 約50至150 seem(或約80至100 scem),針對CF2l2為約1〇〇至 300 seem(或約 160 至 180 seem),針對 〇2 為約 1〇 至 6〇 seem(或約20至40 Sccm),及針對惰性氣體為約5〇〇至15〇〇 seem(或約 900 至 1300 sccm)。C4FptC4F6:C2F4Bl^C2F山 之比率為約0.25至1.5:1(或約〇·5至1:1),且C4f8或 C4F6.CF2l2之比率為約 0.25至 1.5:1(或約 0.5至 1:1)。 在其他實施例中,進入電漿腔室中之蝕刻氣流速率為: 針對CJ8為約〇至1 〇〇 sccm(或約2〇至7〇 sccm)且針對為 約〇至100 seem(或約20至70 sccm),針對(:而與匕匕之組合 總流動為約30至1〇〇 sccm(或約5〇至7〇 sccm),針對 或C2F4I2為約50至150 sccm(或約8〇至1〇〇 sccm),針對 CF2I2為約50至15〇 sCCm(或約8〇至1〇〇 sccm),針對〇2為約 10至60 sccm(或約2〇至40 sccm),及針對惰性氣體為約5〇〇 至 1500 sccm(或約 9〇〇至13〇〇 sccm)。C4Fac5F6:C2F4Br2 或C2?4〗2之比率為約0.25至1.5:1(或約0.5至1:1),且(:48與 C4F6:cf2i2之比率為約〇.25至丨5:1(或約〇 5至丨〇)。 餘刻氣體可視情況包括額外氟碳化合物氣體(例如, 4 〔2卩6等)’氣體流速達至約50 seem(或約10至50 seem) 〇 137245.doc 200949929 視情況,蝕刻氣體亦可大約包括諸如CH4之碳氫化合 物。針對ch4之典塑流動速率為達至約5 s_(或達至⑽ s_),域對氫氣碳化合物為達至約5 s_(或達至約2〇 seem) ° 可按需調整#刻氣體之各種組成氣體的流動速率,以提 - 錄據本發明之方法的合適_,且適應㈣腔室及正触 . &之晶圓的大小。與㈣腔室相關聯之電聚之功率位準及 蝕刻腔室中之壓力應足以維持餘刻製程。源功率位準通常 © 纟約刪至3_瓦之範圍内變動,偏置功率位準在約讓 至7000瓦之範圍内變動,且壓力大體在約⑺至% _"之 範圍内變動。 本發明形成具有高長寬比之接觸孔而不引起孔之彎折或 扭轉,該孔具有一在孔之整個深度上大體上相同之直徑 (CD) 〇 在接觸孔12,之蝕刻完成後,接著可(例如)藉由以一氧電 多漿灰化步驟進行乾式蝕刻或藉由以一 清潔 (H2S〇4/H2〇2)進行濕式蝕刻而移除(剝落)遮罩層2g|及聚合 物層22'。 基板10’可經歷此項技術中已知之製造所要組件之後蝕 刻處理步驟。舉例而言,可(例如)藉由以諸如銅、鋁、 矽、ThN4之金屬或傳導材料進行填充而進一步處理所得 之接觸孔12’,以在諸如記憶體器件之積體電路器件之製造 中形成(例如)至下方活性區域、接觸或傳導線之接觸或傳 導線,或藉由一金屬-絕緣體-金屬堆疊來以諸如、 137245.doc •18- 200949929 〇2 Zr02 SrTK^及其類似者的介電材料形成電容器。 可將完成之半導體晶圓切割為晶粒,其可接著進一步處理 為積體電路晶片且併入電子器件中。 儘管在本文中已對特定實_進行㈣及描述,但一般 熟習此項技術者應瞭解,經計算以達成相同目的之任何配 4可取代所展示之特定實施例。本申請案意欲涵蓋根據如 , 丨描述之本發明之原理操作的任何調適或變化。因此,希 冑本發明僅受巾請專利範圍及其等效物限制。在本申請案 巾所引狀專利、參照案及公開案之揭示内容以引用的方 式併入本文中。 【圖式簡單說明】 圖1說明在蝕刻期間導致接觸孔之彎折的先前技術處 理。 圖2說明在蝕刻期間導致接觸孔之扭轉的先前技術處 理。 ,圖3說明根據本揭示案之一實施例的處於初步處理階段 之基板之一部分的正視橫截面圖》 圖4為處於一後續處理階段之圖3中所描繪之基板的橫截 面圖。 【主要元件符號說明】 10 基板片段 10' 基板片段/基板 12 接觸孔/接觸開口 12' 接觸開口 /接觸孔 137245.doc • 19 200949929 14 介電層 14' 介電層/氧化物層 16 下方基板層/下方基板 16' 基板層/基板 18 側壁 18' 側壁/圖案化遮罩 20 特性彎折 22 聚合物沈積物 22' 聚合物層 24 活性區域導降區域 24' 活性區域或元件/接觸區域/底部表面 26' 底部表面 28, 抗姓刻遮罩層 30' 開口 ❿ 137245.doc -20-

Claims (1)

  1. ❹ 200949929十、申請專利範固: 1. 一種在一介電層中蝕刻一開口之方法,其包含: 自C4F6、c4F8或c4F6與c4F8之一混合物、一氧源氣 體、一惰性氣體及QF4形成一電漿蝕刻氣體;及 以該電漿蝕刻氣體蝕刻該開口穿過該介電層至一下方 基板’其中在該蝕刻期間沿該開口之側壁維持一保形聚 合物層。 ' 2·如請求項1之方法,其中該電漿蝕刻氣體包含約〇 25至 I·5:1 之一比率的 c4f6:c2f4、c4F8:c2F4,或 ^匕與 C4F6:C2F4 〇 如明求項1之方法,其中該介電層包含氧化物。 如請求項1之方法,其中該介電層包含氮化矽,且該電 漿姓刻氣體進-步包含具有通式CJtHA之氣敗碳化合 物,其中$=1至6、厂1至6且2=1至6,或其一組合。 如β求項1之方法,其中該開口為一接觸孔或一具有一 為約20至30:1之長寬比的溝槽。 如2求項1之方法,其中該開口之該等側壁上之該保形 聚σ物層針對該開口之深度輪廓具有一為約5至20 Α之 厚度。 如凊求項1之方法,其中以約3〇〇〇至6〇〇〇 A/分鐘之速率 蝕刻該介電質。 青求項1之方法,其中該蝕刻氣體本質上由該等氣體 組成。 如吻求項1之方法’其中形成該電漿蝕刻氣體包含或 3. 5. 6.
    137245.doc 200949929 C4F8係以約10至loo sccm且c2F4係以約50至150 seem之 一流動速率使該等氣體流動》 10·如請求項1之方法,其中形成該電漿蝕刻氣體包含以約 30至100 sccm之一組合流動速率使及C4F8流動,且 以約50至150 seem之一流動速率使c2FAt動。 11 · 一種在一介電層中钱刻一開口之方法,其包含: 自流動速率為約50至70 seem之C4F6、C4F84 (:4卩6與 GF8之一混合物、一流動速率為約2〇至4〇 sccm之氧源氣 體、一流動速率為約900至1300 seem之惰性稀釋氣體及 流動速率為約80至100 sccm之c;2!?4形成電漿蝕刻氣體; 及 以該電漿蝕刻氣體触刻該開口穿過該介電層至一下方 基板’其中在該蝕刻期間沿該開口之側壁維持一保形聚 合物層。 12· —種在一介電層中蝕刻一開口之方法,其包含: 施加一電漿蝕刻氣體以蝕刻該介電層至一下方基板, 該電漿蝕刻氣體自C^F6、C4FS或GF6與C4F8之混合物、 一氧源氣體、一惰性稀釋氣體及選自由、Cj山 及CF2〗2組成之群的函代氟碳化合物形成; 其中在I虫刻期間沿該開口之側壁維持一保形聚合物 層。 13.如請求項12之方法,其中藉由以約1〇至1〇〇 sccm之一流 動速率使QF6、CUF8或C^F6與ejs之一混合物流動且以 約50至300 sccm之一速率使該南代氟碳化合物流動而形 137245.doc •2· 200949929 成該電漿蝕刻氣體。 14·如明求項13之方法’其中藉由以約5〇至15〇 sCein之一速 率使C2F4Br2或C2F4I2流動或以約1 〇〇至300 seem之一速率 使CF2〗2流動而形成該電漿蝕刻氣體。 15. 如请求項12之方法’其中該電漿蝕刻氣體包含約〇.25至 1.5:1之一比率的c^6:鹵代氟碳化合物、c4F8:鹵代氟碳 化合物或C4F8與C4F6:鹵碳化合物。 16. 如請求項12之方法,其中該電漿蝕刻氣體進一步包含一 有通式之額外氣碳化合物氣體’其中1 = 1至6且 ¥=2至 8 ° 17. 如請求項12之方法,其中該介電層包含氮化矽,且該電 漿蝕刻氣體進一步包含一具有通式C;tH>)Fz之氫氟碳化合 物氣體,其中X=1至6、火=1至6且Z=1至6,或其一混合 物。 1 8. —種用於蝕刻一介電材料之蝕刻氣體,其包含與 CJs、及一氧源氣體、一惰性稀釋氣體及中之至少 者’其量有效地形成一電漿触刻氣體以蚀刻一開口穿 過該介電層,同時在該蝕刻期間在該開口之側壁上維持 一保形聚合物層。 19. 如請求項18之蝕刻氣體,其進一步包含一具有通式 之額外氟碳化合物氣體,其中x=l至6且尸2至8。 20. 如請求項18之蝕刻氣體,其進一步包含一具有通 CjcHyFz之氫氣碳化合物氣體’其中1至6、y=i至6且 至6,或其一混合物。 137245.doc 200949929 21. —種用於電漿蝕刻一介電層之蝕刻氣體,其包含c4F6與 c4f8、一氧源氣體、—惰性載氣及選自由c2F4Br2、 GFJ2及CFJ2組成之群之鹵代氟碳化合物中的至少一 者,其量有效地形成一電漿蝕刻氣體以穿過一介電層蝕 刻一開口’同時在該蝕刻期間在該開口之側壁上維持一 保形聚合物層。 22. 如請求項21之蝕刻氣體,其本質上由該等氣體組成。 23. 如請求項21之蝕刻氣體,其進一步包含以下至少一者: 一具有通式之額外氟碳化合物氣體,其中1=1至6且 少2至8,及一具有通式之氫氟碳化合物氣體,其 中欠=1至6、少=1至6且Z=1至6。 I37245.doc -4 -
TW097151480A 2008-01-04 2008-12-30 蝕刻高長寬比接觸之方法 TWI402908B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/969,443 US8614151B2 (en) 2008-01-04 2008-01-04 Method of etching a high aspect ratio contact

Publications (2)

Publication Number Publication Date
TW200949929A true TW200949929A (en) 2009-12-01
TWI402908B TWI402908B (zh) 2013-07-21

Family

ID=40342418

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097151480A TWI402908B (zh) 2008-01-04 2008-12-30 蝕刻高長寬比接觸之方法

Country Status (7)

Country Link
US (2) US8614151B2 (zh)
KR (1) KR101158205B1 (zh)
CN (1) CN101911263B (zh)
DE (1) DE112008003598B4 (zh)
GB (1) GB2468458B (zh)
TW (1) TWI402908B (zh)
WO (1) WO2009088660A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI469843B (zh) * 2011-06-15 2015-01-21 Applied Materials Inc 用於使用雷射劃線和電漿蝕刻之元件裁切的原位沉積光罩層
TWI796803B (zh) * 2020-10-15 2023-03-21 日商昭和電工股份有限公司 蝕刻氣體及其製造方法、以及、蝕刻方法、半導體元件之製造方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090103049A (ko) * 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
KR101096249B1 (ko) * 2009-05-29 2011-12-22 주식회사 하이닉스반도체 마스크 및 제조 방법
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
JP5373669B2 (ja) * 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
WO2012122064A1 (en) * 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US9190316B2 (en) * 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US20130122707A1 (en) * 2011-11-14 2013-05-16 Daisuke Shimizu Methods of polymers deposition for forming reduced critical dimensions
KR102048959B1 (ko) * 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
CN103871868A (zh) * 2012-12-11 2014-06-18 北大方正集团有限公司 一种直孔刻蚀方法
US9533873B2 (en) 2013-02-05 2017-01-03 Butterfly Network, Inc. CMOS ultrasonic transducers and related apparatus and methods
CN105307975B (zh) 2013-03-15 2017-04-26 蝴蝶网络有限公司 互补金属氧化物半导体(cmos)超声换能器及其形成方法
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
WO2014197324A1 (en) * 2013-06-04 2014-12-11 Tokyo Electron Limited Mitigation of asymmetrical profile in self aligned patterning etch
US9287124B2 (en) * 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9748366B2 (en) * 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
US10109496B2 (en) * 2013-12-30 2018-10-23 The Chemours Company Fc, Llc Chamber cleaning and semiconductor etching gases
CN106659464B (zh) 2014-04-18 2020-03-20 蝴蝶网络有限公司 互补金属氧化物半导体(cmos)晶片中的超声换能器及相关装置和方法
US9385187B2 (en) 2014-04-25 2016-07-05 Texas Instruments Incorporated High breakdown N-type buried layer
TWI733431B (zh) 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
US9067779B1 (en) 2014-07-14 2015-06-30 Butterfly Network, Inc. Microfabricated ultrasonic transducers and related apparatus and methods
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10217681B1 (en) * 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
CN105655283A (zh) * 2014-11-13 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 高深宽比的浅沟槽隔离刻蚀方法
US9337292B1 (en) * 2014-11-26 2016-05-10 Texas Instruments Incorporated Very high aspect ratio contact
US9647022B2 (en) * 2015-02-12 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer structure for high aspect ratio etch
KR20160119329A (ko) 2015-04-02 2016-10-13 삼성전자주식회사 반도체 소자의 미세패턴 형성방법
CN106298502B (zh) * 2015-05-18 2019-04-09 中微半导体设备(上海)股份有限公司 一种利用等离子体对多层材料刻蚀的方法
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9934984B2 (en) * 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
US9627215B1 (en) * 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9987661B2 (en) 2015-12-02 2018-06-05 Butterfly Network, Inc. Biasing of capacitive micromachined ultrasonic transducers (CMUTs) and related apparatus and methods
CN108091570B (zh) * 2016-11-23 2020-09-04 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10196261B2 (en) 2017-03-08 2019-02-05 Butterfly Network, Inc. Microfabricated ultrasonic transducers and related apparatus and methods
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
JP7036799B2 (ja) * 2017-04-06 2022-03-15 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
AU2018289454A1 (en) 2017-06-21 2019-12-05 Butterfly Network, Inc. Microfabricated ultrasonic transducer having individual cells with electrically isolated electrode sections
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
JP2019050305A (ja) * 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
KR102487054B1 (ko) 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
CN110970297A (zh) * 2018-09-29 2020-04-07 长鑫存储技术有限公司 补偿性蚀刻方法及结构、半导体器件及其制备方法
US10756105B2 (en) * 2018-11-26 2020-08-25 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
EP3891801A4 (en) * 2018-12-04 2022-08-24 Sunrise Memory Corporation PROCESS FOR FABRICATION OF MULTILAYERY HORIZONTAL NOR THIN FILM MEMORY STRINGS
KR20200100555A (ko) * 2019-02-18 2020-08-26 도쿄엘렉트론가부시키가이샤 에칭 방법
US11171013B2 (en) * 2019-04-22 2021-11-09 University Of Maryland, College Park Leveraging precursor molecular composition and structure for atomic layer etching
US11069598B2 (en) * 2019-06-18 2021-07-20 Micron Technology, Inc. Memory arrays and methods used in forming a memory array and conductive through-array-vias (TAVs)
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN110544627A (zh) * 2019-09-12 2019-12-06 长江存储科技有限责任公司 高深宽比开口的刻蚀方法及刻蚀气体
CN110767658A (zh) * 2019-10-30 2020-02-07 上海华力微电子有限公司 闪存器件的形成方法
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR102244885B1 (ko) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
US11342336B1 (en) * 2021-02-03 2022-05-24 Micron Technology, Inc. Integrated circuitry, memory circuitry, method used in forming integrated circuitry, and method used in forming memory circuitry
US20230094212A1 (en) * 2021-09-30 2023-03-30 Tokyo Electron Limited Plasma etch process for fabricating high aspect ratio (har) features

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2407419A (en) * 1943-02-15 1946-09-10 Du Pont Stabilization of tetrafluoroethylene
US4381384A (en) * 1981-08-17 1983-04-26 E. I. Du Pont De Nemours And Company Continuous polymerization process
EP0246514A3 (en) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Deep trench etching of single crystal silicon
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3623256B2 (ja) * 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
DE69508273T2 (de) 1994-11-18 1999-11-04 Advanced Micro Devices Inc Verfahren zum ätzen von siliziumnitrid mit verstärkung der kritischen abmessung
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
DE19803997B4 (de) * 1998-02-02 2018-01-25 Giesecke+Devrient Currency Technology Gmbh Wertdokument
US6228775B1 (en) * 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
JP3336975B2 (ja) * 1998-03-27 2002-10-21 日本電気株式会社 基板処理方法
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6123862A (en) * 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
JP2991192B1 (ja) * 1998-07-23 1999-12-20 日本電気株式会社 プラズマ処理方法及びプラズマ処理装置
US6184119B1 (en) * 1999-03-15 2001-02-06 Vlsi Technology, Inc. Methods for reducing semiconductor contact resistance
SG93856A1 (en) * 1999-07-19 2003-01-21 Chartered Semiconductor Mfg A selective & damage free cu cleaning process for pre-dep, post etch/cmp
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
KR100465596B1 (ko) * 2000-05-24 2005-01-13 주식회사 하이닉스반도체 반도체소자의 제조방법
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US7112533B2 (en) * 2000-08-31 2006-09-26 Micron Technology, Inc. Plasma etching system and method
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
AU2002303842A1 (en) * 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6921725B2 (en) * 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6942816B2 (en) * 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system
US7176122B2 (en) * 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
US7291550B2 (en) * 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
JP2007180493A (ja) * 2005-11-30 2007-07-12 Elpida Memory Inc 半導体装置の製造方法
WO2007105261A1 (ja) 2006-03-09 2007-09-20 Philtech Inc. 層間絶縁膜のドライエッチング方法
KR100763227B1 (ko) * 2006-04-04 2007-10-04 삼성전자주식회사 분리 노광 방법을 이용한 포토마스크와 그 제조 방법 및 제조 장치
JPWO2007116515A1 (ja) * 2006-04-07 2009-08-20 株式会社フィルテック 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI469843B (zh) * 2011-06-15 2015-01-21 Applied Materials Inc 用於使用雷射劃線和電漿蝕刻之元件裁切的原位沉積光罩層
TWI796803B (zh) * 2020-10-15 2023-03-21 日商昭和電工股份有限公司 蝕刻氣體及其製造方法、以及、蝕刻方法、半導體元件之製造方法

Also Published As

Publication number Publication date
DE112008003598B4 (de) 2016-09-01
CN101911263B (zh) 2012-07-18
DE112008003598T5 (de) 2010-12-16
GB2468458A (en) 2010-09-08
TWI402908B (zh) 2013-07-21
GB2468458B (en) 2013-02-20
CN101911263A (zh) 2010-12-08
US8614151B2 (en) 2013-12-24
KR101158205B1 (ko) 2012-06-20
WO2009088660A1 (en) 2009-07-16
KR20100098580A (ko) 2010-09-07
US20090176375A1 (en) 2009-07-09
US20140077126A1 (en) 2014-03-20
GB201011217D0 (en) 2010-08-18

Similar Documents

Publication Publication Date Title
TWI402908B (zh) 蝕刻高長寬比接觸之方法
US11152223B2 (en) Fluorocarbon molecules for high aspect ratio oxide etch
KR102398461B1 (ko) 다중 적층을 에칭하기 위한 화학물질
KR102444697B1 (ko) Tsv/mems/전력 장치 식각용 화학품
US11062921B1 (en) Systems and methods for aluminum-containing film removal
TW202331802A (zh) 在選擇性地蝕刻氮化矽間隔物期間改進輪廓控制之方法
US11728177B2 (en) Systems and methods for nitride-containing film removal
CN110571150B (zh) 高深宽比开口的刻蚀方法及半导体器件
JP2023530554A (ja) 等方性窒化ケイ素の除去
US11984325B2 (en) Selective removal of transition metal nitride materials
US20230010978A1 (en) Selective removal of transition metal nitride materials
JP3363782B2 (ja) 集積回路構造の選択性の高い酸化物エッチングプロセス
TW202331840A (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物