TW200305228A - Heat treatment apparatus and a method for fabricating substrates - Google Patents

Heat treatment apparatus and a method for fabricating substrates Download PDF

Info

Publication number
TW200305228A
TW200305228A TW092104279A TW92104279A TW200305228A TW 200305228 A TW200305228 A TW 200305228A TW 092104279 A TW092104279 A TW 092104279A TW 92104279 A TW92104279 A TW 92104279A TW 200305228 A TW200305228 A TW 200305228A
Authority
TW
Taiwan
Prior art keywords
substrate
heat treatment
substrates
graphite
contact portion
Prior art date
Application number
TW092104279A
Other languages
English (en)
Inventor
Sadao Nakashima
Tomoharu Shimada
Kenichi Ishiguro
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW200305228A publication Critical patent/TW200305228A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67306Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by a material, a roughness, a coating or the like
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

200305228 玖、發明說明 、先前技術、内容、實施方式及圊式簡單說明) 【發明所屬之技術領域】 發明領域 本發明係有關於用於製造半導體晶圓、玻璃基板以及 5相似物的裝置及方法;更特定言之,係有關於在半導體晶 圓、玻璃基板以及相似物上執行熱處理的裝置及方法。 L先前技術;j 發明背景 在複數之矽晶圓或石英基板於一垂直熱處理爐中加工 10的狀況下,廣泛地使用以碳化矽(SiC)或石英所製成的一基 板支撐構件(或晶舟(boat))。 參考第12圖,圖示一傳統式基板支撐構件丨,其係包 括一頂板2以及底板3、三(或四)支撐桿4配置於其間。複數 之支撐部分5 ,該每一部分的形式係為水平溝槽,其係以 15預定間隔垂直地配置在支撐桿4中,用以將諸如矽晶圓或 石英基板的基板6固持於其中。 然而,在一熱處理裝置中使用該基板支撐構件丨係有 複數的缺點。具體地,當在約1〇〇(rc或更高的溫度下執行 熱處理時,基板6會在接近與支撐部分5接觸的區域上發生 20刮痕。再者’由於石夕晶圓受到不利认繳揪,糾,、,—< λ
(lithography)加工中光罩未較準(由於焦點 〔在一微影蝕刻 的未較準或是基 板變形),這是在製造大型積體電路(LSI)或是液晶顯示器 6 200305228 玫、發明說明 (LCD)電路當中,其中之一的重要加工,從而使精確地製 造具有所需圖案的大型積體電路(LSI)或是液晶顯示器 (LCD)電路係為困難的。 以下係視為產生該等刮痕或滑移線的原因·· 5 當基板支撐構件,在近似室溫下支撐複數之矽晶圓, ***至加熱至約為600至700它溫度範圍之反應爐中時, 在每固持於其中的矽晶圓上,在周圍部分與中心部分之 間务生/JEL度差(例如,見曰本專利早期公開申請案第^ 6894號)因此,石夕晶圓經歷一彈性變形,導致石夕晶圓與 10以候化石夕(siC)所製成之基板支掉構件的支撐部分5發生摩 擦或碰撞,該碳化矽的硬度係大於矽晶圓、或石英或是硬 度大體上與矽晶圓相等的矽之硬度。在單結晶矽上所出現 的該等刮痕,顯著地降低發生位錯(dislocation)形成的降 伏點。因此,在刮痕區域中發生位錯,同時係在高溫下或 15是在升高溫度下進行加工,進而,產生滑移線並因此基板 又4曲而呈現一彎曲形狀。再者,在溫度升高時導致額外 的到痕,並且在熱處理加工期間該等刮痕導致形成位錯及 滑移,係為導致撓曲的另一歸屬因素。第13圖係圖示在矽 晶圓6所形成之示範的刮痕7及滑移線8,其中代表符號9係 2〇 標示為凹口。 同樣地,當基板支撐構件,支撐複數之石英基板,插 入至—加熱至約為600至700t溫度範圍之反應爐中時,在 母一固持於其中的石英基板上,在周圍部分與中心部分之 間發生溫度差。因此,石英基板經歷一彈性變形,並導致 200305228 玖、發明說明 石英基板與以碳化矽所製成之基板支撐構件的支撐部分5 务生摩擦或娅撞,該碳化矽的硬度係大於石英基板、或石 英或疋硬度貫際上與石英基板相等的矽之硬度。第丨4圖係 圖不在石英晶圓上所形成之示範的刮痕7。 【明内】 發明概要 因此,本發明之一目的係提供一種裝置及方法,能夠 在石夕晶圓或石英基板上執行熱處理,同時將在石夕晶圓或石 英基板上形成到痕的機會降至最低,並抑制形成滑移線及 ι〇晶圓變形,從而提供高品質的矽晶圓或石英基板。 為達成前述目的,本發明之發明人觀察由傳統式熱處 理裝置所導致的到痕,並發現到痕僅呈現在石夕晶圓或石英 基板上’並且該等到痕很少由碳化石夕(Sic)所製成之基板支 撑構件所造成。基於該等相關於到痕之觀察,發明人認為 15在石夕晶圓或石英基板上形成刮痕的決定因素在於基板支 揮構件的硬度大於石夕晶圓或石英基板的硬度。因此,經詳 加考量,藉由在基板支撑構件與石夕晶圓或石英基板之間配 置一物質’而不致在石夕晶圓或石英基板上形成刮痕,該物 ,質的硬度係低於石夕晶圓或石英基板的硬度,並且在石夕大型 !〇積體電路製程或是石英液晶顯示器製程期間,不致成為致 污物。就上述而論,完成複數之實驗及評估。 具有小硬度的示範材料係為玻璃石墨、石墨或一其之 結合物,例如,一具有玻璃石墨層的主體,例如,石墨, 其之硬度小於玻璃石墨。頃發現藉由_垂直熱處理裝:將 200305228 玖、發明說明 忒等材料配置在石夕晶圓或石英基板與基板支撐構件之間, 執行熱處理期間並未在矽晶圓上以及在石英基板上產生刮 痕。再者,藉由在矽晶圓上執行熱處理(在12〇〇。匸的溫度 下,並係在氬氣的環境下持續熱處理一小時)同時使用上 5述具有小硬度的材料,可以確定的是該材料不致產生大量 的金屬(鐵或銅)致污物。藉使用一全反射螢光性χ射線分 析器而得以確認。 根據本發明之一觀點提供一種熱處理裝置用於在一或 更多的基板上執行熱處理,其包括:一基板支撐構件係支 10撐該一或更多的基板,該基板支撐構件包括一主體以及一 接點部分係與一基板接觸,其中主體之一表面係以與接點 #分不同之材料製成,並且接點部分之至少一表面係以玻 璃石墨抑或是石墨所製成。 在使用石夕晶圓或石英基板作為基板的狀況下,如以下 表1中所列’係為在構成基板、主體及接點部分所使用之 材料的硬度。 表1 材料 維氏硬度(kgf/mm2) 碳化石夕 約 2500 矽 1000 〜1050 石英 950 〜1000 玻璃石墨 400 〜500 石墨 「 200 〜250 具玻璃石墨塗佈之石墨 約250 (其中或硬度係為維氏硬度(vjckers hardness),硬度測試 器與硬度測試法係分別符合曰本工業標準(JIS)B7725及 Z2244) 9 20 200305228 玖、發明說明 如上所述’根據本發明由於接點部分係以硬度小於基 板的一材料所製成,所以降低了由於基板與接點部分之間 碰^里所產生的應力’並從而防止產生到痕。再者,由於主 體係由碳化矽、矽或是石英所製成,所以其在高溫下能夠 5 維持良好的強度。 此外’當使用具有玻璃石墨層的石墨作為接點部分時 ,防止自石墨產生雜質不純物。該接點部分係較價廉並且 硬度係接近石墨之硬度,同時係小於僅以玻璃石墨所製成 者。 10 再者’當與該一基板支撐構件比較時,其係完全地以 硬度小於在曰本專利早期公開申請案第1994·553〇號所 揭露之基板硬度的材料加以塗佈,或是整個以在曰本專利 早期公開申請案第1998-209064號所揭露之玻璃石墨所製 成,本發明之基板支撐構件係可以低成本製成,因為僅有 15基板支撐構件之接點部分係以硬度較一基板為小的材料塗 佈。 根據本發明之另一觀點,提供一種半導體元件製造方法 ,其包括以下步驟:將一或更多的基板負載入一反應爐中; 藉由使用一基板支撐構件支撐該一或更多的基板,其中基板 20支撐構件包括一主體以及一接點部分係與一基板接觸,並且 主體之一表面係以與接點部分所不同的材料製成,接點部分 之至少一表面區域係以玻璃石墨或石墨所製成;於反應爐中 固持在基板支撐構件中的該一或更多的基板上執行熱處理; 以及將該一或更多的基板自反應爐中卸載。 10 200305228 玖、發明說明 根據本發明之另一觀點,提供一種基板製造方法,其包 括以下步驟:將一或更多的基板負載入一反應爐中;藉由使 用基板支稽構件支撐該一或更多的基板,其中該基板支撐 構件包括一主體以及一接點部分係與一基板接觸,並且主體 5 之一表面係以與接點部分所不同的材料製成,接點部分之至 少一表面區域係以玻璃石墨或石墨所製成;於反應爐中,在 固持在基板支撐構件中的該一或更多的基板上執行熱處理; 以及將該一或更多的基板自反應爐中卸載。 · 圖式簡單說明 ίο 本發明之上述以及其他的目的與特性,由以下結合伴 隨圖式的較佳具體實施例之說明,將變得顯而易見的,其 中: 第1圖係為本發明之一較佳具體實施例的一種熱處理 裝置的透視圖; 15 第2圖係為第1圖之熱處理加工的一反應爐的一橫截面 視圖; # 第3圖係為在第1圖之熱處理裝置中所使用的一基板支 撐構件的一第一較佳具體實施例的一垂直橫截面視圖; 第4圖係為沿著在第3圖中線A-A所取的一水平橫戴面 20 視圖; 第5圖係為第3圖之基板支撐構件的一放大垂直橫戴面 視圖; 第6圖係為在第1圖之熱處理裝置中所使用的一基板支 撐構件的一第二較佳具體實施例的一垂直橫截面視圖; 11 200305228 玖、發明說明 第7圖係為沿著在第6圖中線B-B所取的一水平橫截面 第8圖係為第6圖之基板支撐構件的一放大垂直橫截面 視圖; 第9圖係為在第1圖之熱處理裝置中所使用的一基板支 樓構件的一第三較佳具體實施例的一垂直橫截面視圖; 第10圖係為沿著在第9圖中線C-C所取的一水平橫截面 視圖, 第11圖係為第9圖之基板支撐構件的一放大垂直橫截 10 面視圖; 第12圖係為一傳統式基板支撐構件的一透視圖; 第13圖係為藉由一傳統式熱處理裝置加工的一矽晶圓 的底視圖;以及 第14圖係為藉由一傳統式熱處理裝置加工的一石英基 15 板的底視圖。 【真^ 方式】 較佳實施例之詳細說明 現將相關於伴隨的圖式說明本發明之較佳的具體實施 例0 20 熱處理裝置Η)。減理裝置1(),例如,料—垂直的型式 ’包括—外殼U用於將其之主要元件容納於其中。一g 14係與外殼12連接,一莢16被轉 玄 爽臺上,其中莢16 中匕έ複數之基板,例如25,同時伴拄 保待其之蓋子(未顯示) 12 200305228 玖、發明說明 閉合。 一莢轉送構件18係安裝在外殼12中,其係與莢臺14相 應地配置。莢架20、一莢開啟器22以及一用於計算位在莢 16中的基板數目之檢測器24,係環繞莢轉送構件18而配置 5 ,其中莢轉送構件18於其間轉送莢16。檢測器24在由莢開 啟器22將莢16之蓋子開啟之後,計算位在莢16中的基板數 目° 再者,於外殼12中,配置有一基板轉送構件26、一凹 口對正裝置28以及一基板支撐構件(或晶舟)3〇。基板轉送 1〇構件%係配置具有一能夠抽出多數目,例如5 ,之基板的 臂件32,並藉由使用該臂件32,於配置在莢開啟器22上的 笑16、凹口對準器28以及基板架3〇之間轉送。凹口對準器 28藉由檢測於其中所形成之凹口或方向平坦性而將基板對 準。基板支撐構件30具有一頂板34以及一底板36,二者藉 15由,例如,三,支撐桿38配置於其間而加以連接,其中支 樓桿38能夠支撐多數,例如,75,之基板。應注意的是, 能夠變化該等支撐桿38的數目,只要其係用以支撐基板。 基板支撐構件30係負載入一反應爐4〇,將於之後詳述之。 參考第2圖,係圖示一反應爐4〇,其包括一反應管42 20 ,基板支撐構件30經由位在其之底部端部的一開口負載進 入該反應管。該開口藉由一蓋子44加以密封。反應管42係 由一熱擴散管46所環繞,一加熱器48係配置環繞著熱擴散 管。在反應管42與熱擴散管46之間,安裝一熱電偶50用於 測量反應爐40之内部溫度。此外,一供應管用於將一加工 13 200305228 玖、發明說明 乳體導入反應管斗2,以及一用於棑放加工氣體的排放管與 該反應管連接。 現將說明熱處理裝置1 0之作動。 包含基板的莢16—經安置在莢臺14上後,莢16即藉由 5莢轉送構件18自莢臺14轉送至莢架20,並貯存於其中。接 著,莢轉送構件18將儲存在莢架2〇中的莢16轉送至莢開啟 器22。接者,莢開啟器22將位於其上之莢16的蓋子開啟, 並且檢測器24計算包含在莢16中的基板數目。 於接續的步驟中,基板轉送構件26將基板自位在莢開 1〇啟器22上的莢16中抽出,並將基板移至凹口對準器28。接 著,凹口對準器28檢測基板之凹口,並轉動晶圓藉使用該 檢測結果加以對準。之後,基板轉送構件26將基板自凹口 對準器28轉送至基板支撐構件3〇。 可重複上述之該等加工,因此基板支撐構件3〇係充分 15地供給供一批次加工所需之基板。接著,支撐著針對一批 人所4之基板的基板支撐構件3 〇,係負載進入内部溫度約 為7〇〇°C的反應爐40内,並且蓋子44將位在反應管42之底部 端部中的開口閉合。再者,例如,包括氮、氬、氫、及/或 氧的加工氣體,係經由供應管52導入反應管42中。此時, 20固持在基板支撐構件30中的基板係加熱至,例如,約等於 或大於1000°C的溫度。固持在基板支撐構件30中的基板接 受根據一預定的溫度線圖(temperature profile)執行的熱處 理加工,同時藉由熱電偶50監測反應管42之内部溫度。 在完成熱處理之後,反應爐40之内部溫度約降至700 14 200305228 玖、發明說明 °C,並且基板支撐構件30係自反應管42卸載至一預設位置 ,於該處所有固持在基板支撐構件30中的基板接著冷卻至 一預定的溫度。之後,基板轉送構件26自基板支撐構件30 抽回經加工之基板,並將基板卸放入安置在莢開啟器22上 5 的莢16中。接者,莢轉送構件丨8將包含經加工基板的莢16 自爽開啟器22轉送至爽架20。之後’笑16係藉由笑轉送構 件18移動至莢臺14。 現將說明基板支撐構件3 0。 參考第3至5圖,係圖示本發明之一第一較佳具體實施 10例的一基板支撐構件30。如前述,基板支撐構件3〇係配置 三支撐桿38。每一支撐桿38具有一主體56以及複數之接點 部分58,其中與基板68接觸的每一接點部分58,係自底部 支撐著基板68。每一主體56係以碳化矽、矽或石英製成。 面向基板支撐構件30之内側的複數之支撐部分6〇,係沿著 15每一支撐桿38的長度方向以預定的間隔連續地構成。每一 支撐部分60係為溝槽的形式,基板68之周圍部分***該溝 槽中,並具有一内壁62、一上壁64以及下壁66。 應注意的是,支撐部分60的垂直橫截面,除了於第3 圖中所示之矩形形狀外,可為環形、擴圓形或任一多邊形 20 的形狀的其中之一部分形狀。 此外,如第5圖中所示,於每一支撐部分6〇的下壁% 中,構成-負載部分70,該對應之接點部分58係***於該 負載4刀中。如之後將加以說明,負載部分7〇之寬度係設 計為大於接點部分58的寬度,因此在負載部分7〇與接點部 15 200305228 玫、發明說明 刀58之間存在著一側向餘隙。由於將接點部分58***負載 部分70中不需於其間使用任何黏著性材料,並且因為存有 < J向餘隙,所以接點部分5 8能夠輕易地以另一接點部分取 代。 5 ,接點部分58係以與主體56本身及其之表面區域不同的 材料製成’ ϋ且所具之硬度係小於基板的硬度。接點部分 58之材料,例如,係為玻璃石墨、石墨或是硬度小於玻璃 石墨之具玻璃石墨層之物質,其中該物質包括石墨。該接 點部分58係設計成可***該負載部分70中,並且其之上端 10部部分的轉角係為圓(骨的,因此當支撐基板啊,防止刮 傷該基板68。 /考第6至8圖,係圖示本發明之—第二較佳具體實施 例的-基板支撐構件30。於此較佳具體實施例中每一接 點部分5 8係為馬蹄形狀並且同時係由所有之該三支撐桿3 8 15所支撐。如第8圖中所示’一負載部分係構成在支撲部 分60之每-下壁66的端部部分上,由負載部分於基板的底 敎樓著基板的周圍部分。如在第一較佳具體實施例中的 。兄月每接點部分Μ之上部分的轉角區域亦為圓滑的。 再者’由於接點部分係可移開地安裝在主體上,所以 20其僅可藉由本身配置在負載部分上而加以安裝,因此當接 點β刀不堪使用、知壞或是品質退化時能夠輕易地以一新 的取代。 再者’接點部分58之-截除部分72提供一路徑,安裝 在基板轉送構件26的一臂件之—端部部分處的錄子係經由 16 200305228 玖、發明說明 該路徑***,用於轉送基板。 在第-與第一具體實施例中相同的代表符號係標示相 同的7L件,並且為了簡化起見省略了對於該等元件的詳細 說明。 5 參考第9至11目,其巾圖示本發明之-第三較佳具體實 施例的-基板支撐構件30。力此較佳具體實施例中,基板 支樓構件30包括四支樓桿38,係藉由沿著支撑桿38的長度 方向以預定的間隔所配置之支撐部分6〇加以連接。每一支 撐部分60具有一馬蹄形狀的下壁66,該五負載部㈣係位 10在下壁上,其係為環形溝槽的形式,以預定之間隔構成。 如第11圖中所示,於每-負載部分7时,配置有一圓筒形 接點部分58。每-接點部分58之上部分的轉角區域亦為圓 滑的,如同在第-及第二較佳具體實施例中的圓滑部分。 再者,由於接點部分係可移開地安裝在主體上,所以 15其僅可藉由本身配置在負載部分上而加以安裝,因此當接 點部分不堪使用、損壞或是品質退化時能夠輕易地以一新 的取代。 再者,馬蹄形狀的下壁66配置一截除部分72作為,安 裝在晶圓轉送構件26的一臂件之一端部部分處的鑷子之通 20 道。 在第一至第三具體實施例中相同的代表符號係標示相 同的元件。因此,並且為了簡化起見省略了對於該等元件 的詳細說明。 現將說明實例及比較性實例。 17 200305228 玖、發明說明 實例 於以下的實例1至3中,係使用第一較佳具體實施例之 基板支撐構件,其中主體及接點部分係分別以碳化矽及玻 璃石墨所製成。 5 實例1 針對一批次加工支撐75張300公厘矽晶圓的基板支撐 構件,係在100公厘/分鐘的速度下***一處在氬氣環境下 的反應爐中。當基板支撐構件***其中時,該反應爐之溫 度係δ又疋為700 C。該溫度係自700°C升高至1200°C。更特 1〇元呂之’溫度跳動率(ramping rate)係為16°C/分鐘,自700 C 至 1200 C ’ 以及 1.5。(: / 分鐘,自 l〇〇〇°c 至 1200°C。並且 度度係維持在1200°c下持續一小時。接著,溫度係自12〇〇 C降至700°C。更特定言之,溫度係以irc/分鐘的跳動率 自1200°C降至l〇〇(TC,以及以15t/分鐘的跳動率自1〇〇〇 15 C降至700°c。在該二狀況下於1000與12〇(rc之間的溫度 範圍中,跳動率較在了⑻與⑺⑻艽之間的溫度範圍中的跳 動率為低的原因在於防止滑移,在高溫下因驟然的溫度變 化所導致溫度的不均勻性容易產生滑移。當反應爐溫度達 到700°c時,基板支撐構件在1〇〇公厘/分鐘的速度下自反 2〇 應爐中卸載。 在接續的步驟中,藉由一光學差動顯微鏡觀測經處理 的石夕晶圓,並未發現刮痕或是滑移線。再者,藉由一撓度 計測量矽晶圓之撓曲,並且該測量結果係等於或小於1〇微 米,大體上係等於在加工之前所測量的一數值。 18 200305228 玖、發明說明 藉由熟知此技藝之人士所熟知的一方法,針對職經 加工的石夕晶圓執行翹曲測量。亦即,在將石夕晶圓相關於雷 射光束之-光軸垂直地豎放之後,放射雷射光束。接著, 測量由石夕晶圓所反射的光線,計算石夕晶圓之挽曲度。 5 實例2 於此實例中,執行與實例i相同的實驗,除了反應爐 的保持溫度為1_。(:外。亦即,反應爐之溫度係在16口 分鐘的跳動率下自7_升高至麵1,以及紅似分鐘 的跳動率下自⑽代升高錢,。該溫度的升高係在 W 99·5%的氯氣與〇.5%的氧氣之混合氣體環境下所發生。接 著,在1〇〇%的氬氣環境下固定地維持在1〇8(rc下持續一 J時之後,溫度係在1.5°C/分鐘的跳動率下自丨〇8〇。匸降 低至1000 C,以及在15。(〕/分鐘的跳動率下,於1〇〇%的氬 氣% i兄中自1000 C降低至700。〇。其他的狀況係與實例丨之 15 狀況相同。 實驗結果顯示並無產生到痕、滑移線,以及晶圓挽曲 增加的徵象。 實例3 於此貫例中,執行與實例丨及2相同的實驗,除了反應 20爐的保持/JBL度為i〇00 C外。亦即,反應爐之溫度係在99 5 %的氬氣與0.5%的氧氣之混合氣體環境中,在16。〇/分鐘 的跳動率下自700°C升高至i000°c。接著,在1〇〇%的氬氣 環*兄下保持在1〇〇〇。(3下持續二小時。之後,在1〇〇%的氬 氣裱境下’溫度係在15°c /分鐘的跳動率下自1〇〇〇°c降低 19 200305228 玖、發明說明 至700 C。其他的狀況係與實例1之狀況相同。 實驗結果顯示並無產生到痕、滑移線,以及晶圓撓曲 增加的徵象。 於以下所提出實例4至6之每一實例中,使用第一較佳 具體貫施例之晶圓支撐構件,其中主體及接點部分的主要 元件係分別以碳化矽(SiC)及具玻璃石墨層之石墨製成。 實例4 執行如實例1相同的熱處理。實驗結果顯示並無產生 到痕、滑移線’以及增加撓曲的徵象。 10 實例5 執行與實例2相同的熱處理,除了係在1〇〇%氬氣的環 境氣體下外。實驗結果顯示並無產生刮痕、滑移線,以及 增加撓曲的徵象。 實例6 15 執行與實例3相同的熱處理,除了係在鹏氬氣的環 境氣體下外。實驗結果顯示並無產生刮痕、滑移線,以及 增加撓曲的徵象。 於以下所提出實例7至9之每一實例中,使用第二較佳 具體實施例之晶圓支推構件,其中主體及接點部分係分別 20 以碳化矽(SiC)及石墨製成。 實例7 執行與實例刺的熱處理。實驗結果顯示並無產生 刮痕、滑移線,以及增加撓曲的徵象。 實例8 20 200305228 玖、發明說明 執行與實例5相同的熱處理。實驗結果顯示並無產生 到痕、滑移線,以及增加撓曲的徵象。 實例9 執行與實例6相同的熱處理。實驗結果顯示並無產生 5刮痕、滑移線,以及增加撓曲的徵象。 實例10 藉由使用本發明之第一較佳具體實施例之基板支撐構 件執行與貫例1至9相同的實驗,其中主體之主要元件係 以石夕取代。實驗結果顯示並無產生刮痕、滑移線,以及增 10 加撓曲的徵象。 實例11 藉由使用本發明之第三較佳具體實施例之前述基板支 撐構件,完成與實例2、3、5、6、8及9相同的實驗,其中 主體係以石英製成。實驗結果顯示並無產生刮痕、滑移線 15 ,以及增加撓曲的徵象。 實例12 藉由使用本發明之第一較佳具體實施例之石英基板與 前述基板支撐構件,完成與實例2、3、5、6、8及9相同的 貫驗,其中主體係以碳化矽製成,以及接點部分係以玻璃 20石墨、具玻璃石墨層之石墨或石墨所製成。並且石英晶圓 之直徑及厚度分別為300公厘及1.0公厘。實驗結果顯示當 以光學差動顯微鏡檢視時,並無產生刮痕、滑移線,以及 增加撓曲的徵象。 實例13 21 坎、發明說明 在主體以一用矽製成者取代之後,執行與實例12中相 同的實驗。實驗結果顯示並無產生刮痕、滑移線,以及增 加撓曲的徵象。 f例14 在主體以一用石英製成者取代之後,執行與實例丨2中 相同的貫驗。實驗結果顯示並無產生刮痕、滑移線,以及 增加撓曲的徵象。 达較性f你丨1 藉使用於第12圖中所示之傳統式支撐構件執行與實例 1中相同的實驗,其中矽晶圓係直接藉由以碳化矽製成的 傳統式基板支撐構件支撐著。分別與基板支撐構件之三支 撐部分相對應的位在每一矽晶圓之底部表面上的三部分中 ’觀察到所具之到痕尺寸為5〇-3〇〇微米、深度為5微米以 及尚度為10微米。並且因刮痕(如第13圖中所示)而產生複 數之長度為4-30公厘的滑移線。此外,矽晶圓撓曲在熱處 理之前為10微米,於熱處理之後係為60-90微米。於此比 較性實例中,所使用之矽晶圓的數目N係為10。 比較性膏例2 藉使用於第12圖中所示之傳統式支標構件執行與實例 2中相同的實驗,其中矽晶圓係直接藉由以矽構成的基板 支撐構件支撐著。分別與基板支撐構件之三支撐部分相對 應的位在每一矽晶圓之底部表面上的三部分中,所造成之 刮痕尺寸為20-100微米。並且因刮痕而產生複數之長度為 2-30公厘的滑移線。此外,矽晶圓撓曲在熱處理之前為1〇 200305228 玖、發明說明 於此比較性實例中 微米,於熱處理之後係為60_8〇微米 ,所使用之矽晶圓的數目N係為1〇。 达較性竇你Μ 错使用於第12圖中所示之傳統式支撐構件執行與實例 中相同的實驗’其中石英基板係直接藉由以石英構成的 基板支撐構件支撐著。每一石英基板的直徑與厚度係分別 為300公厘及L0公厘“分別與基板支撑構件之三支樓部分 相對應的位在每-石英基板之底部表面上的三部分中,所 造成之刮痕尺寸為100-200微米(如第14圖中所示)。並且刮 10 痕的最大高度約為20微米。 再者,直徑為300公厘的矽晶圓或石英基板,能夠以 直徑為200公厘或是4〇〇公厘,或甚至是矩形形狀的矽晶圓 或石英基板加以取代。此外,儘管比較性實例並無提及以 石夕製成之基板支撐構件與一石英基板的結合,或是以石英 15製成之基板支撐構件與一石夕晶圓的結合,但在該狀況下同 樣地在基板上造成刮痕,因為矽之硬度大體上等於石英的 硬度。 如上所述,本發明之較佳的具體實施例的裝置,能夠 在石夕晶圓或是石英基板上執行熱處理,同時將刮痕的形成 20 減至最小並抑制形成滑移線,從而能夠提供高品質的石夕晶 圓或基板。 本發明之較佳具體實施例的熱處理裝置,能夠應用在 於基板上所執行之不同的熱處理加工。 現將說明本發明之熱處理裝置的一應用,在於與針對 23 200305228 玖、發明說明 製造注氧隔離(SIMOX,separation by implanted oxygen)晶 圓、一類型之絕緣層上覆石夕(SOI,Silicon On Insulator)晶圓 結合的一加工法。 首先,藉由一離子植入機(ion implanter)將氧離子植 5 入單結晶矽晶圓中。
再者,藉由本發明之熱處理裝置在以氧離子植入的矽 晶圓上執行一退火加工,例如,在高於1300〜1400°C的溫 度下,例如,1350°C或更高,並且在氬、氧氣的環境中進 行,因此製成注氧隔離晶圓,其中每一晶圓具有二氧化矽 10 (Si02)層。
再者,本發明之熱處理裝置能夠應用在,針對製造氫 退火(hydrogen annealed wafers)晶圓製程結合的一加工法 。於該狀況下,在一氫環境下,藉由本發明之熱處理裝置 在約為1200°C的溫度下,在晶圓上執行一退火加工。因此 15 ,能夠增強晶圓的結晶度,以及積體電路(1C)構成於其上 的晶圓之表面層中的缺陷能夠降低。 此外,本發明之熱處理裝置亦能夠應用在,針對製造 蠢晶片(epitaxial wafers)製程結合的一加工法。 執行作為基板製程之第一加工法的前述高溫退火加工 20 法中,藉使用本發明之熱處理裝置能夠防止形成滑移線。 本發明之熱處理裝置亦可在製造半導體元件期間應用 在熱處理加工。 更特定言之,將本發明之熱處理裝置應用在一相對高 的溫度下所執行的一熱處理加工係為較佳的,例如,一熱 24 200305228 玖、發明說明 氧化加工法(thermal oxidation),諸如濕氧化法、乾氧化法 、氫氧燃燒法(pyrogenic oxidation)以及HCI氧化法,以及 用於擴散摻雜物的熱擴散法,諸如於一半導體薄層中的石朋 (B)、磷(P)、砷(As)、銻(Sb)等。 5 於執行作為半導體元件製程之一部分的該一熱處理加工 法中,藉使用本發明之熱處理裝置能夠防止形成轉移線。 儘管已顯示本發明並相關於較佳的具體實施例加以說 明,但是熟知此技藝之人士應瞭解的是,所作不同之變化 與修改並不背離在以下的申請專利範圍所界定之本發明的 10 精神與範疇。 【圖式簡單說明】 本發明之上述以及其他的目的與特性,由以上結合伴 隨圖式的較佳具體實施例之說明,將變得顯而易見的,其 中: 15 第1圖係為本發明之一較佳具體實施例的一種熱處理 裝置的透視圖; 第2圖係為第1圖之熱處理加工的一反應爐的一橫戴面 視圖; 第3圖係為在第1圖之熱處理裝置中所使用的一基板支 20撐構件的一第一較佳具體實施例的一垂直橫截面視圖; 第4圖係為沿著在第3圖中線α·α所取的一水平橫截面 視圖, 第5圖係為第3圖之基板支撐構件的一放大垂直橫截面 視圖; 25 200305228 玖 '發明說明 第6圖係為在第1圖之熱處理裝置中所使用的一基板支 撐構件的一第二較佳具體實施例的一垂直橫截面視圖; 第7圖係為沿著在第6圖中線b-B所取的一水平橫截面 視圖; 5 第8圖係為第6圖之基板支撐構件的一放大垂直橫截面 視圖; 第9圖係為在第1圖之熱處理裝置中所使用的一基板支 撐構件的一第三較佳具體實施例的一垂直橫截面視圖; 第1 〇圖係為沿著在第9圖中線C-C所取的一水平橫截面 10 視圖; 第π圖係為第9圖之基板支撐構件的一放大垂直橫截 面視圖; 第12圖係為一傳統式基板支撐構件的一透視圖; 第13圖係為藉由一傳統式熱處理裝置加工的一矽晶圓 15 的底視圖;以及 第14圖係為藉由一傳統式熱處理裝置加工的一石英基 板的底視圖。 26 200305228 玖、發明說明 【圖式之主要元件代表符號表】 1、3 0…基板支樓構件 28…凹口對準器 2、3 4 · · ·頂板 32…臂件 3、3 6…底板 40…反應爐 4、38···支撐桿 4 2…反應管 5、60···支撐部分 44…蓋子 6、6 8 · · ·基板 46…熱擴散管 7…刮痕 48…加熱器 8…滑移線 50…熱電偶 9···凹口 52…供應管 10…熱處理裝置 56…主體 12…外殼 58…接點部分 14…笑臺 62…内壁 16…荚 64…上壁 20…莢架 66…下壁 22…莢開啟器 70···負載部分 24…檢測器 72…截除部分 26…基板轉送構件
27

Claims (1)

  1. 200305228 拾、申請專利範圍 1· 一種熱處理裝置,其用於在一或更多的基板上執行熱 處理,其包括: 基板支撐構件,係支撐該一或更多的基板,該 基板支撐構件包括一主體以及與一基板接觸的一接點 5 部分, 其中主體之一表面係以與接點部分不同之材料製 成,並且接點部分之至少一表面係以玻璃石墨抑或是 石墨所製成。 2·如申請專利範圍第1項之熱處理裝置,其中該接點部分 1〇 係以一第一材料及一第二材料製成,第一材料係以第二 材料塗佈並且第一材料的硬度係小於第二材料的硬度。 3.如申請專利範圍第2項之熱處理裝置,其中該第二材料 為玻璃石墨。 4·如申請專利範圍第3項之熱處理裝置,其中該第一材料 I 5 係為石墨。 5·如申請專利範圍第1項之熱處理裝置,其中該主體係以 碳化矽、矽或石英製成。 6·如申請專利範圍第1項之熱處理裝置,其中該接點部分 係可移開地配置在主體上。 20 7·如申請專利範圍第1項之熱處理裝置,其中該基板支樓 構件大體上垂直地固持該等基板,以致該等基板於其 間以預定的間隔垂直地堆疊。 8.如申請專利範圍第1項之熱處理裝置,其中藉由在約 l〇〇〇°C或更高的溫度下將該一或更多的基板加熱,執 28 200305228 拾、申請專利範圍 行熱處理。 9. 如申請專利範圍第1項之熱處理裝置,其中藉由在約 1300 C或更咼的溫度下將該一或更多的基板加熱,執 行熱處理。 10. —種半導體元件製造方法,其包括以下的步驟: 將一或更多的基板負載入一反應爐中; 藉由使用一基板支樓構件支撐該一或更多的基板 ’其中該基板支撐構件包括一主體以及與一基板接觸 的一接點部分,並且主體之一表面係以與接點部分所 1〇 不同的材料製成,接點部分之至少一表面區域係以玻 璃石墨或石墨所製成; 於反應爐中,在固持在基板支撐構件中的該一或 更多的基板上執行熱處理;以及 將該一或更多的基板自反應爐中卸載。 15 11 · 一種基板製造方法,其包括以下的步驟: 將一或更多的基板負載入一反應爐中; 藉由使用一基板支樓構件支撐該一或更多的基板 ’其中該基板支撐構件包括一主體以及與一基板接觸 的一接點部分’並且主體之一表面係以與接點部分所 20 … 不同的材料製成’接點部分之至少一表面區域係以玻 璃石墨或石墨所製成; 於反應爐中,在固持在基板支撐構件中的該一或更多 的基板上執行熱處理;以及 將該一或更多的基板自反應爐中卸載。 29
TW092104279A 2002-03-01 2003-02-27 Heat treatment apparatus and a method for fabricating substrates TW200305228A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002055574 2002-03-01

Publications (1)

Publication Number Publication Date
TW200305228A true TW200305228A (en) 2003-10-16

Family

ID=27784614

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092104279A TW200305228A (en) 2002-03-01 2003-02-27 Heat treatment apparatus and a method for fabricating substrates

Country Status (2)

Country Link
US (1) US20030170583A1 (zh)
TW (1) TW200305228A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105674753A (zh) * 2016-01-19 2016-06-15 山东圣川陶瓷材料有限公司 石墨夹心复合碳化硅承烧板的制备方法

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060083495A1 (en) * 2002-07-15 2006-04-20 Qiu Taiquing Variable heater element for low to high temperature ranges
US20070275570A1 (en) * 2004-01-20 2007-11-29 Hitachi Kokusai Electric Inc. Heat Treatment Apparatus
US7163393B2 (en) * 2004-02-02 2007-01-16 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor silicon substrate
JP4047826B2 (ja) * 2004-03-25 2008-02-13 東京エレクトロン株式会社 縦型熱処理装置及び移載機構の自動教示方法
US20050229857A1 (en) * 2004-04-16 2005-10-20 Seh America, Inc. Support fixture for semiconductor wafers and associated fabrication method
KR100852975B1 (ko) * 2004-08-06 2008-08-19 가부시키가이샤 히다치 고쿠사이 덴키 열처리 장치 및 기판의 제조 방법
KR20070048649A (ko) * 2004-09-04 2007-05-09 어플라이드 머티어리얼스, 인코포레이티드 높이가 감소된 기판 캐리어
US7033168B1 (en) * 2005-01-24 2006-04-25 Memc Electronic Materials, Inc. Semiconductor wafer boat for a vertical furnace
US20070116545A1 (en) * 2005-11-21 2007-05-24 Applied Materials, Inc. Apparatus and methods for a substrate carrier having an inflatable seal
US20070141280A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Substrate carrier having an interior lining
JP5043826B2 (ja) * 2006-02-23 2012-10-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011129679A (ja) * 2009-12-17 2011-06-30 Hitachi Kokusai Electric Inc 基板処理装置
US9157681B2 (en) 2010-02-04 2015-10-13 National University Corporation Tohoku University Surface treatment method for atomically flattening a silicon wafer and heat treatment apparatus
JP5787526B2 (ja) * 2011-01-17 2015-09-30 イビデン株式会社 電子部品位置決め用治具
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
ES2884373T3 (es) 2017-06-28 2021-12-10 Meyer Burger Germany Gmbh Dispositivo para el transporte de un sustrato, dispositivo de tratamiento con una placa de alojamiento adaptada a un soporte de sustrato de tal dispositivo y procedimiento para el procesado de un sustrato bajo utilización de tal dispositivo para el transporte de un sustrato, así como planta de tratamiento
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
DE102017011991A1 (de) * 2017-12-22 2019-06-27 Nipro Corporation Verfahren und Vorrichtung zur Herstellung von Glasprodukten
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7030604B2 (ja) * 2018-04-19 2022-03-07 三菱電機株式会社 ウエハボートおよびその製造方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110246784B (zh) * 2019-06-19 2021-05-07 西安奕斯伟硅片技术有限公司 一种支撑结构和具有其的热处理装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR102552458B1 (ko) 2019-07-31 2023-07-06 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 지지구 및 반도체 장치의 제조 방법
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5054418A (en) * 1989-05-23 1991-10-08 Union Oil Company Of California Cage boat having removable slats
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6171400B1 (en) * 1998-10-02 2001-01-09 Union Oil Company Of California Vertical semiconductor wafer carrier
WO2001018856A1 (fr) * 1999-09-03 2001-03-15 Mitsubishi Materials Silicon Corporation Support de tranche
US6488497B1 (en) * 2001-07-12 2002-12-03 Saint-Gobain Ceramics & Plastics, Inc. Wafer boat with arcuate wafer support arms

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105674753A (zh) * 2016-01-19 2016-06-15 山东圣川陶瓷材料有限公司 石墨夹心复合碳化硅承烧板的制备方法
CN105674753B (zh) * 2016-01-19 2018-06-15 山东圣川陶瓷材料有限公司 石墨夹心复合碳化硅承烧板的制备方法

Also Published As

Publication number Publication date
US20030170583A1 (en) 2003-09-11

Similar Documents

Publication Publication Date Title
TW200305228A (en) Heat treatment apparatus and a method for fabricating substrates
JP4386837B2 (ja) 熱処理装置、半導体装置の製造方法及び基板の製造方法
JPWO2006035879A1 (ja) 熱処理装置及び基板の製造方法
TW200834802A (en) Substrate support components having quartz contact tips
JP2003324106A (ja) 熱処理装置、半導体デバイスの製造方法及び基板の製造方法
JP2002033284A (ja) 縦型cvd用ウェハホルダー
JP4611229B2 (ja) 基板支持体、基板処理装置、基板処理方法、基板の製造方法、及び半導体装置の製造方法
JPH11121311A (ja) 炭化ケイ素材およびその製造方法並びに炭化ケイ素ウエハ
JP5495920B2 (ja) シリコンウェーハの熱処理方法
CN101792927A (zh) 热处理硅晶片的方法
JP2001168175A (ja) 熱処理用基板保持具、基板熱処理装置および基板の熱処理方法
JP2007134518A (ja) 熱処理装置
JP5641533B2 (ja) シリコンウェーハの熱処理方法
JP2004356355A (ja) 熱処理方法、基板の製造方法、半導体装置の製造方法及び熱処理装置
JP2004281674A (ja) 熱処理装置及び基板の製造方法
JP2006100303A (ja) 基板の製造方法及び熱処理装置
JP2006080294A (ja) 基板の製造方法
JP2004296492A (ja) 熱処理装置
JP2004214260A (ja) 熱処理装置及び基板の製造方法
JP2010040638A (ja) Soi基板の製造方法
JP2004281669A (ja) 熱処理装置
JP2004281842A (ja) 熱処理装置
JPWO2004001835A1 (ja) 熱処理装置、基板の製造方法及び半導体デバイスの製造方法
JP2005086132A (ja) 熱処理装置、半導体装置の製造方法、基板の製造方法及び基板処理方法
JP2008078427A (ja) 熱処理装置