KR20240055496A - 웨이퍼 처리 장치 - Google Patents

웨이퍼 처리 장치 Download PDF

Info

Publication number
KR20240055496A
KR20240055496A KR1020220135849A KR20220135849A KR20240055496A KR 20240055496 A KR20240055496 A KR 20240055496A KR 1020220135849 A KR1020220135849 A KR 1020220135849A KR 20220135849 A KR20220135849 A KR 20220135849A KR 20240055496 A KR20240055496 A KR 20240055496A
Authority
KR
South Korea
Prior art keywords
wafer
flow rate
controller
heating plate
heating
Prior art date
Application number
KR1020220135849A
Other languages
English (en)
Inventor
황영호
임상현
임재홍
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020220135849A priority Critical patent/KR20240055496A/ko
Priority to US18/242,388 priority patent/US20240136216A1/en
Publication of KR20240055496A publication Critical patent/KR20240055496A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명의 기술적 사상은 웨이퍼가 실장되는 복수 개의 지지핀, 및 상기 복수 개의 지지핀 사이에 위치하는 복수 개의 진공 포트를 가지는 히팅 플레이트; 상기 히팅 플레이트를 가열하도록 구성된 발열 장치; 상기 복수 개의 진공 포트에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수 개의 진공 포트로 유입되는 유체의 유량이 목표 유량이 되도록 조절하는 전자 유량 조절기; 및 상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된 웨이퍼 처킹 제어기;를 포함하고, 상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 공정 중 상기 전자 유량조절기의 상기 목표 유량을 작아지게 하는 유량 제어 신호를 생성하도록 구성된 웨이퍼 처리 장치를 제공한다.

Description

웨이퍼 처리 장치{Wafer processing apparatus}
본 발명의 기술적 사상은 웨이퍼 처리 장치에 관한 것으로, 보다 상세하게는 가열 공정에서 웨이퍼의 온도 균일성을 개선한 웨이퍼 처리 장치에 관한 것이다.
반도체 소자들을 제조하기 위해, 산화 공정들, 포토 리소그래피, 식각, 박막 증착, 금속화, EDS(Electrical Die Sorting) 및 패키징 등 다양한 공정들이 웨이퍼 상에 수행된다. 반도체 소자들이 점점 더 미세화될수록 반도체 공정 조건들의 고정밀도 제어에 대한 필요성이 점점 더 증대되고 있다. 특히, 공정의 각 단계에서 웨이퍼의 워피지(warpage)를 파악하고, 휨의 정도를 결정하며, 웨이퍼 휨에 대응하여 균일한 공정 조건을 구현하는 것은 반도체 제조 수율의 제고에 핵심적인 요소이다.
본 발명의 기술적 사상이 해결하고자 하는 과제는 웨이퍼 전체의 온도를 균일하게 제어할 수 있는 웨이퍼 처리 장치를 제공하는데 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는 웨이퍼의 상태에 따라 진공압을 조절할 수 있는 웨이퍼 처리 장치를 제공하는데 있다.
또한, 본 발명의 기술적 사상이 해결하고자 하는 과제는, 이상에서 언급한 과제에 제한되지 않으며, 다른 과제들은 아래의 기재로부터 통상의 기술자에게 명확하게 이해될 수 있다.
상기 과제를 해결하기 위하여 본 발명의 기술적 사상은 웨이퍼가 실장되는 복수 개의 지지핀, 및 상기 복수 개의 지지핀 사이에 위치하는 복수 개의 진공 포트를 가지는 히팅 플레이트; 상기 히팅 플레이트를 가열하도록 구성된 발열 장치; 상기 복수 개의 진공 포트에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수 개의 진공 포트로 유입되는 유체의 유량이 목표 유량이 되도록 조절하는 전자 유량 조절기; 및 상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된 웨이퍼 처킹 제어기;를 포함하고, 상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 공정 중 상기 전자 유량조절기의 상기 목표 유량을 작아지게 하는 유량 제어 신호를 생성하도록 구성된 웨이퍼 처리 장치를 제공한다.
상기 과제를 해결하기 위하여 본 발명의 기술적 사상은 웨이퍼가 실장되는 복수 개의 지지핀, 및 상기 복수 개의 지지핀 사이에 위치하는 복수 개의 진공 포트를 가지는 히팅 플레이트; 상기 히팅 플레이트를 가열하도록 구성된 발열 장치; 상기 복수 개의 진공 포트에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수 개의 진공 포트로 유입되는 유체의 유량이 목표 유량이 되도록 조절하는 전자 유량 조절기; 상기 전자 유량 조절기에 배치되고, 상기 진공압을 측정하도록 구성된 압력 센서; 및 상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된 웨이퍼 처킹 제어기;를 포함하고, 상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 도중 상기 진공압이 일정하도록 상기 전자 유량 조절기의 상기 목표 유량을 제어하는 유량 제어 신호를 생성하도록 구성된 웨이퍼 처리 장치를 제공한다.
상기 과제를 해결하기 위한 본 발명의 다른 태양은 중심 영역 및 상기 중심 영역을 둘러싸는 가장 자리 영역으로 구분되며, 웨이퍼가 실장되는 복수 개의 지지핀, 및 상기 복수 개의 지지핀 사이에 위치하는 복수 개의 진공 포트를 가지는 히팅 플레이트; 상기 히팅 플레이트를 가열하도록 구성된 발열 장치; 상기 복수 개의 진공 포트에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수 개의 진공 포트로 유입되는 유체의 유량이 목표 유량이 되도록 조절하는 전자 유량 조절기; 상기 전자 유량 조절기에 배치되고, 상기 진공압을 측정하도록 구성된 압력 센서; 상기 가장 자리 영역에서 상기 웨이퍼의 하면과 상기 히팅 플레이트의 상면 간의 이격 거리를 측정하는 거리 센서; 및 상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된 웨이퍼 처킹 제어기;를 포함하고, 상기 복수 개의 진공 포트는 상기 가장 자리 영역에 위치하고, 상기 거리 센서 및 상기 압력 센서는 측정한 데이터를 상기 웨이퍼 처킹 제어기에 전달하고, 상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 공정 중 상기 진공압이 일정하도록 상기 전자 유량 조절기의 상기 목표 유량을 제어하기 위한 유량 제어 신호를 생성하도록 구성된 웨이퍼 처리 장치를 제공한다.
본 발명의 기술적 사상에 따른 웨이퍼 처리 장치는, 웨이퍼의 베이크 공정 진행시, 웨이퍼의 온도 또는 펴짐 정도에 따라, 최적의 진공 압력을 이용하여 웨이퍼를 고정할 수 있다. 이에 따라, 웨이퍼와 히팅 플레이트 사이에 불필요한 난기류 형성을 방지할 수 있는바, 웨이퍼의 온도 균일성을 제고할 수 있다.
도 1은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 2는 일부 실시예에 따른 히팅 플레이트를 설명하기 위한 평면도이다.
도 3은 도 1의 웨이퍼 처리 장치의 시간에 따른 전자 유량 조절기의 유량을 나타내는 그래프이다.
도 4는 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 5는 도 4의 웨이퍼 처리 장치의 온도에 따른 전자 유량 조절기의 유량을 나타내는 그래프이다.
도 6은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 7은 도 6의 웨이퍼 처리 장치의 웨이퍼 펴짐 정도에 따른 전자 유량 조절기의 유량을 나타내는 그래프이다.
도 8은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 9는 도 8의 웨이퍼 처리 장치의 시간에 따른 전자 유량 조절기의 유량을 나타내는 그래프이다.
도 10은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 11은 도 10의 웨이퍼 처리 장치의 시간에 따른 전자 유량 조절기의 유량을 나타내는 그래프이다.
도 12는 일부 실시예들에 따른 베이크 장치를 설명하기 위한 개략적인 사시도이다.
도 13은 일부 실시예들에 따른 베이크 장치를 포함하는 시스템을 설명하기 위한 블록도이다.
본 실시 예들은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 일부 실시예들을 도면에 예시하고 상세하게 설명하고자 한다. 그러나, 이는 본 실시예들을 특정한 개시형태에 대해 한정하려는 의도가 아니다.
도 1은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다. 도 2는 일부 실시예에 따른 히팅 플레이트를 설명하기 위한 평면도이다. 도 3은 도 1의 웨이퍼 처리 장치의 시간에 따른 전자 유량 조절기의 유량을 나타내는 그래프이다.
도 1 내지 도 3을 참조하면, 웨이퍼 처리 장치(100)는 히팅 플레이트(110), 발열 장치(113), 전자 유량 조절기(120), 웨이퍼 처킹 제어기(130)를 포함할 수 있다.
웨이퍼 처리 장치(100)의 히팅 플레이트(110)는 복수 개의 진공 포트(111) 및 복수 개의 지지핀(115)을 포함할 수 있다. 히팅 플레이트(110)는 웨이퍼(W)를 실장하도록 구성될 수 있다. 즉, 히팅 플레이트(110) 상에 웨이퍼(W)가 배치될 수 있다. 일부 실시예들에 따르면, 히팅 플레이트(110)는 웨이퍼(W)를 설정된 온도로 가열할 수 있다. 일부 실시예들에 따르면, 히팅 플레이트(110)는 웨이퍼(W) 상에 다양한 반도체 소자 제조 공정이 수행되는 동안 웨이퍼(W)를 지지하고, 고정하며, 웨이퍼(W)의 온도를 설정된 온도로 유지할 수 있다.
도 1를 참조하면 웨이퍼(W)가 아래 방향, 예컨대 히팅 플레이트(110)에 가까운 방향으로 볼록한 것으로 도시되었으나 이에 제한되는 것은 아니다. 웨이퍼(W)는 위로 볼록하거나, 안장 모양을 갖는 것도 가능하다.
히팅 플레이트(110)는 외부로부터 진공압이 제공되는 복수 개의 진공 포트(111)를 가질 수 있다. 복수 개의 진공 포트(111)는 히팅 플레이트(110)의 상면을 관통하여 하면까지 연장되어, 진공압이 제공되는 경로 역할을 할 수 있다. 복수 개의 진공 포트(111)는 복수 개의 지지핀(115) 사이에 위치할 수 있다. 도 1에서 진공압은 파선인 화살표(dashed-arrow)로 표시되어 있다. 일부 실시예들에 따르면, 복수 개의 진공 포트(111)는 다양한 배치를 갖도록 히팅 플레이트(110)에 형성될 수 있다. 복수 개의 진공 포트(111)의 예시적인 배치는 도 2를 참조하여 후술하도록 한다. 복수 개의 진공 포트(111)는 웨이퍼(W)가 복수 개의 지지핀(115)에 상에 고정되도록 진공압으로 통해 상기 웨이퍼(W)를 흡입할 수 있다.
히팅 플레이트(110)의 복수 개의 지지핀(115)은 히팅 플레이트(110)의 상면에 배치될 수 있다. 복수 개의 지지핀(115)은 히팅 플레이트(110) 상에 배치된 웨이퍼(W)를 지지할 수 있다. 즉, 복수 개의 지지핀(115)에 웨이퍼(W)가 실장될 수 있다. 복수 개의 지지핀(115)은 웨이퍼(W)가 히팅 플레이트(110)에 직접 접촉하는 것을 방지함으로써, 웨이퍼(W)가 히팅 플레이트(110)로부터 오염되는 것을 방지할 수 있다.
웨이퍼 처리 장치(100)에 실장되어 히팅 플레이트(110)에 의해 지지되는 동안 웨이퍼(W)에 수행될 수 있는 공정은 i) 산화막을 형성하기 위한 열 산화 공정, ii) 스핀 코팅, 노광 및 현상을 포함하는 리소그래피 공정, iii) 박막 퇴적 공정 및 iv) 건식 또는 습식 식각 공정을 포함할 수 있다. 즉, 히팅 플레이트(110)는 웨이퍼(W)의 온도가 설정된 온도로 유지되어야 하는 임의의 반도체 소자 제조 공정에서 웨이퍼(W)를 지지하고 웨이퍼(W)의 온도를 유지하기 위한 처킹 장치일 수 있다.
웨이퍼(W)에 수행될 수 있는 박막 퇴적 공정은, 예를 들어, ALD(Atomic layer deposition), CVD(Chemical vapor deposition), PECVD(plasma-enhanced CVD), MOCVD(Metal Organic CVD), PVD(physical vapor deposition), 반응성 펄스 레이저 퇴적법(reactive pulsed laser deposition) 분자 빔 에피택시(molecular beam epitaxy) 및 DC 마그네트론 스퍼터링(magnetron sputtering) 중 어느 하나일 수 있다.
웨이퍼(W)에 수행될 수 있는 건식 식각 공정은, 예를 들어, RIE(reactive ion etching), DRIE(Deep RIE), IBE(ion beam etching) 및 Ar 밀링(milling) 중 어느 하나일 수 있다. 다른 예로, 웨이퍼(W)에 수행될 수 있는 건식 식각 공정은, ALE(Atomic Layer Etching)일 수 있다. 또한, 웨이퍼(W)에 수행될 수 있는 습식 식각 공정은, Cl2, HCl, CHF3, CH2F2, CH3F, H2, BCL3, SiCl4, Br2, HBr, NF3, CF4, C2F6, C4F8, SF6, O2, SO2 및 COS 중 적어도 어느 하나를 에천트 가스로 하는 식각 공정일 수 있다.
일부 실시예들에 따르면, 웨이퍼(W)에 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정과 같은 평탄화 공정, 이온 주입 공정, 포토리소그래피 공정 등이 수행되는 것도 가능하다.
웨이퍼(W)는 예를 들면, 실리콘(Si, silicon)을 포함할 수 있다. 웨이퍼(W)는 게르마늄(Ge, germanium)과 같은 반도체 원소, 또는 SiC (silicon carbide), GaAs(gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 SOI (silicon on insulator) 구조를 가질 수 있다. 웨이퍼(W)는 매립 산화물 층(buried oxide layer)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 도전 영역, 예컨대, 불순물이 도핑된 웰(well)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 상기 도핑된 웰을 서로 분리하는 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다. 웨이퍼(W)는 활성면인 제1 면 및 제1 면에 반대되는 비활성면인 제2 면을 가질 수 있다. 웨이퍼(W)는 제2 면이 히팅 플레이트(110)와 대향하도록 히팅 플레이트(110) 상에 배치될 수 있다.
웨이퍼 처리 장치(100)의 발열 장치(113)는 히팅 플레이트(110)를 가열할 수 있다. 일부 실시예들에 따르면, 히팅 플레이트(110) 하부에 박판 형상을 갖는 발열 장치(113)가 배치될 수 있다. 하지만 이에 제한되는 것은 아니고, 발열 장치(113)가 히팅 플레이트(110) 내부 또는 상부에 제공되는 것도 가능하다. 발열 장치(113)는 예컨대, 전열 장치일 수 있으며, 히팅 플레이트(110)에 실장된 웨이퍼(W)에 균일한 열을 제공하기 위한 임의의 다양한 형상을 가질 수 있다.
웨이퍼 처리 장치(100)의 전자 유량 조절기(120)는 복수 개의 진공 포트(111)에 진공압을 제공할 수 있다. 웨이퍼 처리 장치(100)는 진공압을 통해 웨이퍼(W)를 히팅 플레이트(110)에 고정할 수 있다. 전자 유량 조절기(120)는 복수 개의 진공 포트(111)로 유입되는 유체의 유량이 목표 유량이 되도록 조절할 수 있다. 전자 유량 조절기(120)는 복수 개의 진공 포트(111)로 유입되는 유체의 유량을 조절하여 진공압의 세기를 조절할 수 있다.
일부 실시예들에 따르면, 전자 유량 조절기(120)는 유량 제어 신호(PCS) 기반하여 복수 개의 진공 포트(111)로 유입되는 유체의 유량을 조절할 수 있다. 일부 실시예들에 따르면, 전자 유량 조절기(120)는 서보 밸브 또는 솔레노이드 밸브를 포함할 수 있다.
웨이퍼 처리 장치(100)의 웨이퍼 처킹 제어기(130)는 전자 유량 조절기(120)를 제어할 수 있다. 웨이퍼 처킹 제어기(130)는 웨이퍼의 가열 공정 중 전자 유량 조절기(120)의 목표 유량이 작아지게 하는 유량 제어 신호를 생성할 수 있다. 구체적으로, 웨이퍼 처킹 제어기(130)는 외부의 웨이퍼 처킹 명령(WCC)에 기반하여 유량 제어 신호(FCS)를 생성하여 전자 유량 조절기를 제어할 수 있다.
일부 실시예에서, 웨이퍼 처킹 제어기(130)는 타이머(140)의 시간 신호(TS)을 토대로 전자 유량 조절기(120)를 제어하기 위한 신호인 유량 제어 신호(FCS)를 생성할 수 있다. 타이머(140)를 통한 유량 제어 신호 생성에 관련한 예시는 도 3을 참조하여 후술하도록 한다.
도 2를 참조하면, 히팅 플레이트(110)는 중심 영역(CA) 및 가장 자리 영역(EA)으로 구분될 수 있다. 가장 자리 영역(EA)은 중심 영역(CA)을 둘러쌀 수 있다. 복수 개의 진공 포트(111)는 히팅 플레이트(110)의 가장 자리 영역(EA)에 위치할 수 있다.
일부 실시예에서, 히팅 플레이트(110)의 상면은 원 형상이고, 중심 영역(CA)은 히팅 플레이트(110)의 상면의 중심점을 포함하는 원 형상의 영역일 수 있다. 일부 실시예에서, 중심 영역(CA)은 히팅 플레이트(110)의 상면의 중심점에서 반경 약 80mm 내지 약 140mm의 원 형상의 영역일 수 있다. 가장 자리 영역(EA)은 중심 영역(CA)에서 히팅 플레이트(110)의 상면의 단부까지 영역일 수 있다. 일부 실시예에서, 복수 개의 진공 포트(111)는 히팅 플레이트(110)의 상면의 중심점에서 약 80mm 내지 약 140mm 이격되어 위치할 수 있다.
일부 실시예에서, 복수 개의 진공 포트(111)의 직경은 제1 길이(D_111)를 가질 수 있다. 제1 길이(D_111)는 약 0.5mm 내지 약 2mm일 수 있다. 도 2에서 복수 개의 진공 포트(111)의 직경은 모두 같은 길이를 가진다고 예시되었으나, 이에 한정하지 않고 복수 개의 진공 포트(111)의 직경은 서로 다른 길이를 가질 수 있다.
일부 실시예에서, 복수 개의 진공 포트(111)는 히팅 플레이트(110)의 중심점을 기준으로 지름 방향으로 약 5개 내지 약 12개 배치될 수 있다. 도 2에서 복수 개의 진공 포트(111)가 지름 방향으로 5개씩 배치된 것으로 예시되었으나, 이에 한정하지 않고 복수 개의 진공 포트(111)는 지름 방향으로 서로 다른 개수가 배치될 수 있다.
웨이퍼 처리 장치(100)의 복수 개의 진공 포트(111)는 히팅 플레이트(110)의 가장 자리 영역(EA)에 위치하여, 히팅 플레이트(110)의 가장 자리 영역(EA)에 진공압이 형성될 수 있다. 일부 실시예에서, 아래 방향으로 휘어진 웨이퍼(W)를 펴기 위해 웨이퍼(W)의 가장 자리에 압력을 가해야 한다. 즉, 워피지(waepage) 현상이 발생한 웨이퍼(W)를 히팅 플레이트(110)에 고정하기 위해, 웨이퍼(W)의 가장 자리에서 진공압을 형성하여야 한다. 다시 말해, 워피지 현상이 발생한 웨이퍼(W)의 중심 영역(CA)은 진공압이 없더라도 히팅 플레이트(110)에 고정이 용이하므로, 복수 개의 진공 포트(111)를 가장 자리 영역(EA)에만 위치시켜 웨이퍼(W)를 히팅 플레이트(110)에 고정시킬 수 있다.
도 3을 참조하면, 가열 공정의 진행에 따라 전자 유량 조절기(120)의 목표 유량은 작아질 수 있다. 구체적으로, 웨이퍼 처킹 제어기(130)는 가열 공정의 시작(T_S) 후 인터벌을 가지고 유량 제어 신호(FCS)를 생성하여, 전자 유량 조절기(120)의 목표 유량을 작아지게 할 수 있다.
일부 실시예에서, 전자 유량 조절기(120)의 목표 유량은 스텝 함수(step function)의 형태로 작아질 수 있다. 다시 말해, 전자 유량 조절기(120)의 목표 유량은 일정 시간에서 급격히 유량이 작아질 수 있다.
일부 실시예에서, 웨이퍼 처킹 제어기(130)는 가열 공정 시작(T_S)시 전자 유량 조절기(120)의 목표 유량이 제1 유량(F1)이 되도록 제어할 수 있다. 웨이퍼 처킹 제어기(130)는 가열 공정 중 전자 유량 조절기(120)의 목표 유량이 제1 유량(F1) 보다 작은 제2 유량(F2)이 되도록 제어할 수 있다. 일부 실시예에서, 제1 유량(F1)은 약 10LPM(Liter Per Minute) 내지 약 30LPM 범위이고, 상기 제2 유량(F2)은 약 2LPM 내지 약 8LPM 범위일 수 있다.
일부 실시예에서, 웨이퍼 처킹 제어기(130)는 가열 공정 시작(T_S) 후 인터벌을 가지고 전자 유량 조절기(120)의 목표 유량을 제어할 수 있다. 다시 말해, 웨이퍼 처킹 제어기(130)는 가열 공정 시작(T_S) 후 타이머(140)를 통해 시간 신호(TS)를 받아, 유량 제어 신호(FCS)를 형성하여, 전자 유량 조절기(120)의 목표 유량을 제어할 수 있다. 즉, 웨이퍼 처킹 제어기(130)는 타이머(140)를 통해 시간 신호(TS)를 받아, 전자 유량 조절기(120)의 목표 유량이 작아지게 할 수 있다. 일부 실시예에서, 웨이퍼 처킹 제어기(130)는 가열 공정 시작(T_S) 후 인터벌(T_1)을 가지고 전자 유량 조절기(120)의 목표 유량이 작아지게 수 있다. 일부 실시예에서, 인터벌(T_1)은 약 2초 내지 약 8초일 수 있다.
일부 실시예에서, 웨이퍼 처리 장치(100)에 실장되는 웨이퍼(W)는 아래 방향으로 볼록한 형상일 수 있다. 즉, 웨이퍼(W)는 워피지(warpage) 현상이 발생한 상태로 웨이퍼 처리 장치(100)에 실장될 수 있다. 워피지(warpage)된 웨이퍼(W)는 진공압에 의해 히팅 플레이트(110)에 고정될 수 있다. 워피지(warpage)된 웨이퍼(W)는 진공압에 의해 시간이 경과하면서 펴질 수 있다. 일부 실시에에서, 웨이퍼(W)의 가열 공정 시작시, 복수 개의 진공 포트(111)에 진공압을 형성할 수 있다. 다시 말해, 웨이퍼 처리 장치(100)에 실장된 웨이퍼(W)는 가열 공정이 진행되면서 펴질 수 있다. 웨이퍼(W)가 펴짐에 따라, 웨이퍼(W)의 가장 자리에서 웨이퍼(W)와 히팅 플레이트(110)가 이격된 거리가 작아질 수 있다. 웨이퍼(W)의 가장 자리에서 웨이퍼(W)와 히팅 플레이트(110)의 이격된 거리가 작아지면, 전자 유량 조절기(120)의 목표 유량이 일정해도, 진공압이 커질 수 있다. 즉, 웨이퍼(W)의 가열 공정이 시작되고 일정 시간이 지나면, 휘어졌던 웨이퍼(W)가 펴짐에 따라, 전자 유량 조절기(120)의 목표 유량을 감소시켜도, 웨이퍼(W)를 고정하는 진공압은 일정할 수 있다. 복수 개의 진공 포트(111)로 유입되는 유량이 많을수록, 웨이퍼(W)의 가장 자리에서 불필요한 난류의 발생하여, 웨이퍼(W)의 온도는 불균일할 수 있다. 본 발명의 웨이퍼 처리 장치(100)는 웨이퍼(W)가 공정 온도에 도달하기 전에 복수 개의 진공 포트(111)로 유입되는 유량을 감소시켜, 웨이퍼(W)를 균일하게 가열할 수 있다.
웨이퍼 처킹 제어기(130)는 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 예컨대, 웨이퍼 처킹 제어기(130)는 워크 스테이션 컴퓨터, 데스크탑 컴퓨터, 랩 탑 컴퓨터, 태블릿 컴퓨터 등의 컴퓨팅 장치일 수 있다. 웨이퍼 처킹 제어기(130)는 단순 제어기, 마이크로 프로세서, CPU, GPU 등과 같은 복잡한 프로세서, 소프트웨어에 의해 구성된 프로세서, 전용 하드웨어 또는 펌웨어일 수도 있다. 일부 실시예에서, 웨이퍼 처킹 제어기(130)는 범용 컴퓨터 또는 DSP(Digital Signal Process), FPGA(Field Programmable Gate Array) 및 ASIC(Application Specific Integrated Circuit) 등과 같은 애플리케이션 특정 하드웨어에 의해 구현될 수 있다.
일부 실시예들에서 웨이퍼 처킹 제어기(130)의 동작은 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독 가능 매체 상에 저장된 명령들로서 구현될 수 있다. 여기서, 기계 판독 가능 매체는 기계(예를 들어, 컴퓨팅 장치)에 의해 판독 가능한 형태로 정보를 저장 및/또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독 가능 매체는 ROM(Read Only Memory), RAM(Random Access Memory), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 장치들, 전기적, 광학적, 음향적 또는 다른 형태의 전파 신호(예컨대, 반송파, 적외선 신호, 디지털 신호 등) 및 기타 임의의 신호를 포함할 수 있다.
웨이퍼 처킹 제어기(130)에 대해 설명한 동작, 또는 이하에서 설명하는 임의의 공정을 수행하기 위한 또한, 펌웨어, 소프트웨어, 루틴, 명령어들이 구성될 수 있다. 예컨대, 웨이퍼 처킹 제어기(130)는 웨이퍼(W)의 처리를 위한 신호를 생성하고, 데이터를 수신하며, 소정의 연산을 수행하여 공정을 조정하는 등의 기능을 수행하는 소프트웨어에 의해 구현될 수 있다.
하지만 이는 설명의 편의를 위한 것으로서, 상술된 웨이퍼 처킹 제어기(130)의 동작은 컴퓨팅 장치, 프로세서, 제어기 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 장치로부터 야기될 수도 있음을 이해해야 한다.
도 4는 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다. 도 5는 도 4의 웨이퍼 처리 장치의 온도에 따른 전자 유량 조절기의 목표 유량을 나타내는 그래프이다.
이하에서, 도 4 및 도 5의 웨이퍼 처리 장치(100a)와 도 1의 웨이퍼 처리 장치(100)의 중복되는 설명은 생략하고, 차이점을 설명한다.
도 4 및 도 5를 참조하면, 웨이퍼 처리 장치(100a)는 온도 센서(150)를 더 포함할 수 있다. 온도 센서(150)는 웨이퍼(W)의 가열 공정 중 웨이퍼(W)의 온도를 측정할 수 있다. 온도 센서(150)는 히팅 플레이트(110)에 내장될 수 있다. 하지만 이에 제한되는 것은 아니고, 온도 센서(150)는 히팅 플레이트(110)의 상면, 또는 하면에 배치될 수 있다.
일부 실시예에서, 온도 센서(150)는 웨이퍼(W)의 온도가 일정 온도에 도달할 때, 웨이퍼 처킹 제어기(130)에 온도 신호(WDS)를 보낼 수 있다. 온도 신호(WDS)를 받은 웨이퍼 처킹 제어기(130)는 유량 제어 신호(FCS)를 생성하여, 전자 유량 조절기(132)의 유량을 제어할 수 있다. 일부 실시예에서, 온도 센서(150)는 웨이퍼(W)의 온도가 제1 온도(Temp_1)에 도달할 때, 웨이퍼 처킹 제어기(130)에 온도 신호(WDS)를 보낼 수 있다. 일부 실시예에서, 제1 온도(Temp_1)는 웨이퍼(W)의 가열 공정의 목표 온도(Temp_t)의 약 30% 내지 80% 일 수 있다. 일부 실시예에서, 목표 온도(Temp_t)는 약 70 섭씨온도 내지 약 500 섭씨온도일 수 있다. 제1 온도(Temp_1)는 약 20 섭씨온도 내지 약 400 섭씨온도일 수 있다.
일부 실시예에서, 웨이퍼 처리 장치(100)의 가열 공정 시작(T_S)시, 전자 유량 조절기(120)의 목표 유량은 제1 유량(F1)일 수 있다. 가열 공정 중 온도 센서(150)가 측정한 웨이퍼(W)의 온도가 제1 온도(Temp_1)가 되었을 때, 웨이퍼 처킹 제어기(130)는 전자 유량 조절기(120)의 목표 유량을 제1 유량(F1)보다 작은 제2 유량(F2)으로 조절할 수 있다. 일부 실시예에서 제1 유량(F1)은 약 10LPM 내지 약 30LPM 범위이고, 상기 제2 유량(F2)은 약 2LPM 내지 약 8LPM 범위일 수 있다.
본 발명의 웨이퍼 처킹 제어기(130)는 목표 온도(Temp_t)에 도달하기 전에 전자 유량 조절기(120)의 목표 유량을 작아지게 할 수 있다. 진공압을 받으며 고정된 상태에서 히팅 플레이트(110)를 통해 가열되어, 웨이퍼(W)는 온도가 상승하는 동시에, 히팅 플레이트(110)와의 이격된 거리가 작아질 수 있다. 히팅 플레이트(110)와 웨이퍼(W)의 이격 거리가 작아지면 전자 유량 조절기(120)의 동일 유량에서 진공압의 크기가 커져, 웨이퍼(W)를 고정하기 위한 전자 유량 조절기(120)의 목표 유량이 감소하여도 진공압은 유지될 수 있다. 웨이퍼(W)가 목표 온도(Temp_t)의 약 30% 내지 약 80%인 제1 온도(Temp_1)에 도달할 때 웨이퍼(W)와 히팅 플레이트(110)의 이격 거리는 가열 공정 시작(T_S)시 이격 거리보다 감소하여, 전자 유량 조절기(120)의 목표 유량을 감소시켜도 웨이퍼(W)를 고정시킬 수 있다. 웨이퍼(W)가 목표 온도(Temp_t)에 도달하기 전에 복수 개의 진공 포트(111)에 유입되는 유량을 감소시켜, 웨이퍼(W)는 균일하게 가열될 수 있다.
도 6은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다. 도 7은 도 6의 웨이퍼 처리 장치의 웨이퍼 펴짐 정도에 따른 전자 유량 조절기의 목표 유량을 나타내는 그래프이다.
이하에서, 도 6 및 도 7의 웨이퍼 처리 장치(100b)와 도 1의 웨이퍼 처리 장치(100)의 중복되는 설명은 생략하고, 차이점을 설명한다.
도 6 및 도 7을 참조하면, 웨이퍼 처리 장치(100b)는 거리 센서(160)를 더 포함할 수 있다. 거리 센서(160)는 웨이퍼(W)의 하면과 히팅 플레이트(110)의 상면 간의 이격 거리(D)를 측정할 수 있다.
일부 실시예에서, 웨이퍼(W)는 워피지(warpage) 현상에 의해 아래로 볼록한 형상일때, 거리 센서(160)는 웨이퍼(W)의 가장 자리에서 웨이퍼(W)의 하면과 히팅 플레이트(110)의 상면 간의 이격 거리(D)를 측정할 수 있다. 거리 센서(160)를 통해 측정한 값을 웨이퍼(W)가 펴진 정도를 판단할 수 있다. 일 실시예에서, 거리 센서(160)는 빛을 통해 이격 거리(D)를 측정하는 광학 센서일 수 있다. 일 실시예에서, 거리 센서(160)는 히팅 플레이트(110)의 상면에 위치할 수 있다.
일 실시예에서, 거리 센서(160)는 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리(D)가 일정 거리가 되었을 때, 거리 신호(WDS)를 웨이퍼 처킹 제어기(130)에 보낼 수 있다. 거리 신호(WDS)를 받은 웨이퍼 처킹 제어기(130)는 유량 제어 신호(WDS)를 생성하여, 전자 유량 조절기(120)의 목표 유량을 제어할 수 있다. 일부 실시예에서, 거리 센서(160)는 웨이퍼(W)와 히팅 플레이트(110)의 이격 거리(D)가 제1 거리(D_1)가 되었을 때, 웨이퍼 처킹 제어기(130)에 거리 신호(WDS)를 보낼 수 있다. 가열 공정 시작시, 거리 센서(D_1)가 측정한 웨이퍼(W) 하면과 히팅 플레이트(110) 상면 간의 이격 거리(D)가 기준 거리일때, 제1 거리는 기준 거리(D_S)보다 작을 수 있다. 일부 실시예에서, 제1 거리(D_1)는 약 50um 내지 약 200um 범위일 수 있다.
일부 실시예에서, 웨이퍼 처리 장치(100b)의 가열 공정 시작(T_S)시, 전자 유량 조절기(120)의 목표 유량은 제1 유량(F1)이고, 웨이퍼(W)와 히팅 플레이트(110)의 이격 거리(D)는 기준 거리(D_S)일 수 있다. 가열 공정 중 거리 센서(160)가 측정한 웨이퍼(W)의 하면과 히팅 플레이트(110)의 상면 간의 이격 거리(D)가 기준 거리(D_S)보다 작은 제1 거리(D_1)가 되었을 때, 웨이퍼 처킹 제어기(130)는 전자 유량 조절기(120)의 목표 유량을 제2 유량(F2)으로 조절할 수 있다. 일부 실시예에서, 제1 유량(F1)은 약 10LPM 내지 약 30LPM 범위이고, 상기 제2 유량(F2)은 약 2LPM 내지 약 8LPM 범위일 수 있다.
본 발명의 웨이퍼 처킹 제어기(130)는 웨이퍼(W)와 히팅 플레이트(110)의 이격 거리(D)가 작아지면, 전자 유량 조절기(120)의 목표 유량을 작아지게 할 수 있다. 웨이퍼(W)와 히팅 플레이트(110)의 이격 거리(D)가 작아지면 전자 유량 조절기(120)의 목표 유량이 감소하여도 진공압은 유지될 수 있다. 일부 실시예에서 워피지 현상에 의해 아래로 볼록한 웨이퍼(W)가 펴져, 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리(D)가 제1 거리(D_1)가 되었을 때, 전자 유량 조절기(120)의 목표 유량을 감소시켜도 웨이퍼(W)를 고정시킬 수 있다. 본 발명의 웨이퍼 처리 장치(100)는 웨이퍼(W)가 일정 수준 이상 펴졌을 때, 복수 개의 진공 포트(111)에 유입되는 유량을 감소시켜, 웨이퍼(W)를 균일하게 가열될 수 있다.
도 8은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다. 도 9는 도 8의 웨이퍼 처리 장치의 시간에 따른 전자 유량 조절기의 목표 유량을 나타내는 그래프이다.
도 8 및 도 9를 참조하면, 웨이퍼 처리 장치(100c)는 히팅 플레이트(110), 발열 장치(113), 전자 유량 조절기(120), 압력 센서(170), 및 웨이퍼 처킹 제어기(130)를 포함할 수 있다.
웨이퍼 처리 장치(100c)의 히팅 플레이트(110), 발열 장치(113), 및 전자 유량 조절기(120)는 앞서 설명한 도 1의 히팅 플레이트(도 1의 110), 발열 장치(도 1의 113), 및 전자 유량 조절기(도 1의 120)를 포함할 수 있다.
웨이퍼 처리 장치(100c)의 압력 센서(170)는 전자 유량 조절기(120)에 배치될 수 있다. 압력 센서(170)는 웨이퍼(W)를 고정하기 위한 진공압을 측정할 수 있다. 일부 실시예에서, 압력 센서(170)는 가열 공정 중 진공압을 측정하여 웨이퍼 처킹 제어기(130)에 압력 신호(VPS)를 보낼 수 있다. 압력 신호(VPS)를 통한 웨이퍼 처킹 제어기(130)은 전자 유량 조절기(120)의 목표 유량을 제어할 수 있다.
웨이퍼 처리 장치(100c)의 웨이퍼 처킹 제어기(130)는 전자 유량 조절기(120)를 제어할 수 있다. 웨이퍼 처킹 제어기(130)는 가열 공정 중 진공압이 일정하도록 전자 유량 조절기(120)의 목표 유량을 제어하는 유량 제어 신호(FCS)를 생성할 수 있다. 일부 실시예에서, 유량 제어 신호(FCS)는 전자 유량 조절기(120)의 목표 유량을 가열 공정의 진행에 따라 점차 작아지게 할 수 있다. 일부 실시예에서, 웨이퍼 처킹 제어기(130)는 도 1에서 설명한 웨이퍼 처킹 제어기(도 1의 130)를 포함할 수 있다.
일부 실시예에서, 웨이퍼(W)의 가열 공정이 진행됨에 따라, 진공압에 의해 워피지(warpage) 현상에 의해 볼록한 형상인 웨이퍼(W)가 펴지면서, 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리가 작아질 수 있다. 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리가 작아질수록, 전자 유량 조절기(120)의 동일한 유량에서 진공압은 커질 수 있다. 가열 공정이 진행되면서, 압력 센서(170)를 통해 측정한 진공압을 일정하게 유지하기 위해, 웨이퍼 처킹 제어기(130)는 전자 유량 조절기(120)의 목표 유량을 감소시킬 수 있다.
일부 실시예에서, 웨이퍼 처킹 제어기(130)는 가열 공정 시작(T_S)시 전자 유량 조절기(120)의 목표 유량이 제1 유량(F1)이 되도록 제어할 수 있다. 웨이퍼 처킹 제어기(130)는 가열 공정이 진행됨에 따라, 전자 유량 조절기(120)의 목표 유량을 점차 작아지게 하여 제2 유량(F2)이 되도록 할 수 있다. 일부 실시예에서, 전자 유량 조절기(120)의 목표 유량은 가열 공정 시작(T_S) 후 인터벌(T_1)을 가지고 제2 유량(F2)이 될 수 있다. 일부 실시예에서, 제1 유량(F1)은 약 10LPM 내지 약 30LPM 범위이고, 상기 제2 유량(F2)은 약 2LPM 내지 약 8LPM 범위일 수 있다. 일부 실시예에서, 인터벌(T_1)은 약 2초 내지 약 8초일 수 있다. 도 9에서 전자 유량 조절기(120)의 목표 유량이 시간에 따라 선형으로 감소한다고 예시되었으나, 이에 한정하지 않고 전자 유량 조절기(120)의 목표 유량은 시간에 따라 직선으로 감소할 수 있다.
본 발명의 웨이퍼 처리 장치(100c)는 웨이퍼(W)가 진공압에 의해 펴짐에 따라, 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리가 달라지더라도, 진공압이 일정하게 유지할 수 있다. 진공압을 일정하게 유지하면 웨이퍼(W)는 히팅 플레이트(110)에 고정되면서, 전자 유량 조절기(120)의 목표 유량은 감소하여 웨이퍼(W)의 가장 자리에서 발생하는 불필요한 난류의 발생을 억제할 수 있다. 웨이퍼 처리 장치(100c)는 적절한 진공압을 유지하며 불필요한 난류의 발생을 억제하여, 웨이퍼(W)를 고르게 가열할 수 있다.
도 10은 일부 실시예에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다. 도 11은 도 10의 웨이퍼 처리 장치의 시간에 따른 전자 유량 조절기의 목표 유량을 나타내는 그래프이다.
이하에서, 도 10 및 도 11의 웨이퍼 처리 장치(100d)와 도 8의 웨이퍼 처리 장치(100c)의 중복되는 설명은 생략하고, 차이점을 설명한다.
도 10 및 도 11을 참조하면, 웨이퍼 처리 장치(100d)는 거리 센서(160)를 더 포함할 수 있다. 거리 센서(160)는 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리(D)를 측정할 수 있다. 일부 실시예에서, 웨이퍼(W)는 워피지(warpage) 현상에 의해 아래로 볼록한 형상일때, 거리 센서(160)는 웨이퍼(W)의 가장 자리에서 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리(D)를 측정할 수 있다. 거리 센서(160)를 통해 측정한 값을 웨이퍼(W)가 펴진 정도를 판단할 수 있다.
일부 실시예에서, 거리 센서(160)는 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리(D)가 일정 거리가 되었을 때, 거리 신호(WDS)를 웨이퍼 처킹 제어기(130)에 보낼 수 있다. 웨이퍼 처킹 제어기(130)는 거리 신호(WDS)를 받았을 때, 압력 센서(170)에서 측정한 진공압을 가열 공정 중 유지하도록 전자 유량 조절기(120)에 유량 제어 신호(FCS)를 보낼 수 있다. 즉, 웨이퍼(W)와 히팅 플레이트(110) 간의 간격이 일정 거리가 되었을 때 복수 개의 진공 포트(111)에 형성된 진공압을 가열 공정 중 일정하게 유지하도록 웨이퍼 처킹 제어기(130)는 유량 제어 신호(FCS)를 생성할 수 있다.
일부 실시예에서, 가열 공정 시작(T_S)시, 전자 유량 조절기(120)의 목표 유량은 제1 유량(F1)이 될 수 있다. 가열 공정이 진행되면서, 진공압에 의해 웨이퍼(W)가 펴짐에 따라 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리(D)가 작아질 수 있다. 거리 센서(160)는 웨이퍼(W)의 하면과 히팅 플레이트(110)의 상면 간의 이격 거리(D)가 기준 거리보다 작아져 제1 거리(D_1)가 되었을 때, 거리 신호(WDS)를 웨이퍼 처킹 제어기(130)에 보낼 수 있다. 웨이퍼 처킹 제어기(130)는 거리 신호(WDS)를 받았을 때 압력 센서(170)가 측정한 제1 압력일 때, 가열 공정 중 진공압이 제1 압력을 유지하도록 전자 유량 조절기(120)의 목표 유량을 조절할 수 있다. 일 실시예에서, 웨이퍼 처킹 제어기(130)가 거리 신호(WDS)를 받았을 때 전자 유량 조절기(120)의 목표 유량이 제1 유량(F1)보다 작은 제2 유량(F2)일 수 있다. 이후 진공압을 일정하게 유지하기 위해, 전자 유량 조절기(120)의 목표 유량은 가열 공정 중 제2 유량(F2)으로 유지될 수 있다.
일부 실시예에서, 전자 유량 조절기(120)의 목표 유량은 제1 유량(F1)에서 제2 유량(F2)으로 가열 공정이 진행됨에 따라 점차 줄어들 수 있다. 구체적으로, 압력 센서(170)는 실시간으로 진공압을 측정하여 웨이퍼 처킹 제어기(130)에 압력 신호(VPS)를 보내고, 웨이퍼 처킹 제어기(130)는 압력 신호(VPS)를 토대로 전자 유량 조절기(120)의 목표 유량을 일정하게 제어할 수 있다.
본 발명의 웨이퍼 처리 장치(100d)는 웨이퍼(W)가 진공압에 의해 펴짐에 따라, 웨이퍼(W)와 히팅 플레이트(110) 간의 이격 거리가 달라지더라도, 진공압이 일정하게 유지할 수 있다. 진공압을 일정하게 유지하면 웨이퍼(W)는 히팅 플레이트(110)에 고정되면서, 전자 유량 조절기(120)의 목표 유량은 감소하여 웨이퍼(W)의 가장 자리에서 발생하는 불필요한 난류의 발생을 억제할 수 있다. 웨이퍼 처리 장치(100d)는 적절한 진공압을 유지하며 불필요한 난류의 발생을 억제하여, 웨이퍼(W)를 고르게 가열할 수 있다.
도 12는 일부 실시예들에 따른 베이크 장치(BA)를 설명하기 위한 개략적인 사시도이다.
도 12를 참조하면, 베이크 장치(BA)는 도 1의 웨이퍼 처리 장치(100)에 더해, 챔버(200), 반송 로봇(300) 및 베이스 모듈(400)을 더 포함할 수 있다.
하지만 이에 제한되는 것은 아니고, 베이크 장치(BA)는 도 4, 도 6, 도 8, 도 10의 웨이퍼 처리 장치들(100a, 100b, 100c, 100d)에 더해 챔버(200), 반송 로봇(300) 및 베이스 모듈(400)을 더 포함할 수 있다.
반송 로봇(300)은 웨이퍼를 베이크 장치(BA) 내부로 도입하거나, 처리가 완료된 웨이퍼를 베이크 장치(BA)로부터 반출할 수 있다.
챔버(200)는 웨이퍼가 가열되는 동안 발생하는 가스를 배기하기 위한 배기 구조를 포함할 수 있다. 챔버(200)는 공정이 진행되는 동안 웨이퍼를 외부로부터 격리시킬 수 있다. 챔버(200)는 웨이퍼를 처리하기 위한 열이 챔버 외부로 누출되는 것을 방지하고, 챔버 외부의 입자에 의해 웨이퍼가 오염되는 것을 방지할 수 있다. 챔버(200)는 히팅 플레이트 및 웨이퍼를 모두 커버하거나, 웨이퍼만을 커버하도록 구성될 수 있다.
베이스 모듈(400)은 히팅 플레이트, 챔버 등 베이크 장치에 포함된 다양한 구성요소들을 지지할 수 있다.
웨이퍼가 반송 로봇(300)에 의해 전달되면, 챔버(200)가 열리고, 반송 로봇(300)을 통하여 히팅 플레이트 상에 웨이퍼가 실장되고 챔버(200)가 닫힐 수 있다. 이어서 웨이퍼가 충분히 가열되면, 챔버(200)가 다시 열리고, 웨이퍼는 반송 로봇(300)에 의해 반출될 수 있다.
도 13은 일부 실시예들에 따른 베이크 장치(BA)를 포함하는 시스템(SYS)을 설명하기 위한 블록도이다.
도 13를 참조하면, 시스템(SYS)은 스핀 코터(SC), 리소그래피 장치(LA), 베이크 장치(BA), 현상 장치(DA)를 포함할 수 있다.
시스템(SYS)에 의한 공정은 반도체 웨이퍼 또는 웨이퍼 상에 회로 구조가 구현된 반도체 구조의 제조를 포함할 수 있다. 시스템(SYS)에 의한 공정은 예컨대, DUV(Deep Ultra-Violet) 또는 EUV(Extreme UV)에 의한 반도체 공정을 포함할 수 있다.
스핀 코터(SC)는 스핀 코팅의 방식으로 반도체 구조(SS) 상에 포토레지스트 층을 제공할 수 있다.
베이크 장치(BA)는 도 12를 참조하여 설명한 베이크 장치(BA)일 수 있다. 일부 실시예들에 따르면, 베이크 장치(BA)는 스핀 코터(SC)에 의해 웨이퍼 상에 포토 레지스트 층이 도포된 후 소프트 베이크 공정을 수행할 수 있다. 일부 실시예들에 따르면, 베이크 장치(BA)는 리소그래피 장치(LA)에 의한 노광 공정이 수행된 후, POB(Post Exposure Bake) 및 현상 장치(DA)에 의한 현상 공정 후의 하드 베이크 공정을 더 수행할 수 있다.
리소그래피 장치(LA)는 EUV 리소그래피 공정을 수행할 수 있다. 리소그래피 장치(LA)는 측정 스테이션 및 노광 스테이션을 포함할 수 있다.
리소그래피 장치(LA)는 2개의 웨이퍼 테이블을 포함하는 듀얼 스테이지 타입의 장치일 수 있다. 웨이퍼 테이블은 각각 측정 및 노광을 위한 노광 스테이션 및 측정 스테이션일 수 있다. 이에 따라, 하나의 웨이퍼 테이블 상의 반도체 구조(SS)가 노광되는 동안, 다른 웨이퍼 테이블 상의 반도체 구조(SS)에 대한 노광 전 측정이 수행될 수 있다. 정렬 마크의 측정에 긴 시간이 소요되고, 리소그래피 공정은 전체 반도체 공정의 병목 공정이므로, 2개의 웨이퍼 테이블을 제공함으로써 반도체 소자의 생산성을 크게 제고할 수 있다. 하지만 이에 제한되는 것은 아니고, 리소그래피 장치(LA)는 하나의 웨이퍼 테이블을 포함하는 모노 스테이지 타입 리소그래피 장치일 수 있다.
현상 장치(DA)는 노광된 포토레지스트 층을 현상하여 포토레지스트 패턴을 형성할 수 있다.
시스템(SYS)은 필요에 따라 노광 후 검사를 위한 검사 장치를 더 포함할 수 있다. 검사 장치는 각도-분해 스케터로미터(angle-resolved scatterometer) 또는 분광 스케터로미터(spectroscopic scatterometer)와 같은 스케터로미터일 수 있다.
시스템(SYS)은 예컨대, 식각 장치를 더 포함할 수 있다. 식각 장치는 현상된 포토레지스트 패턴을 식각 마스크로 하여 웨이퍼를 식각할 수 있다. 다른 일부 실시예들에 따르면, 시스템(SYS)은 이온 임플란트 공정, 증착 공정 등을 수행하기 위한 장치들을 더 포함할 수 있다.
지금까지, 본 발명을 도면에 도시된 실시예를 참고로 설명하였으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
100, 100a, 100b, 100c, 100d: 웨이퍼 처리 장치.
110: 히팅 플레이트 113: 발열 장치
120: 전자 유량 조절기 130: 웨이퍼 처킹 제어기
140: 타이머 150: 온도 센서
160: 거리 센서 170: 압력 센서

Claims (10)

  1. 웨이퍼가 실장되는 복수 개의 지지핀, 및 상기 복수 개의 지지핀 사이에 위치하는 복수 개의 진공 포트를 가지는 히팅 플레이트;
    상기 히팅 플레이트를 가열하도록 구성된 발열 장치;
    상기 복수 개의 진공 포트에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수 개의 진공 포트로 유입되는 유체의 유량이 목표 유량이 되도록 조절하는 전자 유량 조절기; 및
    상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된 웨이퍼 처킹 제어기;
    를 포함하고,
    상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 공정 중 상기 전자 유량 조절기의 상기 목표 유량을 작아지게 하는 유량 제어 신호를 생성하도록 구성된
    웨이퍼 처리 장치.
  2. 제1 항에 있어서,
    상기 히팅 플레이트는 중심 영역 및 상기 중심 영역을 둘러싸는 가장 자리 영역으로 구분되고,
    상기 복수 개의 진공 포트는 상기 히팅 플레이트의 상기 가장 자리 영역에 위치하는
    웨이퍼 처리 장치.
  3. 제2 항에 있어서,
    상기 중심 영역은 상기 히팅 플레이트의 상면의 중심점에서 반경이 80mm 내지 140mm의 원 형상의 영역이고,
    상기 가장 자리 영역은 상기 중심 영역에서 상기 히팅 플레이트의 단부까지 영역인
    웨이퍼 처리 장치.
  4. 제1 항에 있어서,
    상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 공정 시작 시 상기 전자 유량 조절기의 상기 목표 유량이 제1 유량이 되도록 제어하고, 상기 웨이퍼의 가열 공정 중 상기 전자 유량 조절기의 상기 목표 유량이 상기 제1 유량보다 작은 제2 유량이 되도록 제어하는
    웨이퍼 처리 장치.
  5. 제4 항에 있어서,
    상기 제1 유량은 10LPM 내지 30LPM이고,
    상기 제2 유량은 2LPM 내지 8LPM인
    웨이퍼 처리 장치.
  6. 제1 항에 있어서,
    상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 공정 시작 후 인터벌을 가지고 상기 전자 유량 조절기의 목표 유량을 제어하도록 구성된
    웨이퍼 처리 장치.
  7. 제1 항에 있어서,
    상기 웨이퍼의 가열 공정 중 상기 웨이퍼의 온도를 측정하도록 구성된 온도 센서;를 더 포함하고,
    상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 온도가 가열 공정의 목표 온도의 30% 내지 80%의 온도인 제1 온도에 도달할 때, 상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된
    웨이퍼 처리 장치.
  8. 제1 항에 있어서,
    상기 웨이퍼의 하면과 상기 히팅 플레이트의 상면 간의 이격 거리를 측정하는 거리 센서;를 더 포함하고,
    상기 웨이퍼의 가열 공정 시작시, 상기 거리 센서가 측정한 이격 거리는 기준 거리이고,
    상기 웨이퍼 처킹 제어기는 상기 웨이퍼와 상기 히팅 플레이트의 이격 거리가 상기 기준 거리보다 작은 제1 거리에 도달할 때, 상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된
    웨이퍼 처리 장치.
  9. 웨이퍼가 실장되는 복수 개의 지지핀, 및 상기 복수 개의 지지핀 사이에 위치하는 복수 개의 진공 포트를 가지는 히팅 플레이트;
    상기 히팅 플레이트를 가열하도록 구성된 발열 장치;
    상기 복수 개의 진공 포트에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수 개의 진공 포트로 유입되는 유체의 유량이 목표 유량이 되도록 조절하는 전자 유량 조절기;
    상기 전자 유량 조절기에 배치되고, 상기 진공압을 측정하도록 구성된 압력 센서; 및
    상기 전자 유량 조절기의 상기 목표 유량을 제어하도록 구성된 웨이퍼 처킹 제어기;
    를 포함하고,
    상기 웨이퍼 처킹 제어기는 상기 웨이퍼의 가열 도중 상기 진공압이 일정하도록 상기 전자 유량 조절기의 상기 목표 유량을 제어하는 유량 제어 신호를 생성하도록 구성된
    웨이퍼 처리 장치.
  10. 제9 항에 있어서,
    상기 유량 제어 신호는 상기 전자 유량 조절기의 상기 목표 유량을 시간의 경과에 따라 점차 작아지게 하고,
    상기 웨이퍼의 가열 구간 중 상기 전자 유량 조절기의 상기 목표 유량의 최대 유량이 제1 유량이며, 최소 유량이 제2 유량이고,
    상기 제1 유량은 10LPM 내지 30LPM이고,
    상기 제2 유량은 2LPM 내지 8LPM인
    웨이퍼 처리 장치.
KR1020220135849A 2022-10-19 2022-10-20 웨이퍼 처리 장치 KR20240055496A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020220135849A KR20240055496A (ko) 2022-10-20 2022-10-20 웨이퍼 처리 장치
US18/242,388 US20240136216A1 (en) 2022-10-19 2023-09-04 Wafer processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220135849A KR20240055496A (ko) 2022-10-20 2022-10-20 웨이퍼 처리 장치

Publications (1)

Publication Number Publication Date
KR20240055496A true KR20240055496A (ko) 2024-04-29

Family

ID=90883613

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220135849A KR20240055496A (ko) 2022-10-19 2022-10-20 웨이퍼 처리 장치

Country Status (2)

Country Link
US (1) US20240136216A1 (ko)
KR (1) KR20240055496A (ko)

Also Published As

Publication number Publication date
US20240136216A1 (en) 2024-04-25

Similar Documents

Publication Publication Date Title
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US8715455B2 (en) Multi-zone gas distribution system for a treatment system
US7838433B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
KR101526615B1 (ko) 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
US8034176B2 (en) Gas distribution system for a post-etch treatment system
US5548470A (en) Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US8057633B2 (en) Post-etch treatment system for removing residue on a substrate
US7743731B2 (en) Reduced contaminant gas injection system and method of using
US8308381B2 (en) Substrate processing method, computer-readable storage medium, and substrate processing system
US20110177694A1 (en) Switchable Neutral Beam Source
US7759249B2 (en) Method of removing residue from a substrate
TWI406348B (zh) 基於改善基板內之製程均勻性目的之動態溫度背側氣體控制
JP2012174891A (ja) パターン形成方法及び半導体装置の製造方法
WO2021236213A1 (en) Backside depositon tuning of stress to control wafer bow in semiconductor processing
KR102639158B1 (ko) 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP7229061B2 (ja) 基板のエッチング装置及びエッチング方法
US6239043B1 (en) Method for modulating uniformity of deposited layer thickness
KR20240055496A (ko) 웨이퍼 처리 장치
JP7291515B2 (ja) 基板処理システム、基板処理方法、記憶媒体及び基板処理システムの制御装置
KR20210062128A (ko) 기판 처리 장치
CN110890273A (zh) 半导体器件、硬掩膜结构及其制造方法
KR20070088859A (ko) 반도체 디바이스 제조를 위한 사진식각 방법
KR20080025797A (ko) 반도체 소자 제조 장비에서의 웨이퍼 에지 식각 장치
KR20080101331A (ko) 식각공정장비의 에지링 구조
KR20070007449A (ko) 반도체 식각설비의 싱글링 및 그 싱글링을 이용한 플라즈마처리장치