KR102639158B1 - 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 - Google Patents

웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 Download PDF

Info

Publication number
KR102639158B1
KR102639158B1 KR1020190089220A KR20190089220A KR102639158B1 KR 102639158 B1 KR102639158 B1 KR 102639158B1 KR 1020190089220 A KR1020190089220 A KR 1020190089220A KR 20190089220 A KR20190089220 A KR 20190089220A KR 102639158 B1 KR102639158 B1 KR 102639158B1
Authority
KR
South Korea
Prior art keywords
wafer
temperature
controller
air flow
feedback
Prior art date
Application number
KR1020190089220A
Other languages
English (en)
Other versions
KR20210011837A (ko
Inventor
황영호
박성용
서은석
조형석
허석
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190089220A priority Critical patent/KR102639158B1/ko
Priority to US16/751,882 priority patent/US11456195B2/en
Priority to CN202010310465.2A priority patent/CN112309894A/zh
Publication of KR20210011837A publication Critical patent/KR20210011837A/ko
Application granted granted Critical
Publication of KR102639158B1 publication Critical patent/KR102639158B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1932Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of a plurality of spaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • G05D16/20Control of fluid pressure characterised by the use of electric means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50063Probe, measure, verify workpiece, feedback measured values
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Fluid Mechanics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Remote Sensing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

예시적인 실시예들에 따른 상기 웨이퍼 처리 장치는, 복수의 진공 포트들이 형성되고, 웨이퍼가 실장될 수 있도록 구성된 히팅 플레이트; 복수의 온도 센서들; 발열 장치; 상기 발열 장치에 동작을 위한 전력을 제공하도록 구성된 제1 및 제2 파워 서플라이들; 상기 복수의 온도 센서들의 측정치들에 기초하여 상기 제1 및 제2 파워 서플라이들의 출력 전력을 제어하는 제1 및 제2 피드백 온도 제어 신호를 생성하는 제1 및 제2 온도 제어기들; 상기 복수의 진공 포트들의 압력을 조절하는 전자 공기 유량 조절기; 및 상기 제1 및 제2 피드백 온도 제어 신호에 기초하여 상기 전자 공기 유량 조절기의 압력을 제어하기 위한 피드백 공기 유량 제어 신호를 생성하는 상기 웨이퍼 처킹 제어기를 포함할 수 있다

Description

웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법{Wafer processing apparatus, and wafer processing method using the same}
본 발명의 기술적 사상은 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법에 관한 것이다.
반도체 소자들을 제조하기 위해, 산화 공정들, 포토 리소그래피, 식각, 박막 증착, 금속화, EDS(Electrical Die Sorting) 및 패키징 등 다양한 공정들이 웨이퍼 상에 수행된다. 반도체 소자들이 점점더 미세화될수록 반도체 공정 조건들의 고정밀도 제어에 대한 필요성이 점점 더 증대되고 있다. 특히, 공정의 각 단계에서 웨이퍼의 휨(warpage)을 파악하고, 휨의 정도를 결정하며, 웨이퍼 휨에 대응하여 균일한 공정 조건을 구현하는 것은 반도체 제조 수율의 제고에 핵심적인 요소이다.
본 발명의 기술적 사상이 해결하려는 과제는 웨이퍼 전체의 온도를 균일하게 제어할 수 있는 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법을 제공하는 것이다.
본 발명의 기술적 사상이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상술한 과제를 해결하기 위한, 예시적인 실시예들에 따르면 웨이퍼 처리 장치가 제공된다. 상기 웨이퍼 처리 장치는, 복수의 진공 포트들이 형성되고, 중심 영역 및 상기 중심 영역을 둘러싸는 가장자리 영역이 정의되며, 웨이퍼가 실장될 수 있도록 구성된 히팅 플레이트; 상기 발열 장치의 서로 다른 부분에 동작을 위한 전력을 제공하도록 구성된 제1 및 제2 파워 서플라이들; 상기 복수의 온도 센서들의 측정치들 각각에 기초하여 상기 제1 및 제2 파워 서플라이들의 출력 전력을 제어하는 제1 및 제2 피드백 온도 제어 신호를 생성하도록 구성된 제1 및 제2 온도 제어기들; 상기 복수의 진공 포트들에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수의 진공 포트들의 압력을 조절하는 전자 공기 유량 조절기; 및 상기 전자 공기 유량 조절기의 압력을 제어하도록 구성된 웨이퍼 처킹 제어기를 포함하되, 상기 웨이퍼 처킹 제어기는, 상기 제1 및 제2 피드백 온도 제어 신호에 기초하여 상기 전자 공기 유량 조절기의 압력을 제어하기 위한 피드백 공기 유량 제어 신호를 생성하도록 구성된다.
다른 예시적인 실시예들에 따른 웨이퍼 처리 장치는, 복수의 진공 포트들이 형성되고, 중심 영역, 상기 중심 영역을 둘러싸는 가장자리 영역 및 상기 중심 영역과 상기 가장자리 영역 사이에 개재된 중간 영역이 정의되며, 웨이퍼가 실장될 수 있도록 구성된 히팅 플레이트; 상기 히팅 플레이트의 온도를 측정하는 복수의 온도 센서들; 상기 히팅 플레이트를 가열하도록 구성된 발열 장치; 상기 발열 장치에 동작 전력을 제공하도록 구성된 제1 내지 제3 파워 서플라이들; 상기 복수의 온도 센서들의 측정치들 각각에 기초하여 상기 제1 및 제3 파워 서플라이들의 전력을 제어하는 제1 내지 제3 피드백 온도 제어 신호를 생성하도록 구성된 제1 내지 제3 온도 제어기; 상기 복수의 진공 포트들의 압력을 조절하는 전자 공기 유량 조절기; 및 상기 전자 공기 유량 조절기의 압력을 제어하도록 구성된 웨이퍼 처킹 제어기를 포함하되, 상기 복수의 진공 포트들은, 상기 히팅 플레이트의 중심에 대해 원형 대칭을 갖도록 배치된 복수의 제1 진공 포트들; 및 상기 히팅 플레이트의 상기 중심에 대해 원형 대칭을 갖도록 배치되고, 상기 복수의 제1 진공 포트들보다 상기 히팅 플레이트의 상기 중심으로부터 더 멀리 이격된 복수의 제2 진공 포트들을 포함하고, 상기 전자 공기 유량 조절기는, 상기 제1 진공 포트들의 압력을 조절하는 제1 전자 공기 유량 조절기; 및 상기 제2 진공 포트들의 압력을 조절하는 제2 전자 공기 유량 조절기를 포함하며, 상기 웨이퍼 처킹 제어기는, 상기 피드백 온도 제어 신호에 기초하여 상기 전자 공기 유량 조절기의 압력을 제어하기 위한 피드백 공기 유량 제어 신호를 생성하도록 구성된다.
다른 예시적인 실시예들에 따른 웨이퍼 처리 장치는, 복수의 진공 포트들이 형성되고, 중심 영역 및 상기 중심 영역을 둘러싸는 가장자리 영역이 정의되며, 웨이퍼가 실장될 수 있도록 구성된 히팅 플레이트; 상기 히팅 플레이트의 온도를 측정하는 복수의 온도 센서들; 상기 히팅 플레이트를 가열하는 발열 장치; 상기 발열 장치에 동작을 위한 전력을 제공하도록 구성된 제1 및 제2 파워 서플라이들; 상기 제1 및 제2 파워 서플라이들 각각의 전력을 측정하는 제1 및 제2 계측기들; 상기 복수의 온도 센서들의 측정치들 각각에 기초하여 상기 제1 및 제2 파워 서플라이들의 전력을 제어하는 제1 및 제2 피드백 온도 제어 신호들을 생성하도록 구성된 제1 및 제2 온도 제어기들; 상기 복수의 진공 포트들의 압력을 조절하는 전자 공기 유량 조절기; 및 상기 전자 공기 유량 조절기의 압력을 제어하도록 구성된 웨이퍼 처킹 제어기를 포함하되, 상기 웨이퍼 처킹 제어기는, 상기 제1 및 제2 계측기들의 측정치에 기초하여 상기 전자 공기 유량 조절기의 압력을 제어하기 위한 피드백 공기 유량 제어 신호를 생성하도록 구성된다.
예시적인 실시예들에 따르면 웨이퍼 처리 방법이 제공된다. 상기 웨이퍼 처리 방법은, 웨이퍼가 실장되도록 구성되고 진공 압력이 인가되도록 구성된 복수의 진공 포트들을 포함하는 히팅 플레이트를 가열하는 단계; 상기 히팅 플레이트 상에 웨이퍼를 실장하는 단계; 상기 웨이퍼 실장에 따른 상기 히팅 플레이트의 온도 변화에 대응하여, 히팅 플레이트가 설정된 온도를 갖도록 발열 장치를 제어하는 단계; 상기 히팅 플레이트의 온도 변화에 대응한 상기 발열 장치의 전력에 기초하여 웨이퍼의 휨을 결정하는 단계; 및 상기 웨이퍼의 휨에 기초하여 진공 포트들 내의 진공 압력을 조절하는 단계를 포함한다.
본 발명의 기술적 사상에 따르면, 웨이퍼의 휨 정도를 실시간으로 결정하고, 웨이퍼의 휨 정도에 따라 최적의 진공 압력을 이용하여 웨이퍼를 고정할 수 있다. 이에 따라, 웨이퍼와 히팅 플레이트 사이에 불필요한 난기류 형성을 방지할 수 있는바, 웨이퍼 처리의 균일성을 제고할 수 있다.
도 1a 내지 도 1c는 일부 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면들이다.
도 2a 내지 도 2c는 일부 실시예들에 따른 히팅 플레이트를 설명하기 평면도들이다.
도 3은 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 순서도이다.
도 4는 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 실험예의 결과를 도시한 그래프이다.
도 5는 다른 일부 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 6은 예시적인 실시예들에 따른 웨이퍼 처리 장치에 포함된 히팅 플레이트를 개략적으로 도시한 상면도이다.
도 7은 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 순서도이다.
도 8a 및 도 8b는 일부 실시예들에 따른 웨이퍼(W)의 처킹을 설명하기 위해 히팅 플레이트의 상면을 도시한 평면도들이다.
도 9는 일부 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면이다.
도 10은 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 도면이다.
도 11은 일부 실시예들에 따른 베이크 장치를 설명하기 위한 개략적인 사시도이다.
도 12는 일부 실시예들에 따른 베이크 장치를 포함하는 시스템을 설명하기 위한 블록도이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다. 이하의 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장되어 표현되었고, 이에 따라 실제의 형상 및 비율과 다소 상이할 수 있다.
도 1a는 일부 실시예들에 따른 웨이퍼 처리 장치(100a)를 설명하기 위한 도면이다.
도 1a를 참조하면, 웨이퍼 처리 장치(100a)는 히팅 플레이트(110), 제1 및 제2 온도 제어기들(121, 123), 제1 및 제2 파워 서플라이들(131, 133), 웨이퍼 처킹 제어기(140) 및 전자 공기 유량 조절기(150)를 포함할 수 있다.
히팅 플레이트(110) 상에 웨이퍼(W)가 배치될 수 있다. 일부 실시예들에 따르면, 히팅 플레이트(110)는 웨이퍼(W)를 설정된 온도로 가열할 수 있다. 일부 실시예들에 따르면, 히팅 플레이트(110)는 웨이퍼(W) 상에 다양한 반도체 소자 제조 공정이 수행되는 동안 웨이퍼(W)를 지지하고, 고정하며, 웨이퍼(W)의 온도를 설정된 온도로 유지할 수 있다.
웨이퍼 처리 장치(100a)에 실장되어 히팅 플레이트(110)에 의해 지지되는 동안 웨이퍼(W)에 수행될 수 있는 공정은 i) 산화막을 형성하기 위한 열 산화 공정, ii) 스핀 코팅, 노광 및 현상을 포함하는 리소그래피 공정, iii) 박막 퇴적 공정 및 iv) 건식 또는 습식 식각 공정을 포함할 수 있다. 즉, 히팅 플레이트(110)는 웨이퍼(W)의 온도가 설정된 온도로 유지되어야 하는 임의의 반도체 소자 제조 공정에서 웨이퍼(W)를 지지하고 웨이퍼(W)의 온도를 유지하기 위한 처킹 장치일 수 있다.
웨이퍼(W)에 수행될 수 있는 박막 퇴적 공정은, 예를 들어, ALD(Atomic layer deposition), CVD(Chemical vapor deposition), PECVD(plasma-enhanced CVD), MOCVD(Metal Organic CVD), PVD(physical vapor deposition), 반응성 펄스 레이저 퇴적법(reactive pulsed laser deposition) 분자 빔 에피택시(molecular beam epitaxy) 및 DC 마그네트론 스퍼터링(magnetron sputtering) 중 어느 하나일 수 있다.
웨이퍼(W)에 수행될 수 있는 건식 식각 공정은, 예를 들어, RIE(reactive ion etching), DRIE(Deep RIE), IBE(ion beam etching) 및 Ar 밀링(milling) 중 어느 하나일 수 있다. 다른 예로, 웨이퍼(W)에 수행될 수 있는 건식 식각 공정은, ALE(Atomic Layer Etching)일 수 있다. 또한, 웨이퍼(W)에 수행될 수 있는 습식 식각 공정은, Cl2, HCl, CHF3, CH2F2, CH3F, H2, BCL3, SiCl4, Br2, HBr, NF3, CF4, C2F6, C4F8, SF6, O2, SO2 및 COS 중 적어도 어느 하나를 에천트 가스로 하는 식각 공정일 수 있다.
일부 실시예들에 따르면, 웨이퍼(W)에 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정과 같은 평탄화 공정, 이온 주입 공정, 포토리소그래피 공정 등이 수행되는 것도 가능하다.
웨이퍼(W)는 예를 들면, 실리콘(Si, silicon)을 포함할 수 있다. 웨이퍼(W)는 게르마늄(Ge, germanium)과 같은 반도체 원소, 또는 SiC (silicon carbide), GaAs(gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 SOI (silicon on insulator) 구조를 가질 수 있다. 웨이퍼(W)는 매립 산화물 층(buried oxide layer)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 도전 영역, 예컨대, 불순물이 도핑된 웰(well)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 상기 도핑된 웰을 서로 분리하는 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다. 웨이퍼(W)는 활성면인 제1 면 및 제1 면에 반대되는 비활성면인 제2 면을 가질 수 있다. 웨이퍼(W)는 제2 면이 히팅 플레이트(110)와 대향하도록 히팅 플레이트(110) 상에 배치될 수 있다.
도 1a를 참조하면 웨이퍼(W)가 아래 방향, 예컨대 히팅 플레이트(110)에 가까운 방향으로 볼록한 것으로 도시되었으나 이에 제한되는 것은 아니다. 웨이퍼(W)는 위로 볼록하거나, 안장 모양을 갖는 것도 가능하다.
복수의 지지핀들(115)은 히팅 플레이트(110)의 상면에 배치될 수 있다. 복수의 지지핀들(115)은 히팅 플레이트(110) 상에 배치된 웨이퍼(W)를 지지할 수 있다. 복수의 지지핀들(115)은 웨이퍼(W)가 히팅 플레이트(100)에 직접 접촉하는 것을 방지함으로써, 웨이퍼(W)가 히팅 플레이트(110)로부터 오염되는 것을 방지할 수 있다.
일부 실시예들에 따르면, 히팅 플레이트(110) 하부에 패터닝된 박판 형상을 갖는 발열 장치(117)가 배치될 수 있다. 하지만 이에 제한되는 것은 아니고, 발열 장치(117)가 히팅 플레이트(110) 내부 또는 상부에 제공되는 것도 가능하다. 발열 장치(117)는 예컨대, 전열 장치일 수 있으며, 히팅 플레이트(110)에 실장된 웨이퍼(W)에 균일한 열을 제공하기 위한 임의의 다양한 형상을 가질 수 있다.
일부 실시예들에 따르면, 발열 장치(117)는, 히팅 플레이트(110)의 복수의 영역에 대응하여, 복수의 영역으로 구획될 수 있다. 이러한 발열 장치(117)의 예시적인 구획은 도 2a 내지 도 2c에 파선으로 표시되어 있다. 이에 따라, 발열 장치(117)의 히팅 플레이트(110) 상의 서로 다른 영역에 대응되는 부분에 서로 다른 열 출력을 제공할 수 있다. 히팅 플레이트(110) 상의 영역 중 일부 영역이 다른 영역에 비해 온도가 낮거나 높은 경우에도, 발열 장치(117)는 히팅 플레이트(110)의 전면에 걸친 온도 분포가 균일해지도록 출력을 제어할 수 있다.
히팅 플레이트(110)는 외부로부터 진공압이 제공되는 다수의 진공 포트들(111)이 형성된다. 다수의 진공 포트들(111)은 히팅 플레이트(110)를 관통하여, 진공 압이 제공되는 경로 역할을 할 수 있다. 도 1a에서 진공 압은 파선인 화살표(dashed-arrow)로 표시되어 있다. 일부 실시예들에 따르면, 진공 포트들(111)은 다양한 배치를 갖도록 히팅 플레이트(110)에 형성될 수 있다. 진공 포트들(111)의 예시적인 배치는 도 2a 내지 도 2c를 참조하여 후술하도록 한다. 진공 포트들(111)은 웨이퍼(W)가 복수의 지지핀들(115)에 상에 고정되도록 진공압으로 통해 상기 웨이퍼(W)를 흡입할 수 있다.
온도 센서들(113)은 히팅 플레이트(110)에 내장될 수 있다. 하지만 이에 제한되는 것은 아니고, 온도 센서들(113)은 히팅 플레이트의 상면, 또는 하면에 배치될 수 있다. 온도 센서들(113)은 상기 히팅 플레이트(110)의 온도를 감지할 수 있다. 온도 센서들(113)은 히팅 플레이트(110)의 중심 영역(CA, 도 2a 참조), 가장자리 영역(ER, 도 2a 참조) 및 상기 중심 영역(CA, 도 2a 참조)과 가장자리 영역(ER, 도 2a 참조) 사이인 중간 영역(MR, 도 2a 참조)에 일정한 배열로 배치될 수 있다.
제1 및 제2 온도 제어기들(121, 123)은 공정 레서피에 따른 제1 및 제2 온도 제어 명령들(TCC1, TCC2)을 수신하여 제1 및 제2 파워 서플라이들(131, 133)의 출력을 제어하기 위한 제1 및 제2 온도 제어 신호들(TCS1, TCS2)을 생성할 수 있다. 여기서 제1 온도 제어 신호(TCS1)는 발열 장치(117) 중 중심 영역(CA, 도 2a)에 대응되는 부분의 출력을 제어하기 위한 신호일 수 있고, 제2 온도 제어 신호(TCS2)는 발열 장치(117) 중 가장자리 영역(ER, 도 2a)에 대응되는 부분의 출력을 제어하기 위한 신호일 수 있다.
제1 및 제2 온도 제어기들(121, 123)은 온도 센서들(113)에 의해 온도 측정치들인 제1 및 제2 온도들(T1, T2)을 수신할 수 있다. 제1 온도(T1)는 히팅 플레이트(110)의 중심 영역(CR, 도 2a)에 배치된 온도 센서들(113)에 의해 측정된 온도일 수 있고, 제2 온도(T2)는 히팅 플레이트의 가장자리 영역(ER, 도 2a)에 대응되는 온도 센서들(113)에 의해 측정된 온도일 수 있다.
제1 및 제2 온도 제어기들(121, 123)은 제1 및 제2 온도들(T1, T2)에 기반하여 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)을 생성할 수 있다. 제1 피드백 온도 제어 신호(FTCS1)는 히팅 플레이트(110)의 중심 영역(CR, 도 2a 참조)에 대응되는 신호일 수 있고, 제2 피드백 온도 제어 신호(FTCS2)는 히팅 플레이트(110)의 가장자리 영역(ER, 도 2a 참조)에 대응되는 신호일 수 있다.
제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)은 제1 및 제2 온도들(T1, T2)에 기반한 피드백 신호들일 수 있다. 예컨대, 제1 온도(T1)가 공정 레서피에 의한 온도보다 낮을 경우, 제1 온도 제어기(121, 123)는 제1 파워 서플라이(1313)의 출력을 증가시키도록 하는 제1 피드백 온도 제어 신호(FTCS1, FTCS2)를 생성할 수 있다. 반대로, 제2 온도들(T2)중 공정 레서피에 의한 온도보다 높을 경우, 제2 온도 제어기(123)는 제2 파워 서플라이들(131, 133)의 출력을 감소시키도록 하는 제2 피드백 온도 제어 신호(FTCS2)를 생성할 수 있다.
제1 및 제2 파워 서플라이들(131, 133)은 제1 및 제2 온도 제어 신호들(TCS1, TCS2) 또는 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)에 따른 전력을 생성하고, 상기 전력을 발열 장치(117)에 제공할 수 있다. 제1 파워 서플라이(131)는 히팅 플레이트(110)의 중심 영역(CR, 도 2a 참조)의 발열 장치(117)에 상기 전력을 전달할 수 있다. 제2 파워 서플라이(133)는 히팅 플레이트(110)의 가장자리 영역(ER, 도 2a 참조)의 발열 장치(117)에 전력을 전달할 수 있다.
웨이퍼 처킹 제어기(140)는 전자 공기 유량 조절기(150)를 제어할 수 있다. 웨이퍼 처킹 제어기(140)는 외부의 웨이퍼 처킹 명령(WCC)에 기반하여 전자 공기 유량 조절기(150)를 제어하기 위한 신호인 공기 유량 제어 신호(AFCS)를 생성할 수 있다.
웨이퍼 처킹 제어기(140)는 제1 및 제2 피드백 온도 제어 신호(FCS1, FCS2)에 기반하여 전자 공기 유량 조절기(150)를 제어하기 위한 신호인 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다. 웨이퍼 처킹 제어기(140)는 제1 및 제2 온도 제어기들(121, 123)의 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)에 기초하여 소정의 연산을 수행할 수 있다. 웨이퍼 처킹 제어기(140)는 상기 소정의 연산을 통해 웨이퍼(W)의 휨 정도를 결정할 수 있다. 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 결정된 웨이퍼(W)의 휨에 기초하여 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다. 다른 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 웨이퍼(W)의 휨의 결정 없이, 상기 소정의 연산 결과에 기초하여 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다. 웨이퍼 처킹 제어기(140)의 연산에 관해서는 뒤에서 다시 설명하도록 한다.
전자 공기 유량 조절기(150)는 전자 압력 조절기 등과 같이 진공 포트들(111)을 통한 공기의 흐름을 조절할 수 있는 임의의 장치를 포함할 수 있다. 일부 실시예들에 따르면, 전자 공기 유량 조절기(150)는 공기 유량 제어 신호(AFCS) 또는 피드백 공기 유량 제어 신호(FAFCS)에 기반하여 진공 포트들(111)을 통해 흐르는 공기의 유량을 조절할 수 있다. 전자 공기 유량 조절기(150)는 진공 포트들(111)을 통해 흐르는 공기의 유량을 조절함으로써, 진공 포트들(111)에 원하는 진공 압력을 인가할 수 있다. 일부 실시예들에 따르면, 전자 공기 유량 조절기(150)는 서보 밸브 또는 솔레노이드 밸브를 포함할 수 있다. 다른 일부 실시예들에 따르면, 전자 공기 유량 조절기(150)는 전자 진공 압력 트랜스듀서를 포함할 수 있다. 전자 공기 유량 조절기(150)는 출력 진공 압력을 모니터링하기 위한 내부 압력 센서를 더 포함할 수 있다.
종래의 웨이퍼 처리 장치들은 진공 압력 방식으로 웨이퍼(W)를 고정할 때, 온 오프 방식으로 제어되는 진공 밸브를 이용하여 웨이퍼(W)의 휨 정도와 무관하게 최대의 압력으로 웨이퍼(W)를 고정하였다. 진공압 방식의 웨이퍼(W)의 고정은 난기류를 발생시켜 웨이퍼 가장자리에 불균일한 열 손실을 야기하므로, 웨이퍼(W) 전면에 걸쳐 고른 열 분포의 구현을 저해하는 문제점이 있었다.
일부 실시예들에 따르면, 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)에 기초하여 웨이퍼(W)의 휨 발생 여부 및 정도를 결정하고, 결정된 웨이퍼(W)의 휨 정도에 따라 피드백 공기 유량 제어 신호(FAFCS)를 생성함으로써, 최적의 진공 압력으로 웨이퍼(W)를 고정할 수 있다. 여기서 최적의 진공 압력은 웨이퍼(W)를 고정하기 위한 최소의 압력, 또는 웨이퍼(W)의 휨을 감소시켜 웨이퍼(W)를 균일하게 처리하기 위한 최소의 압력 중 어느 하나일 수 있다. 이에 따라, 필요 이상의 진공 압력이 웨이퍼(W)에 가해지는 것을 방지할 수 있고, 웨이퍼(W)와 히팅 플레이트(110) 사이의 난기류의 형성을 최소화 함으로써, 웨이퍼(W)의 균일한 처리에 대한 신뢰성을 제고할 수 있다.
제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)는 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 임의의 조합으로 구현될 수 있다.
예컨대, 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)는 워크 스테이션 컴퓨터, 데스크탑 컴퓨터, 랩 탑 컴퓨터, 태블릿 컴퓨터 등의 컴퓨팅 장치일 수 있다. 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)는 단순 제어기, 마이크로 프로세서, CPU, GPU 등과 같은 복잡한 프로세서, 소프트웨어에 의해 구성된 프로세서, 전용 하드웨어 또는 펌웨어일 수도 있다. 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)는, 예를 들어, 범용 컴퓨터 또는 DSP(Digital Signal Process), FPGA(Field Programmable Gate Array) 및 ASIC(Application Specific Integrated Circuit) 등과 같은 애플리케이션 특정 하드웨어에 의해 구현될 수 있다.
일부 실시예들에 따르면 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)의 동작은 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독 가능 매체 상에 저장된 명령들로서 구현될 수 있다. 여기서, 기계 판독 가능 매체는 기계(예를 들어, 컴퓨팅 장치)에 의해 판독 가능한 형태로 정보를 저장 및/또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독 가능 매체는 ROM(Read Only Memory), RAM(Random Access Memory), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 장치들, 전기적, 광학적, 음향적 또는 다른 형태의 전파 신호(예컨대, 반송파, 적외선 신호, 디지털 신호 등) 및 기타 임의의 신호를 포함할 수 있다.
제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)에 대해 설명한 동작, 또는 이하에서 설명하는 임의의 공정을 수행하기 위한 또한, 펌웨어, 소프트웨어, 루틴, 명령어들이 구성될 수 있다. 예컨대, 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)는 웨이퍼(W)의 처리를 위한 신호를 생성하고, 피드백을 위한 데이터를 수신하며, 소정의 연산을 수행하여 공정을 조정하는 등의 기능을 수행하는 소프트웨어에 의해 구현될 수 있다.
하지만 이는 설명의 편의를 위한 것으로서, 상술된 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)의 동작은 컴퓨팅 장치, 프로세서, 제어기 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 장치로부터 야기될 수도 있음을 이해해야 한다.
도 1b는 다른 일부 실시예들에 따른 웨이퍼 처리 장치(100b)를 설명하기 위한 도면이다.
설명의 편의상 도 1a를 참조하여 설명한 것과 중복되는 것을 생략하고, 차이점을 위주로 설명하도록 한다.
도 1b를 참조하면, 웨이퍼 처리 장치(100b)는 도 1a에 도시된 웨이퍼 처리 장치(100a)에 더해 제1 및 제2 계측기들(132, 134)을 더 포함할 수 있다.
제1 계측기(132)는 제1 파워 서플라이(131)와 히팅 플레이트(110) 사이에 배치될 수 있다. 제1 계측기(132)는 제1 파워 서플라이(131)의 전력이 히팅 플레이트(110)에 전달되는 경로에 연결될 수 있다. 제2 계측기(134)는 제2 파워 서플라이(133)와 히팅 플레이트(110) 사이에 개재될 수 있다. 제2 계측기(134)는 제2 파워 서플라이(133)의 전력이 히팅 플레이트(110)에 전달되는 경로에 연결될 수 있다.
제1 및 제2 계측기들(132, 134)은 전압계, 전류계 또는 전력계 중 어느 하나일 수 있다. 일부 실시예들에 따르면, 제1 계측기(132)는 제1 파워 서플라이(131)의 출력인 제1 출력(O1)을 측정할 수 있다. 제1 출력(O1)은 히팅 플레이트(110)의 중심 영역(CR, 도 2a 참조)에 대응되는 발열 장치(117)에 전달되는 출력으로써 전압, 전류 또는 전력 중 어느 하나의 형태일 수 있다. 일부 실시예들에 따르면, 제2 계측기(134)는 제2 파워 서플라이(133)의 출력인 제2 출력(O2)을 측정할 수 있다. 제2 출력(O2)은 히팅 플레이트(110)의 가장자리 영역(ER, 도 2a 참조)에 대응되는 발열 장치(117)에 전달되는 출력으로써 전압, 전류 또는 전력 중 어느 하나의 형태일 수 있다.
웨이퍼 처킹 제어기(140)는 제1 및 제2 출력들(O1, O2)에 기초하여 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다. 보다 구체적으로, 웨이퍼 처킹 제어기(140)는 제1 및 제2 출력들(O1, O2)에 기초하여 소정의 연산을 수행한 후, 상기 연산 결과에 기초하여 전자 공기 유량 조절기(150)를 제어하기 위한 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다.
도 1c는 다른 일부 실시예들에 따른 웨이퍼 처리 장치(100c)를 설명하기 위한 도면이다.
설명의 편의상 도 1a를 참조하여 설명한 것과 중복되는 것을 생략하고, 차이점을 위주로 설명하도록 한다.
도 1c를 참조하면, 웨이퍼 처리 장치(100c)는 도 1a에 도시된 웨이퍼 처리 장치(100a)와 달리 히팅 플레이트(110)의 온도를 제어하고, 전자 공기 유량 조절기(150)에 의한 출력 압력을 제어하기 위한 공정 제어기(120)를 포함할 수 있다. 일부 실시예들에 따르면, 공정 제어기(120)는 도 1a의 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)의 기능을 수행할 수 있다.
공정 제어기(120)는 도 1a에 관한 설명에서, 제1 및 제2 온도 제어기들(121, 123) 및 웨이퍼 처킹 제어기(140)에 관하여 앞서 설명한 것과 마찬가지로, 다양한 종류의 컴퓨팅 장치 또는 프로세서 장치일 수 있다. 공정 제어기(120)는 히팅 플레이트(110)의 온도를 조절하고 전자 공기 유량 조절기(150)의 출력 압력을 제어하기 위한 소프트웨어 및/또는 펌 웨어를 포함할 수 있다.
일부 실시예들에 따르면, 공정 제어기(120)는 공정 레시피에 따른 웨이퍼 처리 명령(WPC)을 수신하여 제1 및 제2 온도 제어 신호들(TCS1, TCS2) 및 공기 유량 제어 신호(AFCS)를 생성할 수 있다.
일부 실시예들에 따르면, 공정 제어기(120)는 온도 센서들(113)로부터 제1 및 제2 온도들(T1, T2)에 대한 신호를 수신할 수 있고, 이를 이용하여 히팅 플레이트(110)의 온도를 제어하기 위한 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)를 생성하여 제1 및 제2 파워 서플라이들(131, 133)에 각각 전달할 수 있다.
일부 실시예들에 따르면, 공정 제어기(120)는 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)에 기초하여 전자 공기 유량 조절기(150)를 제어하기 위한 피드백 압력 조절 신호(FAFCS)를 생성할 수 있다.
도 2a 내지 도 2c는 일부 실시예들에 따른 히팅 플레이트(110)를 설명하기 평면도들이다.
도 2a 내지 도 2c를 참조하면, 히팅 플레이트(110) 상에 중심 영역(CR), 가장자리 영역(ER) 및 중심 영역(CR)과 상기 가장자리 영역(ER) 사이인 중간 영역(MR)이 정의될 수 있다.
일부 실시예들에 따르면, 도 2a 내지 도 2c에 도시된 것과 같이 진공 포트들(111)은 히팅 플레이트(110)의 중심에 대해 원형 대칭을 이루도록 배치될 수 있다. 이러한 진공 포트들(111)들의 배치에 의해 히팅 플레이트(110) 상에 실장된 웨이퍼(W, 도 1a 참조)가 균등한 압력에 의해 고정될 수 있다.
도 2a의 예에서, 진공 포트들에은 히팅 플레이트(110)의 중간 영역(MR)에 배치될 수 있다. 도 2b의 예에서, 진공 포트들은 히팅 플레이트(110)의 중심 영역(CR)에 배치될 수 있다. 도 2c의 예에서, 진공 포트들은 히팅 플레이트(110)의 가장자리 영역(ER)에 배치될 수 있다.
도 3은 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 순서도이다.
도 1a 및 도 3을 참조하면, P110에서 히팅 플레이트(110)를 가열할 수 있다.
전술한 것과 같이 제1 및 제2 온도 제어기들(121, 123)은 각각 공정 레시피에 따른 제1 및 제2 온도 제어 명령들(TCC1, TCC2)에 기초하여 각각 제1 및 제2 온도 제어 신호들(TCS1, TCS2)을 생성할 수 있다.
제1 및 제2 파워 서플라이들(131, 133)은 수신한 제1 및 제2 온도 제어 신호들(TSC1, TSC2)에 기초하여 히팅 플레이트(110)가 전면에 걸쳐 고른 온도를 갖도록 가열할 수 있다.
도 4는 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 실험예의 결과를 도시한 그래프이다. 보다 구체적으로, 도 4는 웨이퍼(W)의 처리에 따른 히팅 플레이트(110)의 가장자리 영역(ER, 도 2a 참조)의 온도 및 제2 파워 서플라이(133)의 출력을 시간에 따라 도시한 그래프이다.
도 4의 그래프에서, 세로축은 히팅 플레이트(110)의 온도 또는 제2 파워 서플라이(133)의 출력을 나타내며, 세로축은 시간을 나타낸다. 또한, 도 4의 그래프에서 히팅 플레이트(110)의 가장자리 영역(ER, 도 2a 참조)의 온도를 나타내고 파선 및 이점 쇄선은 제2 파워 서플라이(133)의 나타낸다.
도 1a, 도 2a 도 3 및 도 4를 참조하면, P120에서, 웨이퍼(W)를 히팅 플레이트(110) 상에 실장할 수 있다. 웨이퍼(W)의 실장은 반송 로봇에 의해 수행될 수 있으며 실장된 웨이퍼는 진공 포트들(111)의 진공 압력에 의해 고정될 수 있다.
도 4의 실험예에서, 제1 시점(t1)에, 실질적으로 평평한(즉, 휨이 없는) 웨이퍼가 실장되고, 제2 시점(t2)에 휨이 있는 웨이퍼가 실장된다.
제2 시점(t2)에 실장된 웨이퍼(W)는 비활성면이 히팅 플레이트(110)를 마주하도록 실장될 때, 히팅 플레이트(110)의 가장 자리 영역(ER)과 웨이퍼(W) 사이의 거리가 히팅 플레이트(110)의 중심 영역(CR)과 웨이퍼(W) 사이의 거리 보다 큰 웨이퍼이다. 실험예의 웨이퍼(W)는 약 350μm 정도의 휨을 가질 수 있다. 여기서 휨이 약 350μm라 함은, 웨이퍼(W)의 상면에 대해, 최고점과 최저점 사이의 높이 차가 약 350μm임을 지칭한다.
웨이퍼(W)가 히팅 플레이트에 실장되기 직전의 웨이퍼(W)의 온도는 일반적으로 공정 레시피에 의한 히팅 플레이트(110)의 온도보다 낮으므로, 웨이퍼(W) 실장 직후 히팅 플레이트(110)의 가장자리 영역(ER)의 온도는 낮아질 수 있다.
평평한 웨이퍼가 실장된 제1 시점(t1)과 비교할 때, 제2 시점(t2)에서 웨이퍼 로딩 직후 히팅 플레이트(110) 가장자리 영역(ER)의 온도 하강이 상대적으로 작을 수 있다. 이에 따라 제2 시점(t2)의 제2 파워 서플라이(133)의 출력은 제1 시점(t1)의 제1 파워 서플라이(131)의 출력보다 작은 것이 확인 되었다.
아래 표 1은 평평한 웨이퍼가 실장된 제1 시점(t1) 직후, 히팅 플레이트(110)의 중심 영역(CR), 중간 영역(MR) 및 가장 자리 영역(ER)에 대응되는 발열 장치(117)의 출력 및 휨이 있는 웨이퍼 실장된 제2 시점(t2) 직후 히팅 플레이트(110)의 중심 영역(CR), 중간 영역(MR) 및 가장 자리 영역(ER)의 온도를 나타낸다.
평평한 웨이퍼 휨이 있는 웨이퍼 비율
평균 온도 최저점 108.9°C 109.5°C 100.6%
중심 영역 최저 온도 108.2°C 108.9°C 100.6%
중간 영역 최저 온도 108.3°C 109.3°C 100.9%
가장 자리 영역 최저 온도 108.2°C 109.7°C 100.5%
전술한 것과 같이 히팅 플레이트(110) 상에 복수의 온도 센서들이 히팅 플레이트(110)의 전면에 걸쳐 설정된 위치들에 배치되며, 표 1은 중심 영역(CR), 중간 영역(MR) 및 가장 자리 영역(ER)에 배치된 온도 센서의 측정치들 중 최소 값들을 나타낸다.
평평한 웨이퍼(W)와 휨이 있는 웨이퍼(W)가 실장된 직후의 변화된 온도들 간의 비는 약 100.5% 내지 약 100.9% 정도로써 식별력이 다소 낮다.
이어서 도 1a 및 도 3을 참조하면, P130에서 히팅 플레이트(110)가 설정된 온도를 갖도록 발열 장치(117)를 제어할 수 있다.
P130은 P120의 웨이퍼(W)의 실장과 실질적으로 동시에, 또는 P120의 웨이퍼(W)의 실장 직후에 수행될 수 있다.
발열 장치(117)의 제어는 전술한 것과 같이 제1 및 제2 온도들(T1, T2)을 포함하여 히팅 플레이트(110)의 영역별 온도들을 측정하고, 상기 영역별 온도들에 기초하여 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)을 생성하며, 히팅 플레이트(110)의 서로 다른 영역들에 대응하는 발열 장치(117)들에 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)에 기초한 전력을 제공하는 것을 포함할 수 있다.
이어서 도 1a 및 도 3을 참조하면, P140에서 발열 장치(117)의 출력들에 기초하여 웨이퍼(W)를 처킹하기 위한 공기 유량을 조절할 수 있다.
아래 표 2는 평평한 웨이퍼(W)가 실장된 제1 시점(t1) 직후 및 휨이 있는 웨이퍼(W)가 실장된 제2 시점(t2) 직후에, 히팅 플레이트(110)의 중심 영역(CR), 중간 영역(MR) 및 가장 자리 영역(ER) 각각에 대응 되는 발열 장치(117)의 출력들을 나타낸다.
평평한 웨이퍼(A) 휨이 있는 웨이퍼(B) 비(B/A)
중심 영역 최대 출력 84.9W 94.9W 111.8%
중간 영역 최대 출력 109.9W 49.6W 45.1%
가장 자리 영역 최대 출력 55.1W 25.7W 46.6%
중앙-가장자리 출력차 29.8W 69.2W 232.2%
표 1에서 웨이퍼(W)가 휨이 있는 경우와 없는 경우에 대해, 웨이퍼(W) 실장 직후의 히팅 플레이트(110)의 중심 영역(CR), 중간 영역(CR) 및 가장 자리 영역(ER)의 온도 차가 작았던 것과 대조적으로, 표 2를 참조하면, 휨이 있는 웨이퍼(W)와 평평한 웨이퍼(W)의 중심 영역(CR)과 가장 자리 영역(ER)에 대응되는 발열 장치(117)(또는, 파워 서플라이)의 출력 차가 큰 것을 확인할 수 있다.
설명의 편의상 표에 도시된 것과 같이 중심 영역(CR)에 대응되는 발열 장치(117)(또는, 파워 서플라이)의 출력과 가장 자리 영역(ER)에 대응되는 발열 장치(117)(또는, 파워 서플라이)의 출력 차의 차이를 중앙-가장자리 출력 차라고 지칭한다.
일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 중앙-가장자리 출력 차를 산출할 수 있다. 나아가, 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 웨이퍼(W)에 휨이 발생한 경우의 중앙-가장자리 출력 차와 웨이퍼(W)의 휨이 발생하지 않은 경우의 중앙-가장자리 출력 차의 비를 산출할 수 있다. 표 2에 나타난 것처럼 약 350μm의 휨이 있는 웨이퍼(W)의 중앙-가장자리 출력 차와 평평한 웨이퍼(W)의 의 중앙-가장자리 출력 차의 비는 약 232.2%로 휨의 발생 여부 및 그 정도에 대한 식별력이 매우 큰 것을 확인할 수 있다.
표 2에서, 중심 영역의 발열 장치(117)의 출력들 중 최대 출력과 가장 자리 영역의 발열 장치(117)의 출력들 중 최대 출력을 비교하고 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 다른 일부 예들에서, 웨이퍼 처킹 제어기(140)는 중심 영역의 발열 장치(117)의 출력들 중 최소 출력과 가장 자리 영역의 발열 장치(117)의 출력들 중 최소 출력을 비교하여 중앙-가장자리 출력차를 산출할 수 있다.
또한, 후술하듯, 웨이퍼 처킹 제어기(140)는 웨이퍼의 휨의 발생 여부 및 정도를 결정할 수 있다. 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)가 웨이퍼(W)가 아래로 볼록하게 휘어진 것으로 결정한 경우, 웨이퍼 처킹 제어기(140)는 중심 영역의 발열 장치(117)의 출력들 중 최대 출력과 가장 자리 영역의 발열 장치(117)의 출력들 중 최소 출력을 비교하여 중앙-가장자리 출력차를 산출할 수 있다.
다른 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)가 웨이퍼(W)가 위로 볼록하게 휘어진 것으로 결정한 경우, 웨이퍼 처킹 제어기(140)는 중심 영역의 발열 장치(117)의 출력들 중 최소 출력과 가장 자리 영역의 발열 장치(117)의 출력들 중 최대 출력을 비교하여 중앙-가장자리 출력차를 산출할 수 있다.
다른 일부 예들에서, 웨이퍼 처킹 제어기(140)는 중심 영역의 발열 장치(117)의 출력들의 평균값과 가장 자리 영역의 발열 장치(117)의 출력들의 평균 값을 비교하여 중앙-가장자리 출력차를 산출할 수 있다. 다른 일부 예들에서, 웨이퍼 처킹 제어기(140)는 중심 영역의 발열 장치(117)의 출력들의 중간 값과 가장 자리 영역의 발열 장치(117)의 출력들의 중간 값을 비교하여 중앙-가장자리 출력차를 산출할 수 있다.
예시적인 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는, 추가적인 높이 측정 센서의 도움 없이, 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)에 기초하여 웨이퍼(W)의 휨을 결정할 수 있다. 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 현재 공정 진행중인 웨이퍼(W)의 중앙-가장자리 출력차에 대한 데이터에 대한 룩업 기능 또는 기존의 온라인/오프라인 데이터와 비교 등을 통해 웨이퍼(W)의 휨 여부 및 그 정도를 결정할 수 있다. 다른 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 평평한 웨이퍼(W)의 중앙 가장자리 출력차와 현재 공정 진행중인 웨이퍼(W)의 중앙-가장 자리 출력차의 비율에 대한 데이터에 대한 룩업 기능 또는 기존의 온라인/오프라인 데이터와 비교 등을 통해 웨이퍼(W)의 휨 여부 및 그 정도를 결정할 수 있다.
웨이퍼 처킹 제어기(140)는 상기 웨이퍼(W)의 휨에 기초하여 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다. 피드백 공기 유량 제어 신호(FAFCS)를 예컨대, 웨이퍼(W)의 휨이 큰 경우 전자 공기 유량 조절기(150)가 더 큰 진공 압력을 출력하고 하고, 웨이퍼(W)의 휨이 작은 경우 전자 공기 유량 조절기(150)가 더 작은 진공 압력을 출력하도록 할 수 있다.
다른 예시적인 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 제1 및 제2 피드백 온도 제어 신호들(FTCS1, FTCS2)에 기초하여 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다. 보다 구체적으로 웨이퍼 처킹 제어기(140)는 현재 공정 진행중인 웨이퍼(W)의 중앙-가장자리 출력차에 따른 최적 공기 유량 제어 신호에 관한 데이터에 대한 룩업 기능 또는 기존의 온라인/오프라인 데이터와 비교 등을 통해 피드백 공기 유량 제어 신호(FAFCS)를 생성할 수 있다.
이상에서 도 1a의 웨이퍼 처리 장치(100a)에 의한 웨이퍼 처리 방법에 대해 설명하였으나, 당해 기술분야의 통상의 기술자는 도 1b 및 도 1c의 웨이퍼 처리 장치들(100b, 100c) 또한 실질적으로 동일한 방법에 의해 웨이퍼의 처리를 수행할 수 있음을 이해할 것이다.
도 5는 다른 일부 실시예들에 따른 웨이퍼 처리 장치(200)를 설명하기 위한 도면이다.
도 6은 예시적인 실시예들에 따른 웨이퍼 처리 장치에 포함된 히팅 플레이트를 개략적으로 도시한 상면도이다.
설명의 편의상 도 1a를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 5 및 도 6을 참조하면, 웨이퍼 처리 장치(200)는 히팅 플레이트(210), 제1 내지 제3 온도 제어기들(121, 123, 125), 제1 내지 제3 파워 서플라이들(131, 133, 135), 웨이퍼 처킹 제어기(140), 및 제1 및 제2 전자 공기 유량 조절기들(151, 153)을 포함할 수 있다.
온도 센서들(213), 지지핀들(215) 및 발열 장치(217)는 각각 순서대로 도 1a를 참조하여 설명한 온도 센서들(113), 지지핀들(115) 및 발열 장치(117)와 실질적으로 동일하다.
히팅 플레이트(210)에 내부 진공 포트들(211I) 및 외부 진공 포트들(211O)이 형성될 수 있다. 내부 진공 포트들(211I) 및 외부 진공 포트들(211O)은 각각 히팅 플레이트의 상면의 중심에 대해 원형 대칭성을 갖도록 배치될 수 있다. 도 6에서 내부 진공 포트들(211I)이 중간 영역(MR)에 형성되고 외부 진공 포트들(211O) 가장자리 영역(ER)에 형성된 것으로 도시되었으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제1 내지 제3 온도 제어기들(121, 123, 125)은 각각 공정 레시피에 따른 제1 내지 제3 온도 제어 명령들(TCC1, TCC2, TCC3)에 기초하여 제1 내지 제3 온도 제어 신호들(TCS1, TCS2, TCS3)을 생성할 수 있다. 제1 온도 제어 신호(TCS1)는 히팅 플레이트(210)의 중심 영역(CR)에 대응되는 제1 파워 서플라이(131)의 출력을 제어하기 위한 신호이다. 제2 온도 제어 신호(TCS2)는 히팅 플레이트(210)에 가장 자리 영역(ER)의 대응되는 제2 파워 서플라이(133)의 출력을 제어하기 위한 신호이다. 제3 온도 제어 신호(TCS3)는 히팅 플레이트(210)의 중간 영역(MR)에 대응되는 제3 파워 서플라이(135)의 출력을 제어하기 위한 신호이다.
제1 내지 제3 파워 서플라이들(131, 133, 135)은 제1 내지 제3 온도 제어 신호들(TCS1, TCS2, TCS3)에 따라 각각 순서대로 발열 장치(217) 중 히팅 플레이트(210)의 중심 영역(CR), 가장 자리 영역(ER) 및 중간 영역(MR)에 대응되는 부분에 동작 전력을 공급할 수 있다.
복수의 온도 센서들(213)은 각각 순서대로 히팅 플레이트(210)의 중심 영역(CR), 가장 자리 영역(ER) 및 중간 영역(MR)의 온도인 제1 내지 제3 온도들(T1, T2, T3)을 측정할 수 있다.
제1 내지 제3 온도 제어기들(121, 123, 125)은 각각 제1 내지 제3 온도들(T1, T2, T3)에 관한 신호들을 독출하고, 이에 상기 독출된 신호들에 기초하여 제1 내지 제3 피드백 온도 제어 신호들(FTCS1, FTCS2, FTCS3)을 생성할 수 있다. 제1 내지 제3 파워 서플라이들(131, 133, 135)이 발열 장치(217)에 제1 내지 제3 피드백 온도 제어 신호들(FTCS1, FTCS2, FTCS3)에 따른 전력을 공급함으로써, 히팅 플레이트(210) 전면에 걸쳐 균일한 온도 분포가 구현될 수 있다. 이에 따라 균일한 웨이퍼(W)의 처리가 가능하다.
웨이퍼 처킹 제어기(140)는 웨이퍼 처킹 명령(WCC)에 기초하여 제1 및 제2 공기 유량 제어 신호들(AFCS1, AFCS2)을 생성할 수 있다. 제1 전자 공기 유량 조절기(151)는 제1 공기 유량 제어 신호(AFCS1)에 따라 내부 진공 포트들(211I)의 진공 압력을 조절할 수 있다. 제2 전자 공기 유량 조절기(153)는 제2 공기 유량 제어 신호(AFCS2)에 따라 외부 진공 포트들(211O)의 진공 압력을 조절할 수 있다. 경우에 따라 외부 진공 포트들(211O)의 압력 및 내부 진공 포트들(210I)의 압력은 서로 다를 수 있다.
웨이퍼 처킹 제어기(140)는 제1 내지 제3 피드백 온도 제어 신호들(FTCS1, FTCS2, FTCS3)에 기초하여 제1 및 제2 피드백 공기 유량 제어 신호들(FCPS1, FAFCS2)을 생성할 수 있다. 웨이퍼 처킹 제어기(140)는 제1 내지 제3 피드백 온도 제어 신호들(FTCS1, FTCS2, FTCS3)에 기초하여 웨이퍼(W)의 휨을 결정할 수 있다. 웨이퍼 처킹 제어기(140)는 제1 내지 제3 피드백 온도 제어 신호들(FTCS1, FTCS2, FTCS3)에 기초하여 웨이퍼(W) 상의 임의의 위치로부터 히팅 플레이트(210)까지의 수직 거리를 결정할 수 있다. 일부 실시예들예 따르면, 웨이퍼 처킹 제어기(140)는 웨이퍼(W)의 3차원 형상을 결정할 수 있고, 상기 웨이퍼(W)의 3차원 형상에 따라 최적의 진공 압력으로 웨이퍼(W)를 처킹하기 위한 제1 및 제2 피드백 압력 신호들(FAFCS1, FAFCS2)을 생성할 수 있다. 제1 및 제2 전자 공기 유량 조절기는 제1 및 제2 피드백 압력 신호들(FAFCS1, FAFCS2)에 따라 각각 내부 진공 포트들(211I)의 압력 및 외부 진공 포트들(211O)의 압력을 조절할 수 있다.
도 5 및 도 6에서, 두 개의 전자 공기 유량 조절기들이 제공되어 각각 내부 진공 포트들(211I) 및 외부 진공 포트들(211O)의 압력을 조절하는 것으로 도시되었으나, 본 발명의 기술적 사상은 이에 제한되는 것은 아니다. 예컨대, 각각의 진공 포트들마다 서로 다른 전자 공기 유량 조절기에 연결되어, 각각의 진공 포트들에 서로 다른 압력이 인가될 수 있다. 나아가, 가장 자리 영역(ER) 및 중간 영역(CR)에 더해 중심 영역(CR)에 추가적인 진공 포트들이 제공될 수도 있다.
도 7은 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 순서도이다.
설명의 편의상 도 3을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 7의 P210 및 P220은 각각 도 3의 P110 및 P120과 실질적으로 동일하다.
도 5 내지 도 7을 참조하면, P230에서 히팅 플레이트(210)가 설정된 온도를 갖도록 발열 장치(217)를 제어할 수 있다.
P230은 P220의 웨이퍼(W)의 실장과 실질적으로 동시에 또는 P220의 웨이퍼(W)의 실장 직후에 수행될 수 있다.
발열 장치(217)의 제어는 전술한 것과 유사하다. 보다 구체적으로, 발열 장치의 제어는, 제1 내지 제3 온도들(T1, T2, T3)을 포함하여 히팅 플레이트(210)의 영역별 온도들을 측정하고, 상기 영역별 온도들에 기초하여 제1 내지 제3 피드백 온도 제어 신호들(FTCS1, FTCS2, FTCS3)을 생성하며, 제1 내지 제3 피드백 온도 제어 신호들(FTCS1, FTCS2, FTCS3)에 기초하여 히팅 플레이트(210)의 서로 다른 영역들에 대응하는 발열 장치(217)들에 서로 다른 전력을 제공하는 것을 포함할 수 있다.
이어서 도 1a 및 도 3을 참조하면, P240에서 발열 장치(217)의 출력들에 기초하여 웨이퍼(W)를 처킹하기 위한 공기 유량을 조절할 수 있다.
일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 히팅 플레이트(210)의 중심 영역(CR), 가장자리 영역(ER) 및 중간 영역(MR) 각각에 대응하는 발열 장치(217)의 출력을 기준치들과 비교할 수 있다. 상기 기준치들은 휨이 발생하지 않은 웨이퍼(W)가 히팅 플레이트(210)에 실장된 직후, 히팅 플레이트(210)의 중심 영역(CR), 가장자리 영역(ER) 및 중간 영역(MR)에 대응되는 발열 장치(217)의 출력들일 수 있다.
일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 히팅 플레이트(210)의 중심 영역(CR), 가장자리 영역(ER) 및 중간 영역(MR) 각각에 대응하는 발열 장치(217)의 출력들과 기준치들의 차로부터 웨이퍼(W) 상의 임의의 위치로부터 히팅 플레이트(210)까지의 거리들을 산출할 수 있다. 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 산출된 상기 거리들로부터 상기 웨이퍼(W)의 3차원 형상을 결정할 수 있다.
다른 일부 실시예들에 따르면, 웨이퍼 처킹 제어기(140)는 히팅 플레이트(210)의 중심 영역(CR), 가장자리 영역(ER) 및 중간 영역(MR) 각각에 대응하는 발열 장치(217)의 출력들과 기준치들의 차이의 데이터에 대한 룩업 기능 또는 기존의 온라인/오프라인 데이터와 비교 등을 통해 웨이퍼(W) 3차원 형상을 결정할 수 있다.
웨이퍼 처킹 제어기(140)는 웨이퍼(W)의 3차원 형상에 기초하여 제1 및 제2 피드백 공기 유량 제어 신호들(FAFCS1, FAFCS2)을 생성할 수 있다. 제1 및 제2 피드백 공기 유량 제어 신호들(FAFCS1, FAFCS2)에 의해 제1 및 제2 압력 조절기들(151, 153)은 내부 및 외부 진공 포트들(111I, 111O)에 웨이퍼(W)의 휨을 최소화하는 공기 유량을 인가할 수 있다.
예시적인 실시예들에 따르면, 처리되는 웨이퍼(W)가 불규칙하게 휘어진 경우에도, 제1 및 제2 전자 공기 유량 조절기들(151, 153)이 상기 휨을 최소화하도록 제어되는바, 웨이퍼(W)의 균일한 처리에 대한 신뢰성을 제고할 수 있다.
도 8a 및 도 8b는 일부 실시예들에 따른 웨이퍼(W)의 처킹을 설명하기 위해 히팅 플레이트(110)의 상면을 도시한 평면도들이다.
도 8a 및 도 8b에서 내부 진공 포트들(211I) 및 외부 진공 포트들(211O) 중 비교적 굵은 선으로 표시된 것들은, 피드백 공기 유량 제어 신호들(FAFCS1, FAFCS2)에 의해 제어가 수행되었음을 의미한다. 또한, 내부 진공 포트들(211I) 및 외부 진공 포트들(211O) 중 비교적 가는 선으로 표시된 것들은, 피드백 공기 유량 제어 신호들(FAFCS1, FAFCS2)에 의해 제어가 수행되었음을 의미한다.
제1 및 제2 피드백 공기 유량 제어 신호들(FAFCS1, FAFCS2)에 의한 제1 및 제2 압력 조절기들(151, 153)의 제어는 순차적으로 수행될 수 있다. 예컨대, 도 8a에 도시된 것과 같이, 내부 진공 포트들(211I)이 제1 피드백 공기 유량 제어 신호들(FAFCS1)에 의해 제어되어 최적의 진공 압력이 인가된 후, 도 8b에 도시된 것과 같이 외부 진공 포트들(211O)이 제1 피드백 공기 유량 제어 신호들(FAFCS1)에 의해 제어되어 최적의 진공 압력이 인가될 수 있다.
하지만 이에 제한되는 것은 아니고, 제1 및 제2 피드백 공기 유량 제어 신호들(FAFCS1, FAFCS2)은 내부 진공 포트들(211I)과 외부 진공 포트들(211O)을 실질적으로 동시에 최적 제어하거나, 외부 진공 포트들(211O)을 먼저 최적 제어하고, 내부 진공 포트들(211I)을 최적 제어할 수 있다.
도 9는 일부 실시예들에 따른 웨이퍼 처리 장치(300)를 설명하기 위한 도면이다.
설명의 편의상 도 1a를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 9를 참조하면, 웨이퍼 처리 장치(300)는 히팅 플레이트(110), 진공 포트들(111), 지지핀들(115), 발열 장치(117), 온도 제어기(320), 제1 및 제2 파워 서플라이들(131, 133) 및 압력 밸브(350)를 포함할 수 있다.
히팅 플레이트(110), 진공 포트들(111), 지지핀들(115), 발열 장치(117) 및 제1 및 제2 파워 서플라이들(131, 133)은 도 1a를 참조하여 설명한 것과 실질적으로 동일하다.
압력 밸브(350)는 진공 펌프와 같은 외부 기기에 연결되며, 진공 펌프에서 생성된 진공 압력이 압력 밸브(350)를 통해 진공 포트들(111)에 제공될 수 있다. 압력 밸브(350)는 도 1a의 전자 공기 유량 조절기(160)와 달리 실질적으로 일정한 압력을 진공 포트들(111)에 인가할 수 있다.
온도 제어기(320)는 공정 레시피에 따른 웨이퍼 처리 명령(WPC)에 기초하여 제1 및 제2 파워 서플라이들(131, 133)을 각각 제어하기 위한 제1 및 제2 온도 제어 신호들(TCS1, TCS2)을 생성할 수 있다.
온도 제어기(320)는 도 1 내지 도 4를 참조하여 설명한 것과 마찬가지의 방식으로 웨이퍼(W)의 휨 여부 및 정도를 결정할 수 있다. 보다 구체적으로 웨이퍼 휨 제어기(340)는 웨이퍼(W)의 휨 정도에 기초하여, 상기 제1 및 제2 휨 기반 온도 제어 신호들(WBTCS1, TBTCS2)을 생성할 수 있다.
종래 기술에 따르면 웨이퍼(W) 실장에 따른 히팅 플레이트(110)의 온도 하강이 적은 경우, 온도 제어기는 제1 및 제2 파워 서플라이들(131, 133)에 상대적으로 낮은 전력을 출력하도록 하는 신호를 생성한다.
본 발명의 실시예들에 따르면, 온도 제어기(320)는 히팅 플레이트(110)의 제1 및 제2 온도(T1, T2)에 기초하여 웨이퍼(W)의 휨을 결정할 수 있다. 온도 제어기(320)는 웨이퍼(W)의 휨에 기초하여, 웨이퍼(W) 전면이 실질적으로 균일하게 가열될 수 있도록 하는 제1 및 제2 휨 기반 온도 제어 신호들(WBTCS1, TBTCS2)을 생성할 수 있다.
보다 구체적으로, 웨이퍼(W) 실장 직후에, 히팅 플레이트(110)의 중심 영역(CR, 도 2a 참조)의 온도인 제1 온도(T1)의 하강이 히팅 플레이트(110)의 가장자리 영역(ER, 도 2a 참조)의 온도인 제2 온도(T2)의 하강보다 더 큰 경우, 온도 제어기(320)는 웨이퍼(W)가 아래로 볼록하게 휘어진 것으로 판단할 수 있다.
이에 따라, 웨이퍼(W)는 발열 장치(117) 중 히팅 플레이트(110)의 가장자리 영역(ER, 도 2a 참조)에 대응되는 부분에 전달되는 전력이 발열 장치 중 히팅 플레이트(110)의 중심 영역(CR, 도 2a 참조)에 대응되는 부분에 전달되는 전력보다 더 크도록 하는 제1 및 제2 휨 기반 온도 제어 신호들(WBTCS1, WBTCS2)을 생성할 수 있다. 이에 따라 웨이퍼(W)에 휨이 발생한 경우에도, 웨이퍼(W) 전면의 온도를 전체적으로 균일하게 제어하여 웨이퍼(W)의 균일한 처리가 가능하다.
도 10은 일부 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 순서도이다.
도 10의 P310 및 P320은 도 3의 P110 및 P120과 실질적으로 동일하다.
도 9 및 도 10을 참조하면, P330에서 온도 센서들(113)의 측정치에 기초하여 웨이퍼(W)의 휨을 결정할 수 있다.
온도 제어기(320)는 히팅 플레이트(110)의 제1 및 제2 온도(T1, T2)에 따른 따른 종래 피드백 온도 제어 신호에 대한 데이터에 기초하여 웨이퍼(W)의 휨을 결정할 수 있다.
이어서, P340에서 웨이퍼(W)의 휨에 기초하여 발열 장치(117)를 제어할 수 있다.
발열 장치(117)의 제어는, 온도 제어기(320)가 제1 및 제2 휨 기반 온도 제어 신호들(WBTCS1, WBTCS2)을 생성하고, 제1 및 제2 파워 서플라이들(131, 133)이 제1 및 제2 휨 기반 온도 제어 신호들(WBTCS1, WBTCS2)에 기초하여 생성된 전력을 발열 장치(117)에 인가하는 것을 포함할 수 있다. 전술한 것과 같이 발열 장치(117)는 히팅 플레이트(110)로부터 멀리 이격된 웨이퍼(W)의 부분에 대응되는 부분이 상대적으로 더 큰 열을 출력하도록 제어될 수 있다.
도 11은 예시적인 실시예들에 따른 베이크 장치(BA)의 주요 구성을 개략적으로 보여주는 분리 사시도이다.
도 11을 참조하면, 베이크 장치(BA)는 도 1a의 웨이퍼 처리 장치(100a)에 더해, 챔버(170), 반송 로봇(180) 및 베이스 모듈(190)을 더 포함할 수 있다.
하지만 이에 제한되는 것은 아니고, 베이크 장치(BA)는 도 1b, 도 1c 도 5, 도 9의 웨이퍼 처리 장치들(100b, 100c, 200, 3000)에 더해 챔버(170), 반송 로봇(180) 및 베이스 모듈(190)을 더 포함할 수 있다.
반송 로봇(180)은 웨이퍼를 베이크 장치(BA) 내부로 도입하거나, 처리가 완료된 웨이퍼를 베이크 장치(BA)로부터 반출할 수 있다.
챔버(170)는 웨이퍼가 가열되는 동안 발생하는 가스를 배기하기 위한 배기 구조를 포함할 수 있다. 챔버(170)는 공정이 진행되는 동안 웨이퍼를 외부로부터 격리시킬 수 있다. 챔버(170)는 웨이퍼(W)를 처리하기 위한 열이 챔버 외부로 누출되는 것을 방지하고, 챔버 외부의 입자에 의해 웨이퍼가 오염되는 것을 방지할 수 있다. 챔버(170)는 히팅 플레이트(110) 및 웨이퍼를 모두 커버하거나, 웨이퍼만을 커버하도록 구성될 수 있다.
베이스 모듈(190)은 히팅 플레이트(110), 챔버(170) 등 베이크 장치에 포함된 다양한 구성요소들을 지지할 수 있다.
웨이퍼가 반송 로봇(180)에 의해 전달되면, 챔버(170)가 열리고, 반송 로봇(180)을 통하여 히팅 플레이트 상에 웨이퍼가 실장되고 챔버(170)가 닫힐 수 있다. 이어서 웨이퍼가 충분히 가열되면, 챔버(170)가 다시 열리고, 웨이퍼는 반송 로봇(180)에 의해 반출될 수 있다.
도 12는 일부 실시예들에 따른 베이크 장치(BA)를 포함하는 시스템(SYS)을 설명하기 위한 블록도이다.
도 12를 참조하면, 시스템(SYS)은 스핀 코터(SC), 리소그래피 장치(LA), 베이크 장치(BA), 현상 장치(DA)를 포함할 수 있다.
시스템(SYS)에 의한 공정은 반도체 웨이퍼 또는 웨이퍼 상에 회로 구조가 구현된 반도체 구조의 제조를 포함할 수 있다. 시스템(SYS)에 의한 공정은 예컨대, DUV(Deep Ultra-Violet) 또는 EUV(Extreme UV)에 의한 반도체 공정을 포함할 수 있다.
스핀 코터(SC)는 스핀 코팅의 방식으로 반도체 구조(SS) 상에 포토레지스트 층을 제공할 수 있다.
베이크 장치(BA)는 도 12를 참조하여 설명한 베이크 장치(BA)일 수 있다. 일부 실시예들에 따르면, 베이크 장치(BA)는 스핀 코터(SC)에 의해 웨이퍼 상에 포토 레지스트 층이 도포된 후 소프트 베이크 공정을 수행할 수 있다. 일부 실시예들에 따르면, 베이크 장치(BA)는 리소그래피 장치(LA)에 의한 노광 공정이 수행된 후, PEB(Post Exposure Bake) 및 현상 장치(DA)에 의한 현상 공정 후의 하드 베이크 공정을 더 수행할 수 있다.
리소그래피 장치(LA)는 EUV 리소그래피 공정을 수행할 수 있다. 리소그래피 장치(LA)는 측정 스테이션 및 노광 스테이션을 포함할 수 있다.
리소그래피 장치(LA)는 2개의 웨이퍼 테이블을 포함하는 듀얼 스테이지 타입의 장치일 수 있다. 웨이퍼 테이블은 각각 측정 및 노광을 위한 노광 스테이션 및 측정 스테이션일 수 있다. 이에 따라, 하나의 웨이퍼 테이블 상의 반도체 구조(SS)가 노광되는 동안, 다른 웨이퍼 테이블 상의 반도체 구조(SS)에 대한 노광 전 측정이 수행될 수 있다. 정렬 마크의 측정에 긴 시간이 소요되고, 리소그래피 공정은 전체 반도체 공정의 병목 공정이므로, 2개의 웨이퍼 테이블을 제공함으로써 반도체 소자의 생산성을 크게 제고할 수 있다. 하지만 이에 제한되는 것은 아니고, 리소그래피 장치(LA)는 하나의 웨이퍼 테이블을 포함하는 모노 스테이지 타입 리소그래피 장치일 수 있다.
현상 장치(DA)는 노광된 포토레지스트 층을 현상하여 포토레지스트 패턴을 형성할 수 있다.
시스템(SYS)은 필요에 따라 노광 후 검사를 위한 검사 장치를 더 포함할 수 있다. 검사 장치는 각도-분해 스케터로미터(angle-resolved scatterometer) 또는 분광 스케터로미터(spectroscopic scatterometer)와 같은 스케터로미터일 수 있다.
시스템(SYS)은 예컨대, 식각 장치를 더 포함할 수 있다. 식각 장치는 현상된 포토레지스트 패턴을 식각 마스크로 하여 웨이퍼를 식각할 수 있다. 다른 일부 실시예들에 따르면, 시스템(SYS)은 이온 임플란트 공정, 증착 공정 등을 수행하기 위한 장치들을 더 포함할 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시 예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시 예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (20)

  1. 복수의 진공 포트들이 형성되고, 중심 영역 및 상기 중심 영역을 둘러싸는 가장자리 영역이 정의되며, 웨이퍼가 실장될 수 있도록 구성된 히팅 플레이트;
    상기 히팅 플레이트에 내장된 복수의 온도 센서들;
    상기 히팅 플레이트를 가열하도록 구성된 발열 장치;
    상기 발열 장치의 서로 다른 부분에 동작을 위한 전력을 제공하도록 구성된 제1 및 제2 파워 서플라이들;
    상기 복수의 온도 센서들의 측정치들 각각에 기초하여 상기 제1 및 제2 파워 서플라이들의 출력 전력을 제어하는 제1 및 제2 피드백 온도 제어 신호를 생성하도록 구성된 제1 및 제2 온도 제어기들;
    상기 복수의 진공 포트들에 상기 웨이퍼를 고정하기 위한 진공압을 제공하도록 구성되고, 상기 복수의 진공 포트들의 압력을 조절하는 전자 공기 유량 조절기; 및
    상기 전자 공기 유량 조절기의 압력을 제어하도록 구성된 웨이퍼 처킹 제어기를 포함하되,
    상기 웨이퍼 처킹 제어기는, 상기 제1 및 제2 피드백 온도 제어 신호에 기초하여 상기 전자 공기 유량 조절기의 압력을 제어하기 위한 피드백 공기 유량 제어 신호를 생성하도록 구성된 웨이퍼 처리 장치.
  2. 제1항에 있어서,
    상기 웨이퍼 처킹 제어기는, 상기 제1 및 제2 피드백 온도 제어 신호에 기초하여 상기 제1 및 제2 파워 서플라이들 사이의 전력차를 산출하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  3. 제1항에 있어서,
    상기 복수의 온도 센서는, 상기 중심 영역에 대응되는 제1 온도 센서들 및 상기 가장자리 영역에 대응되는 제2 온도 센서들을 포함하고,
    상기 제1 온도 제어기는 상기 제1 온도 센서의 측정치인 제1 온도에 기초하여 상기 제1 피드백 온도 제어 신호를 생성하도록 구성되고,
    상기 제2 온도 제어기는 상기 제2 온도 센서의 측정치인 제2 온도에 기초하여 상기 제2 피드백 온도 제어 신호를 생성하도록 구성되는 것을 특징으로 하는 웨이퍼 처리 장치.
  4. 제1항에 있어서,
    상기 웨이퍼 처킹 제어기는,
    상기 웨이퍼를 실장한 직후의 상기 제1 및 제2 피드백 온도 제어 신호들에 기초하여 상기 웨이퍼의 휨의 발생 여부를 결정하는 것을 특징으로 하는 웨이퍼 처리 장치.
  5. 제1항에 있어서,
    상기 웨이퍼 처킹 제어기는,
    상기 웨이퍼를 실장한 직후, 상기 제1 파워 서플라이의 전력과 상기 제2 파워 서플라이의 전력의 차이를 산출하는 것을 특징으로 하는 웨이퍼 처리 장치.
  6. 제5항에 있어서,
    상기 웨이퍼 처킹 제어기는,
    상기 웨이퍼를 실장한 직후, 상기 차이의 기준치에 대한 비율을 산출하는 것을 특징으로 하는 웨이퍼 처리 장치.
  7. 제6항에 있어서,
    상기 기준치는 평평한 웨이퍼 실장 직후, 상기 제1 파워 서플라이의 전력과 상기 제2 파워 서플라이의 전력의 차이인 것을 특징으로 하는 웨이퍼 처리 장치.
  8. 제1항에 있어서,
    상기 웨이퍼 처킹 제어기는,
    상기 웨이퍼 실장 직후의 상기 제1 및 제2 피드백 온도 제어 신호들에 기초하여 상기 웨이퍼의 휨의 정도를 결정하는 것을 특징으로 하는 웨이퍼 처리 장치.
  9. 제8항에 있어서,
    상기 웨이퍼 처킹 제어기는,
    상기 웨이퍼의 휨의 정도에 기초하여 상기 피드백 공기 유량 제어 신호를 생성하는 것을 특징으로 하는 웨이퍼 처리 장치.
  10. 제1항에 있어서,
    상기 제1 파워 서플라이는 상기 발열 장치 중 상기 중심 영역에 대응되는 부분에 제1 전력을 제공하고,
    상기 제2 파워 서플라이는 상기 발열 장치 중 상기 가장자리 영역에 대응되는 부분에 제2 전력을 제공하는 것을 특징으로 하는 웨이퍼 처리 장치.
  11. 복수의 진공 포트들이 형성되고, 중심 영역, 상기 중심 영역을 둘러싸는 가장자리 영역 및 상기 중심 영역과 상기 가장자리 영역 사이에 개재된 중간 영역이 정의되며, 웨이퍼가 실장될 수 있도록 구성된 히팅 플레이트;
    상기 히팅 플레이트의 온도를 측정하는 복수의 온도 센서들;
    상기 히팅 플레이트를 가열하도록 구성된 발열 장치;
    상기 발열 장치에 동작 전력을 제공하도록 구성된 제1 내지 제3 파워 서플라이들;
    상기 복수의 온도 센서들의 측정치들 각각에 기초하여 상기 제1 내지 제3 파워 서플라이들의 전력을 제어하는 제1 내지 제3 피드백 온도 제어 신호들을 생성하도록 구성된 제1 내지 제3 온도 제어기;
    상기 복수의 진공 포트들의 압력을 조절하는 전자 공기 유량 조절기; 및
    상기 전자 공기 유량 조절기의 압력을 제어하도록 구성된 웨이퍼 처킹 제어기를 포함하되,
    상기 복수의 진공 포트들은,
    상기 히팅 플레이트의 중심에 대해 원형 대칭을 갖도록 배치된 복수의 제1 진공 포트들; 및
    상기 히팅 플레이트의 상기 중심에 대해 원형 대칭을 갖도록 배치되고, 상기 복수의 제1 진공 포트들보다 상기 히팅 플레이트의 상기 중심으로부터 더 멀리 이격된 복수의 제2 진공 포트들을 포함하고,
    상기 전자 공기 유량 조절기는,
    상기 제1 진공 포트들의 압력을 조절하는 제1 전자 공기 유량 조절기; 및
    상기 제2 진공 포트들의 압력을 조절하는 제2 전자 공기 유량 조절기를 포함하며,
    상기 웨이퍼 처킹 제어기는, 상기 피드백 온도 제어 신호에 기초하여 상기 제1 및 제2 전자 공기 유량 조절기들의 압력을 제어하기 위한 제1 및 제2 피드백 공기 유량 제어 신호를 생성하도록 구성된 웨이퍼 처리 장치.
  12. 제11항에 있어서,
    상기 복수의 온도 센서는, 상기 중심 영역에 대응되는 제1 온도 센서들, 상기 가장자리 영역에 대응되는 제2 온도 센서들 및 상기 중간 영역에 대응된 제3 온도 센서들을 포함하고,
    상기 제1 온도 제어기는 상기 제1 온도 센서의 측정치인 제1 온도에 기초하여 상기 제1 피드백 온도 제어 신호를 생성하도록 구성되고,
    상기 제2 온도 제어기는 상기 제2 온도 센서의 측정치인 제2 온도에 기초하여 상기 제2 피드백 온도 제어 신호를 생성하도록 구성되며, 및
    상기 제3 온도 제어기는 상기 제3 온도 센서의 측정치인 제3 온도에 기초하여 상기 제3 피드백 온도 제어 신호를 생성하도록 구성되는 것을 특징으로 하는 웨이퍼 처리 장치.
  13. 제12항에 있어서,
    상기 제1 파워 서플라이는, 상기 제1 피드백 온도 제어 신호에 따라 상기 발열 장치 중 상기 중심 영역에 대응되는 부분에 동작을 위한 전력을 제공하고,
    상기 제2 파워 서플라이는, 상기 제2 피드백 온도 제어 신호에 따라 상기 발열 장치 중 상기 가장자리 영역에 대응되는 부분에 동작을 위한 전력을 제공하고, 및
    상기 제3 파워 서플라이는, 상기 제3 피드백 온도 제어 신호에 따라 상기 발열 장치 중 상기 중간 영역에 대응되는 부분에 동작을 위한 전력을 제공하는 것을 특징으로 하는 웨이퍼 처리 장치.
  14. 제11항에 있어서,
    상기 웨이퍼 처킹 제어기는, 상기 제1 내지 제3 피드백 온도 제어 신호들에 기초하여 상기 히팅 플레이트로부터 상기 웨이퍼의 임의의 위치 사이의 거리를 결정하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  15. 제11항에 있어서,
    상기 웨이퍼 처킹 제어기는, 제1 내지 제3 상기 피드백 온도 제어 신호들에 기초하여 상기 웨이퍼의 3차원 형상을 결정하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  16. 제15항에 있어서,
    상기 웨이퍼 처킹 제어기는,
    상기 웨이퍼의 상기 3차원 형상에 기초하여 각각 상기 제1 및 제2 전자 공기 유량 조절기들에 대응되는 상기 제1 및 제2 피드백 공기 유량 제어 신호들을 생성하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  17. 복수의 진공 포트들이 형성되고, 중심 영역 및 상기 중심 영역을 둘러싸는 가장자리 영역이 정의되며, 웨이퍼가 실장될 수 있도록 구성된 히팅 플레이트;
    상기 히팅 플레이트의 온도를 측정하는 복수의 온도 센서들;
    상기 히팅 플레이트를 가열하는 발열 장치;
    상기 발열 장치에 동작을 위한 전력을 제공하도록 구성된 제1 및 제2 파워 서플라이들;
    상기 제1 및 제2 파워 서플라이들 각각의 전력을 측정하는 제1 및 제2 계측기들;
    상기 복수의 온도 센서들의 측정치들 각각에 기초하여 상기 제1 및 제2 파워 서플라이들의 전력을 제어하는 제1 및 제2 피드백 온도 제어 신호들을 생성하도록 구성된 제1 및 제2 온도 제어기들;
    상기 복수의 진공 포트들의 압력을 조절하는 전자 공기 유량 조절기; 및
    상기 전자 공기 유량 조절기의 압력을 제어하도록 구성된 웨이퍼 처킹 제어기를 포함하되,
    상기 웨이퍼 처킹 제어기는, 상기 제1 및 제2 계측기들의 측정치에 기초하여 상기 전자 공기 유량 조절기의 압력을 제어하기 위한 피드백 공기 유량 제어 신호를 생성하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  18. 제17항에 있어서,
    상기 웨이퍼 처킹 제어기는,
    상기 제1 및 제2 피드백 온도 제어 신호들에 따른 상기 제1 및 제2 계측기들의 측정치에 기초하여 상기 전자 공기 유량 조절기의 압력을 제어하기 상기 웨이퍼의 휨의 정도를 결정하는 것을 특징으로 하는 웨이퍼 처리 장치.
  19. 제18항에 있어서,
    상기 웨이퍼 처킹 제어기는, 상기 웨이퍼의 상기 휨의 정도에 기초하여 피드백 공기 유량 제어 신호를 생성하도록 구성된 것을 특징으로 하는 웨이퍼 처리 장치.
  20. 제18항에 있어서,
    상기 제1 파워 서플라이는, 상기 제1 피드백 온도 제어신호에 따라 상기 발열 장치 중 상기 중심 영역에 대응되는 부분에 동작을 위한 전력을 제공하고,
    상기 제2 파워 서플라이는, 상기 제2 피드백 온도 제어신호에 따라 상기 발열 장치 중 상기 가장자리 영역에 대응되는 부분에 동작을 위한 전력을 제공하는 것을 특징으로 하는 웨이퍼 처리 장치.
KR1020190089220A 2019-07-23 2019-07-23 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 KR102639158B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020190089220A KR102639158B1 (ko) 2019-07-23 2019-07-23 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US16/751,882 US11456195B2 (en) 2019-07-23 2020-01-24 Wafer processing apparatus and wafer processing method using the same apparatus
CN202010310465.2A CN112309894A (zh) 2019-07-23 2020-04-20 晶片加工装置和使用该晶片加工装置的晶片加工方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190089220A KR102639158B1 (ko) 2019-07-23 2019-07-23 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법

Publications (2)

Publication Number Publication Date
KR20210011837A KR20210011837A (ko) 2021-02-02
KR102639158B1 true KR102639158B1 (ko) 2024-02-22

Family

ID=74189552

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190089220A KR102639158B1 (ko) 2019-07-23 2019-07-23 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법

Country Status (3)

Country Link
US (1) US11456195B2 (ko)
KR (1) KR102639158B1 (ko)
CN (1) CN112309894A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102639158B1 (ko) * 2019-07-23 2024-02-22 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US11187526B2 (en) * 2020-02-26 2021-11-30 Core Flow Ltd. System and method of measuring warpage of a workpiece on a noncontact support platform

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060055073A1 (en) 2004-08-30 2006-03-16 Fayaz Mohammed F Apparatus and method for flattening a warped substrate
US20080280451A1 (en) 2007-05-12 2008-11-13 Yutaka Ohmoto Plasma processing method and plasma processing apparatus

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040081439A1 (en) * 2000-05-04 2004-04-29 Applied Materials, Inc. Actively-controlled electrostatic chuck heater
US20080097657A1 (en) 2004-10-14 2008-04-24 Celerity, Inc. Method and System for Wafer Temperature Control
JP2008537322A (ja) * 2005-03-04 2008-09-11 テンプトロニック コーポレイション チャックシステムにおける温度制御のための装置および方法
JP4699283B2 (ja) * 2006-05-23 2011-06-08 東京エレクトロン株式会社 熱処理板の温度制御方法、プログラム及び熱処理板の温度制御装置
KR100772270B1 (ko) 2006-08-02 2007-11-01 동부일렉트로닉스 주식회사 웨이퍼 휨 현상의 방지를 위한 급속 열처리 장치 및 방법
KR100842060B1 (ko) 2007-02-12 2008-06-30 (주)지티엔이 웨이퍼 교정 장치를 갖는 반도체 가열 및 냉각 시스템
JP4983661B2 (ja) 2008-03-14 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US8209833B2 (en) 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
KR101116656B1 (ko) 2009-09-09 2012-03-08 세메스 주식회사 웨이퍼 형상 검사 방법 및 이를 적용한 웨이퍼 열처리 방법
JP2011221006A (ja) 2010-03-23 2011-11-04 Tokyo Electron Ltd ウェハ型温度検知センサおよびその製造方法
CN103065997B (zh) * 2011-10-19 2015-08-05 中芯国际集成电路制造(上海)有限公司 晶圆承载设备及晶圆承载的方法
JP6212434B2 (ja) 2014-05-13 2017-10-11 住友電気工業株式会社 半導体装置の製造方法
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US10345802B2 (en) * 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
JP6711661B2 (ja) 2016-03-25 2020-06-17 キヤノン株式会社 保持装置、保持方法、リソグラフィ装置、および物品の製造方法
US11837479B2 (en) * 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
KR102360248B1 (ko) * 2016-05-10 2022-02-07 램 리써치 코포레이션 상이한 히터 트레이스 재료를 사용한 적층된 히터
KR102514749B1 (ko) * 2019-01-25 2023-03-27 엔지케이 인슐레이터 엘티디 세라믹 히터
WO2020153071A1 (ja) * 2019-01-25 2020-07-30 日本碍子株式会社 セラミックヒータ
KR102639158B1 (ko) * 2019-07-23 2024-02-22 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
KR20210022403A (ko) * 2019-08-20 2021-03-03 삼성전자주식회사 기판 본딩 장치 및 이를 이용한 반도체 소자 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060055073A1 (en) 2004-08-30 2006-03-16 Fayaz Mohammed F Apparatus and method for flattening a warped substrate
US20080280451A1 (en) 2007-05-12 2008-11-13 Yutaka Ohmoto Plasma processing method and plasma processing apparatus

Also Published As

Publication number Publication date
KR20210011837A (ko) 2021-02-02
US11456195B2 (en) 2022-09-27
CN112309894A (zh) 2021-02-02
US20210028034A1 (en) 2021-01-28

Similar Documents

Publication Publication Date Title
JP5065082B2 (ja) 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US10113233B2 (en) Multi-zone temperature control for semiconductor wafer
US9023664B2 (en) Multi-zone temperature control for semiconductor wafer
US8242417B2 (en) Temperature control method of heat processing plate, computer storage medium, and temperature control apparatus of heat processing plate
EP1599891B1 (en) Critical dimension variation compensation across a wafer by means of local wafer temperature control
US10236225B2 (en) Method for PECVD overlay improvement
KR102639158B1 (ko) 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US20080112780A1 (en) Vacuum processing apparatus
US10615008B2 (en) Temperature control method
JP2023525710A (ja) Cd制御をパターン化するための自動化フィードフォワードおよびフィードバックシーケンス
US9508557B2 (en) Method of improving line roughness in substrate processing
US10748779B2 (en) Substrate processing method
US20240234193A9 (en) Wafer processing apparatus
US7737048B2 (en) Method for controlling thickness distribution of a film
JP7291515B2 (ja) 基板処理システム、基板処理方法、記憶媒体及び基板処理システムの制御装置
JP2014003164A (ja) 半導体装置の製造方法及び半導体装置並びに半導体装置の製造システム
JP4066483B2 (ja) 半導体製造方法及び製造装置
JP2016001645A (ja) 基板処理方法、プログラム、コンピュータ記憶媒体、塗布処理装置及び基板処理システム
US20210335645A1 (en) Substrate support assembly, substrate processing apparatus, and substrate processing method
US20220148980A1 (en) Film forming apparatus, film forming method, and film forming system
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing
JP2023042028A (ja) 基板搬送位置のずれ量検知方法及び基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right