KR20220068457A - Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography - Google Patents

Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography Download PDF

Info

Publication number
KR20220068457A
KR20220068457A KR1020200155255A KR20200155255A KR20220068457A KR 20220068457 A KR20220068457 A KR 20220068457A KR 1020200155255 A KR1020200155255 A KR 1020200155255A KR 20200155255 A KR20200155255 A KR 20200155255A KR 20220068457 A KR20220068457 A KR 20220068457A
Authority
KR
South Korea
Prior art keywords
pellicle
layer
extreme ultraviolet
manufacturing
ultraviolet lithography
Prior art date
Application number
KR1020200155255A
Other languages
Korean (ko)
Other versions
KR102546968B1 (en
Inventor
우란
조상진
김경수
김청
서경원
최재혁
문성용
김지강
Original Assignee
주식회사 에프에스티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에프에스티 filed Critical 주식회사 에프에스티
Priority to KR1020200155255A priority Critical patent/KR102546968B1/en
Publication of KR20220068457A publication Critical patent/KR20220068457A/en
Application granted granted Critical
Publication of KR102546968B1 publication Critical patent/KR102546968B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Abstract

The present invention relates to a manufacturing method of a pellicle for extreme ultraviolet lithography. The present invention provides a manufacturing method of a pellicle for extreme ultraviolet lithography, comprising: a) a step of forming a catalyst layer on a silicon substrate; b) a step of forming a graphene layer on the catalyst layer; c) a step of forming a first capping layer on a first surface of the graphene layer; d) a step of forming a pellicle frame over the first capping layer; e) a step of exposing a second surface opposite to the first surface of the graphene layer by removing the catalyst layer and the silicon substrate; and f) a step of forming a second capping layer on the second surface of the graphene layer. According to the manufacturing method of a pellicle for extreme ultraviolet lithography according to the present invention, the bonding force between the capping layer and the graphene layer is improved. In addition, since the transfer process of the graphene layer is not required, the process is simplified.

Description

극자외선 리소그라피용 펠리클의 제조방법{Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography}TECHNICAL FIELD [0001] Method for Fabricating Pellicle for extreme ultraviolet (EUV) Lithography

본 발명은 극자외선 리소그라피용 펠리클의 제조방법에 관한 것이다.The present invention relates to a method for manufacturing a pellicle for extreme ultraviolet lithography.

반도체 디바이스 또는 액정 표시판 등의 제조에서 반도체 웨이퍼 또는 액정용 기판에 패터닝을 하는 경우에 포토리소그라피라는 방법이 사용된다. 포토리소그라피에서는 패터닝의 원판으로서 마스크가 사용되고, 마스크 상의 패턴이 웨이퍼 또는 액정용 기판에 전사된다. 이 마스크에 먼지가 부착되어 있으면 이 먼지로 인하여 빛이 흡수되거나, 반사되기 때문에 전사한 패턴이 손상되어 반도체 장치나 액정 표시판 등의 성능이나 수율의 저하를 초래한다는 문제가 발생한다. 따라서, 이들의 작업은 보통 클린룸에서 행해지지만 이 클린룸 내에도 먼지가 존재하므로, 마스크 표면에 먼지가 부착하는 것을 방지하기 위하여 펠리클을 부착하는 방법이 행해지고 있다. 이 경우, 먼지는 마스크의 표면에는 직접 부착되지 않고, 펠리클 막 위에 부착되고, 리소그라피 시에는 초점이 마스크의 패턴 상에 일치되어 있으므로 펠리클 상의 먼지는 초점이 맞지 않아 패턴에 전사되지 않는 이점이 있다.A method called photolithography is used when patterning a semiconductor wafer or a substrate for liquid crystal in the manufacture of a semiconductor device or a liquid crystal display panel or the like. In photolithography, a mask is used as a patterning original plate, and the pattern on the mask is transferred to a wafer or a substrate for liquid crystal. If dust adheres to the mask, light is absorbed or reflected by the dust, so that the transferred pattern is damaged, resulting in a decrease in performance or yield of a semiconductor device or liquid crystal display panel. Therefore, although these operations are usually performed in a clean room, dust is also present in the clean room, so a method of attaching a pellicle is being performed in order to prevent the dust from adhering to the mask surface. In this case, the dust is not directly attached to the surface of the mask, but is attached to the pellicle film, and in lithography, the focus is on the pattern of the mask, so the dust on the pellicle is out of focus and is not transferred to the pattern.

점차 반도체 제조용 노광 장치의 요구 해상도는 높아져 가고 있고, 그 해상도를 실현하기 위해서 광원의 파장이 점점 더 짧아지고 있다. 구체적으로, UV 광원은 자외광 g선(436), I선(365), KrF 엑시머 레이저(248), ArF 엑시머 레이저(193)에서 극자외선(EUV, extreme UltraViolet, 13.5㎚)으로 점점 파장이 짧아지고 있다. 이러한 극자외선을 이용한 노광 기술을 실현하기 위해서는 새로운 광원, 레지스트, 마스크, 펠리클의 개발이 불가결하다. 즉, 종래의 유기 펠리클 막은 높은 에너지를 가진 노광 광원에 의해서 물성이 변화되고, 수명이 짧기 때문에 극자외선용 펠리클에는 사용되기 어렵다는 문제가 있다. 이러한 문제를 해결하기 위해서 다양한 시도가 진행되고 있다.The required resolution of the exposure apparatus for semiconductor manufacturing is gradually increasing, and the wavelength of the light source is getting shorter in order to realize the resolution. Specifically, the UV light source has a shorter wavelength from the ultraviolet light g-ray 436, I-ray 365, KrF excimer laser 248, and ArF excimer laser 193 to extreme ultraviolet (EUV, extreme UltraViolet, 13.5 nm). is losing Development of new light sources, resists, masks, and pellicles is essential for realizing such exposure technology using extreme ultraviolet rays. That is, the conventional organic pellicle film has a problem in that the physical properties are changed by the exposure light source having high energy and it is difficult to be used for the pellicle for extreme ultraviolet light because the lifespan is short. Various attempts are being made to solve these problems.

예를 들어, 공개특허 제2009-0088396호에는 에어로겔 필름으로 이루어진 펠리클이 개시되어 있다.For example, Patent Publication No. 2009-0088396 discloses a pellicle made of an airgel film.

그리고 공개특허 제2009-0122114호에는 실리콘 단결정 막으로 이루어지는 펠리클 막과 그 펠리클 막을 지지하는 베이스 기판을 포함하며, 베이스 기판은 60% 이상의 개구부를 형성하는 것을 특징으로 하는 극자외선용 펠리클이 개시되어 있다. And Patent Publication No. 2009-0122114 discloses an extreme ultraviolet pellicle comprising a pellicle film made of a silicon single crystal film and a base substrate supporting the pellicle film, wherein the base substrate forms an opening of 60% or more. .

공개특허 제2009-0122114호에 개시된 극자외선용 펠리클은 극자외선의 투과를 위해서 실리콘 단결정 막을 박막으로 형성하여야 한다. 이러한 실리콘 단결정 박막은 작은 충격에도 쉽게 손상될 수 있으므로, 이를 지지하기 위한 베이스 기판을 사용한다. 이러한 베이스 기판의 보강 틀은 일정한 패턴을 형성하며, 이 패턴이 리소그라피 공정에서 기판에 전사된다는 문제가 있다. 또한, 투과율이 60% 정도로 매우 낮다는 문제가 있다.In the extreme ultraviolet pellicle disclosed in Korean Patent Publication No. 2009-0122114, a silicon single crystal film must be formed as a thin film in order to transmit extreme ultraviolet rays. Since such a silicon single crystal thin film can be easily damaged even by a small impact, a base substrate for supporting it is used. The reinforcing frame of the base substrate forms a certain pattern, and there is a problem in that the pattern is transferred to the substrate in a lithography process. In addition, there is a problem that the transmittance is very low, about 60%.

극자외선은 파장이 짧기 때문에 에너지가 매우 높으며, 투과율이 낮기 때문에 상당량의 에너지가 펠리클 막과 베이스 기판에 흡수되어 펠리클 막과 베이스 기판이 가열될 수 있다. 따라서 펠리클 막과 베이스 기판의 재질이 서로 다를 경우에는 리소그라피 공정에서 발생하는 열에 의한 열팽창 차이에 의해서 변형이 발생할 수 있다는 문제 또한 있다.Since extreme ultraviolet radiation has a short wavelength, energy is very high, and because of its low transmittance, a significant amount of energy is absorbed by the pellicle film and the base substrate, thereby heating the pellicle film and the base substrate. Therefore, when the materials of the pellicle film and the base substrate are different from each other, there is also a problem that deformation may occur due to a difference in thermal expansion due to heat generated in the lithography process.

펠리클 막을 보강하기 위한 별도의 베이스 기판을 사용하지 않는 프리스텐딩 펠리클을 사용하는 방법도 개시되어 있다.A method of using a freestanding pellicle without using a separate base substrate for reinforcing the pellicle film is also disclosed.

예를 들어, 본 출원인에 의해서 출원되어 등록된 등록특허 제1552940호에는 니켈 호일에 흑연 박막을 형성한 후 니켈 호일을 염화철이 포함된 수용액을 이용하여 에칭하여 흑연 박막을 얻는 방법이 개시되어 있다.For example, Patent Registration No. 1552940 filed and registered by the present applicant discloses a method of obtaining a graphite thin film by forming a graphite thin film on a nickel foil and then etching the nickel foil using an aqueous solution containing iron chloride.

또한, 본 출원인에 의해서 출원되어 등록된 등록특허 제1303795호, 제1940791호에는 유기물 기판에 지르코늄 또는 몰리브덴 금속 박막 층, 실리콘 박막 층, 탄화규소 박막 층 또는 카본 박막 층을 형성한 후 유기물 기판을 용매를 이용하여 용해하여 펠리클 막을 얻는 방법이 개시되어 있다.In addition, registered patent Nos. 1303795 and 1940791 applied and registered by the present applicant, after forming a zirconium or molybdenum metal thin film layer, a silicon thin film layer, a silicon carbide thin film layer, or a carbon thin film layer on an organic substrate, the organic substrate is solvent A method of obtaining a pellicle film by dissolving using

또한, 실리콘 기판의 양면에 질화규소 층을 형성하고, 실리콘 기판의 윗면의 질화규소 층 위에 극자외선의 투과율이 높은 코어 층인 단결정 또는 다결정 실리콘 층, 질화규소 층, 캐핑 층을 순차적으로 형성한 후, 실리콘 기판의 아랫면에 형성된 질화규소 층에 포토레지스트를 도포한 후 패터닝하고, 질화규소 층의 중심부를 건식에칭으로 제거하고, 실리콘 기판의 중심부를 습식에칭으로 제거하여 극자외선이 투과되는 윈도우를 형성하여 펠리클을 제조하는 방법도 사용되고 있다.In addition, a silicon nitride layer is formed on both sides of the silicon substrate, and a single crystal or polycrystalline silicon layer, a silicon nitride layer, and a capping layer, which are core layers with high extreme ultraviolet transmittance, are sequentially formed on the silicon nitride layer on the upper surface of the silicon substrate. A method of manufacturing a pellicle by applying photoresist to the silicon nitride layer formed on the lower surface and then patterning, removing the central portion of the silicon nitride layer by dry etching, and removing the central portion of the silicon substrate by wet etching to form a window through which extreme ultraviolet rays are transmitted is also being used.

또한, 코어 층으로 열전도도가 높고, 극자외선의 흡수율이 낮은 그래핀 층을 사용하는 방법도 연구되고 있다. 종래의 방법에서는 그래핀 층을 전이금속 촉매 층이 형성된 기판에 탄화수소를 포함한 혼합가스를 주입하여 열처리함으로써 탄소를 흡착시킨 후 냉각하는 방법으로 형성하였으며, 이 그래핀 층을 기판에서 분리한 후, 질화규소 층이 형성된 실리콘 기판에 전사하였다.In addition, a method of using a graphene layer with high thermal conductivity and low absorption rate of extreme ultraviolet rays as the core layer is being studied. In the conventional method, the graphene layer was formed by injecting a mixed gas containing hydrocarbons into the substrate on which the transition metal catalyst layer was formed and heat-treating to adsorb carbon and then cooling the graphene layer. After separating the graphene layer from the substrate, silicon nitride It was transferred to a layered silicon substrate.

그런데 이러한 방법으로 전사된 그래핀 층은 질화규소 층으로부터 쉽게 박리된다는 문제점이 있었다.However, there is a problem that the graphene layer transferred by this method is easily peeled off from the silicon nitride layer.

공개특허 제2009-0088396호Patent Publication No. 2009-0088396 공개특허 제2009-0122114호Patent Publication No. 2009-0122114 등록특허 제1552940호Registered Patent No. 1552940 등록특허 제1303795호Registered Patent No. 1303795 등록특허 제1940791호Registered Patent No. 1940791 공개특허 제2016-0086024호Patent Publication No. 2016-0086024 공개특허 제2019-0005911호Patent Publication No. 2019-0005911 공개특허 제2019-0107603호Patent Publication No. 2019-0107603

본 발명은 상술한 문제점을 개선하기 위한 것으로서, 그래핀 코어 층을 사용하는 극자외선 리소그라피용 펠리클의 새로운 제조방법을 제공하는 것을 목적으로 한다.An object of the present invention is to improve the above-described problems, and to provide a new method of manufacturing a pellicle for extreme ultraviolet lithography using a graphene core layer.

상술한 목적을 달성하기 위해서, 본 발명은 a) 실리콘 기판 위에 촉매 층을 형성하는 단계와, b) 상기 촉매 층 위에 그래핀 층을 형성하는 단계와, c) 상기 그래핀 층의 제1 면 위에 제1 캐핑 층을 형성하는 단계와, d) 상기 제1 캐핑 층 위에 펠리클 프레임을 형성하는 단계와, e) 상기 촉매 층과 상기 실리콘 기판을 제거하여 상기 그래핀 층의 제1 면의 반대면인 제2 면을 노출시키는 단계와, f) 상기 그래핀 층의 제2 면 위에 제2 캐핑 층을 형성하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In order to achieve the above object, the present invention comprises the steps of: a) forming a catalyst layer on a silicon substrate; b) forming a graphene layer on the catalyst layer; c) on a first side of the graphene layer forming a first capping layer; d) forming a pellicle frame on the first capping layer; and e) removing the catalyst layer and the silicon substrate to form a surface opposite to the first surface of the graphene layer. It provides a method of manufacturing a pellicle for extreme ultraviolet lithography, comprising the steps of exposing a second surface and f) forming a second capping layer on the second surface of the graphene layer.

또한, 상기 제1 캐핑 층을 노출된 부분을 에칭하여 상기 제1 캐핑 층의 두께를 얇게 하는 단계를 더 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, it provides a method of manufacturing a pellicle for extreme ultraviolet lithography further comprising the step of thinning the thickness of the first capping layer by etching the exposed portion of the first capping layer.

또한, 상기 e) 단계는 상기 촉매 층을 에칭하여 제거하는 단계인 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, step e) provides a method of manufacturing a pellicle for extreme ultraviolet lithography, which is a step of removing the catalyst layer by etching.

또한, 상기 제1 캐핑 층 또는 제2 캐핑 층은 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the first capping layer or the second capping layer is SiC, SiO 2 , Si x N y (x and y are integers, x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y, YSi 2 , TiSi 2 , TiC, TiB 2 , Ru, Nd, Be, La, LaB 2 , provides a method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one material selected from LaC.

또한, 상기 펠리클 프레임은 TiC, ZrC, NbC, TaC, Mo2C, WC, W2C 중에서 선택된 적어도 하나의 탄화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame is TiC, ZrC, NbC, TaC, Mo 2 C, WC, W 2 C It provides a method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one carbide selected from the group consisting of.

또한, 상기 펠리클 프레임은 TiN, ZrN, HfN, TaN 중에서 선택된 적어도 하나의 질화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame provides a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one nitride selected from TiN, ZrN, HfN, and TaN.

또한, 상기 펠리클 프레임은 TiSi2, ZrSi2, NbSi2, TaSi2, MoSi2, WSi2 중에서 선택된 적어도 하나의 규화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame TiSi 2 , ZrSi 2 , NbSi 2 , TaSi 2 , MoSi 2 , WSi 2 Provides a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one silicide selected from.

또한, 상기 펠리클 프레임은 HfB2, ZrB2, TaB2, NbB2, MoB2, WB 중에서 선택된 적어도 하나의 붕화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame HfB 2 , ZrB 2 , TaB 2 , NbB 2 , MoB 2 , It provides a method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one boride selected from WB.

또한, 상기 펠리클 프레임은 SiC, Si3N4, Si, Al2O3, AlN, BN, W 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame is SiC, Si 3 N 4 , Si, Al 2 O 3 , AlN, BN, provides a method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one material selected from W.

또한, 상기 d) 단계는 상기 펠리클 프레임을 상기 제1 캐핑층 위에 부착하는 단계인 극자외선 리소그라피용 펠리클의 제조방법을 제공한다. In addition, the step d) provides a method of manufacturing a pellicle for extreme ultraviolet lithography, which is a step of attaching the pellicle frame on the first capping layer.

또한, 상기 d) 단계는 펠리클 프레임 층을 증착한 후 패터닝하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the step d) provides a method of manufacturing a pellicle for extreme ultraviolet lithography comprising the step of patterning after depositing the pellicle frame layer.

또한, 상기 a) 단계는 실리콘 기판 위에 산화 규소 층을 형성하는 단계를 더 포함하며, 상기 촉매 층은 상기 산화 규소 층 위에 형성되는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the step a) further comprises the step of forming a silicon oxide layer on the silicon substrate, the catalyst layer provides a method of manufacturing a pellicle for extreme ultraviolet lithography is formed on the silicon oxide layer.

본 발명에 따른 극자외선 리소그라피용 펠리클의 제조방법에 따르면 캐핑 층과 그래핀 층 사이의 결합력이 개선된다. 또한, 그래핀 층의 전사 과정이 필요하지 않기 때문에 공정이 간소화된다.According to the method for manufacturing a pellicle for extreme ultraviolet lithography according to the present invention, the bonding force between the capping layer and the graphene layer is improved. In addition, since the transfer process of the graphene layer is not required, the process is simplified.

도 1은 본 발명의 일실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다.
도 2a 내지 2f는 도 1에 도시된 실시예의 각 단계를 설명하기 위한 도면이다.
도 3은 본 발명의 다른 실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다.
도 4는 도 3에 도시된 극자외선 리소그라피용 펠리클의 제조방법에 의한 극자외선 리소그라피용 펠리클의 개념도이다.
1 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to an embodiment of the present invention.
2A to 2F are diagrams for explaining each step of the embodiment shown in FIG. 1 .
3 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to another embodiment of the present invention.
4 is a conceptual diagram of a pellicle for extreme ultraviolet lithography by the method of manufacturing the pellicle for extreme ultraviolet lithography shown in FIG. 3 .

이하, 첨부된 도면을 참고하여 본 발명에 대해서 상세히 설명한다. 다음에 소개되는 실시예는 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 예로서 제공되는 것이다. 따라서, 본 발명은 이하 설명되는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 그리고 도면들에서, 구성요소의 폭, 길이, 두께 등은 편의를 위하여 과장되어 표현될 수 있다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.Hereinafter, the present invention will be described in detail with reference to the accompanying drawings. The embodiments introduced below are provided as examples so that the spirit of the present invention can be sufficiently conveyed to those skilled in the art. Accordingly, the present invention is not limited to the embodiments described below and may be embodied in other forms. And in the drawings, the width, length, thickness, etc. of the components may be exaggerated for convenience. Like reference numerals refer to like elements throughout.

본 명세서에서 어떤 층이나 면 "위에" 형성 또는 도포된다는 것은 어떤 층이나 면의 바로 위에 형성되는 것을 지칭하거나, 어떤 층이나 면 상에 형성된 중간층 또는 중간층들 위에 형성되는 것을 지칭할 수 있다.Formed or applied "on" a layer or surface herein may refer to being formed directly on a layer or surface, or to being formed over an intermediate layer or interlayers formed on a certain layer or surface.

도 1은 본 발명의 일실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다. 도 1에 도시된 바와 같이, 본 발명에 일실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법은 실리콘 기판 위에 촉매 층을 형성하는 단계(S1)와, 촉매 층 위에 그래핀 층을 형성하는 단계(S2)와, 그래핀 층의 제1 면 위에 제1 캐핑 층을 형성하는 단계(S3)와, 제1 캐핑 층 위에 펠리클 프레임을 형성하는 단계(S4)와, 촉매 층과 실리콘 기판을 제거하여 그래핀 층의 제1 면의 반대면인 제2 면을 노출시키는 단계(S5)와, 그래핀 층의 제2 면 위에 제2 캐핑 층을 형성하는 단계(S6)를 포함한다.1 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to an embodiment of the present invention. As shown in FIG. 1, the method of manufacturing a pellicle for extreme ultraviolet lithography according to an embodiment of the present invention includes the steps of forming a catalyst layer on a silicon substrate (S1), and forming a graphene layer on the catalyst layer ( S2), forming a first capping layer on the first surface of the graphene layer (S3), forming a pellicle frame on the first capping layer (S4), removing the catalyst layer and the silicon substrate and exposing a second surface opposite to the first surface of the fin layer (S5), and forming a second capping layer on the second surface of the graphene layer (S6).

이하, 도 2를 참고하여, 각각의 단계에 대해서 설명한다.Hereinafter, each step will be described with reference to FIG. 2 .

먼저, 도 2의 (a)에 도시된 바와 같이, 실리콘 기판(1) 위에 촉매 층(3)을 형성한다(S1). 실리콘 기판(1) 위에 직접 촉매 층(3)을 형성할 수도 있으며, 도 2의 (a)에 도시된 바와 같이, 먼저 실리콘 기판(1) 위에 산화 규소 층(2)을 형성한 후 산화 규소 층(2) 위에 촉매 층(3)을 형성할 수도 있다.First, as shown in (a) of FIG. 2, a catalyst layer 3 is formed on a silicon substrate 1 (S1). The catalyst layer 3 may be formed directly on the silicon substrate 1, and as shown in FIG. (2) A catalyst layer (3) may be formed thereon.

촉매 층(3)으로는 니켈, 코발트, 크롬, 루테늄, 백금, 몰리브덴 또는 이들의 합금 등을 사용할 수 있다. 바람직하게는 촉매 층(3)은 니켈 구리 합금 층일 수 있다. 니켈은 탄소 용해도가 높으며, 구리는 탄소 용해도가 낮고 에칭이 용이하므로, 니켈과 구리의 함량을 조절하면 생성되는 그래핀 층(15)의 두께와 촉매 층(3)의 에칭 속도를 조절할 수 있다. 촉매 층(3)은 스퍼터링이나 진공증착 방법 등의 방법으로 형성할 수 있다. As the catalyst layer 3, nickel, cobalt, chromium, ruthenium, platinum, molybdenum, or an alloy thereof may be used. Preferably, the catalyst layer 3 may be a nickel copper alloy layer. Nickel has high carbon solubility, and copper has low carbon solubility and is easy to etch, so if the content of nickel and copper is adjusted, the thickness of the graphene layer 15 and the etching rate of the catalyst layer 3 can be adjusted. The catalyst layer 3 may be formed by a method such as sputtering or vacuum deposition.

다음, 도 2의 (b)에 도시된 바와 같이, 촉매 층(3) 위에 그래핀 층(4)을 형성한다(S2).Next, as shown in (b) of FIG. 2, a graphene layer 4 is formed on the catalyst layer 3 (S2).

그래핀 층(4)은 탄소 전구체를 촉매 층(3)에 흡착 분해시켜 탄소 원자로 분리시키고, 탄소 원자들이 서로 결합하도록 함으로써 그래핀을 성장시키는 화학기상증착(CVD) 방법으로 형성할 수 있다.The graphene layer 4 may be formed by a chemical vapor deposition (CVD) method of growing graphene by adsorbing and decomposing a carbon precursor on the catalyst layer 3 to separate it into carbon atoms, and allowing the carbon atoms to bond with each other.

다음, 도 2의 (c)에 도시된 바와 같이, 그래핀 층(4)의 제1 면 위에 제1 캐핑 층(5)을 형성한다(S3).Next, as shown in FIG. 2C , a first capping layer 5 is formed on the first surface of the graphene layer 4 ( S3 ).

제1 캐핑 층(5)은 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함한다. 제1 캐핑 층(5)은 단일 층으로 구성될 수도 있으며, 순차적으로 적층되는 복수의 층들로 구성될 수도 있다.The first capping layer 5 is SiC, SiO 2 , Si x N y (x and y are integers, x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y, YSi 2 , TiSi 2 , TiC, TiB 2 , Ru, Nd, Be, La, LaB 2 , and includes at least one selected from LaC. The first capping layer 5 may be composed of a single layer or may be composed of a plurality of layers sequentially stacked.

제1 캐핑 층(5)은 습식 에칭 액과 수소 라디칼로부터 그래핀 층(4)을 보호하는 역할을 한다. 제1 캐핑 층(5)은 CVD나 PVD 공정, 예를 들어, 저압 화학 증착(LPCVD) 공정, 원자층 증착(Atomic layer doposition, ALD) 공정, 스퍼터링 공정, 진공 증착 공정 등을 통해서 증착하는 방법으로 형성할 수 있다.The first capping layer 5 serves to protect the graphene layer 4 from the wet etchant and hydrogen radicals. The first capping layer 5 is deposited through a CVD or PVD process, for example, a low-pressure chemical vapor deposition (LPCVD) process, an atomic layer doposition (ALD) process, a sputtering process, a vacuum deposition process, etc. can be formed

다음, 도 2의 (d)에 도시된 바와 같이, 제1 캐핑 층(5) 위에 펠리클 프레임(6)을 형성한다(S4).Next, as shown in FIG. 2D , a pellicle frame 6 is formed on the first capping layer 5 ( S4 ).

펠리클 프레임(6)은 미리 가공된 펠리클 프레임(6)을 접착제를 이용하여 제1 캐핑 층(5) 위에 부착하는 방법으로 형성할 수 있다.The pellicle frame 6 may be formed by attaching the pre-processed pellicle frame 6 to the first capping layer 5 using an adhesive.

또한, 제1 캐핑 층(5) 위에 펠리클 프레임 층을 증착한 후에 패터닝하여 펠리클 프레임(6)을 형성할 수도 있다. 펠리클 프레임 층은 복수의 층으로 이루어질 수도 있다.In addition, the pellicle frame 6 may be formed by depositing a pellicle frame layer on the first capping layer 5 and then patterning it. The pellicle frame layer may consist of a plurality of layers.

또한, 제1 캐핑 층(5) 위에 제1 펠리클 프레임 층을 증착한 후에 패터닝하여 제1 펠리클 프레임을 형성하고, 여기에 접착제를 이용하여 제2 펠리클 프레임을 부착하는 방법으로 형성할 수도 있다.In addition, the first pellicle frame layer may be deposited on the first capping layer 5 and then patterned to form the first pellicle frame, and may be formed by attaching the second pellicle frame thereto using an adhesive.

펠리클 프레임(6)은 열팽창 계수가 10×10-6(1/K) 이하인 것이 바람직하다. The pellicle frame 6 preferably has a coefficient of thermal expansion of 10×10 -6 (1/K) or less.

예를 들어, 펠리클 프레임(6)은 TiC, ZrC, NbC, TaC, Mo2C, WC, W2C 중에서 선택된 적어도 하나의 탄화물을 포함할 수 있다. 또한, TiN, ZrN, HfN, TaN 중에서 선택된 적어도 하나의 질화물을 포함할 수 있다. 또한, TiSi2, ZrSi2, NbSi2, TaSi2, MoSi2, WSi2 중에서 선택된 적어도 하나의 규화물을 포함할 수 있다. 또한, 상기 펠리클 프레임은 HfB2, ZrB2, TaB2, NbB2, MoB2, WB 중에서 선택된 적어도 하나의 붕화물을 포함할 수 있다. 또한, SiC, Si3N4, Si, Al2O3, AlN, BN, W 중에서 선택된 적어도 하나의 물질을 포함할 수 있다.For example, the pellicle frame 6 may include at least one carbide selected from TiC, ZrC, NbC, TaC, Mo 2 C, WC, and W 2 C. In addition, it may include at least one nitride selected from TiN, ZrN, HfN, and TaN. In addition, at least one silicide selected from TiSi 2 , ZrSi 2 , NbSi 2 , TaSi 2 , MoSi 2 , and WSi 2 may be included. In addition, the pellicle frame may include at least one boride selected from HfB 2 , ZrB 2 , TaB 2 , NbB 2 , MoB 2 , and WB. In addition, at least one material selected from SiC, Si 3 N 4 , Si, Al 2 O 3 , AlN, BN, and W may be included.

다음, 도 2의 (e)에 도시된 바와 같이, 촉매 층(3)과 실리콘 기판(1)을 제거하여 그래핀 층(4)의 제1 면의 반대면인 제2 면을 노출시킨다(S5).Next, as shown in FIG. 2E , the catalyst layer 3 and the silicon substrate 1 are removed to expose a second surface that is opposite to the first surface of the graphene layer 4 ( S5 ). ).

본 단계는 촉매 층(3)을 에칭하여 촉매 층(3)과 실리콘 기판(1)을 한꺼번에 제거하는 단계일 수 있다. 또한, 실리콘 기판(1) 위에 형성된 산화 규소 층(2)을 제거하여 산화 규소 층(2)과 실리콘 기판(1)을 제거한 후 촉매 층(3)을 따로 제거하는 단계일 수도 있다.This step may be a step of removing the catalyst layer 3 and the silicon substrate 1 at once by etching the catalyst layer 3 . In addition, it may be a step of separately removing the catalyst layer 3 after removing the silicon oxide layer 2 and the silicon substrate 1 by removing the silicon oxide layer 2 formed on the silicon substrate 1 .

다음, 도 2의 (f)에 도시된 바와 같이, 그래핀 층(4)의 제2 면 위에 제2 캐핑 층(7)을 형성한다(S6).Next, as shown in FIG. 2(f), a second capping layer 7 is formed on the second surface of the graphene layer 4 (S6).

제2 캐핑 층(7)은 제1 캐핑 층(5)과 마찬가지로 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함한다. 제2 캐핑 층(7)은 단일 층으로 구성될 수도 있으며, 순차적으로 적층되는 복수의 층들로 구성될 수도 있다.The second capping layer 7, like the first capping layer 5, is SiC, SiO 2 , Si x N y (x and y are integers, x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y , YSi 2 , TiSi 2 , TiC, TiB 2 , Ru, Nd, Be, La, LaB 2 , and at least one selected from LaC. The second capping layer 7 may be composed of a single layer or may be composed of a plurality of layers sequentially stacked.

제2 캐핑 층(7)은 CVD나 PVD 공정, 예를 들어, 저압 화학 증착(LPCVD) 공정, 원자층 증착(Atomic layer doposition, ALD) 공정, 스퍼터링 공정, 진공 증착 공정 등을 통해서 증착하는 방법으로 형성할 수 있다.The second capping layer 7 is deposited through a CVD or PVD process, for example, a low-pressure chemical vapor deposition (LPCVD) process, an atomic layer doposition (ALD) process, a sputtering process, a vacuum deposition process, etc. can be formed

도 3은 본 발명의 다른 실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다.3 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to another embodiment of the present invention.

도 3에 도시된 바와 같이, 본 실시예는 제1 캐핑 층을 에칭하여 제1 캐핑 층의 두께를 조절하는 단계(S17)를 더 포함한다는 점에서도 도 1에 도시된 실시예와 차이가 있다. 제1 캐핑 층은 극자외선에 대한 투과율일 낮기 때문에 최대한 얇게 형성하는 것이 바람직하다.As shown in FIG. 3 , this embodiment is also different from the embodiment shown in FIG. 1 in that it further includes a step ( S17 ) of adjusting the thickness of the first capping layer by etching the first capping layer. Since the transmittance of the first capping layer is low, it is preferable to form the first capping layer as thin as possible.

도 4는 도 3에 도시된 극자외선 리소그라피용 펠리클의 제조방법에 의한 극자외선 리소그라피용 펠리클의 개념도이다.FIG. 4 is a conceptual diagram of a pellicle for extreme ultraviolet lithography by the method of manufacturing the pellicle for extreme ultraviolet lithography shown in FIG. 3 .

도 4에 도시된 바와 같이, 본 실시예에 따른 극자외선 리소그라피용 펠리클은 제1 캐핑 층(15)의 중심부가 에칭되어 다른 부분에 비해서 두께가 얇다는 점에서 도 2의 (f)에 도시된 극자외선 리소그라피용 펠리클과 차이가 있다.4, in the pellicle for extreme ultraviolet lithography according to this embodiment, the central portion of the first capping layer 15 is etched to have a thinner thickness compared to other portions, as shown in It is different from the pellicle for extreme ultraviolet lithography.

이상에서는 본 발명의 바람직한 실시 예에 대하여 도시하고 설명하였지만, 본 발명은 상술한 특정의 실시 예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 다양한 변형실시가 가능한 것은 물론이고, 이러한 변형실시들은 본 발명의 기술적 사상이나 전망으로부터 개별적으로 이해되어서는 안 될 것이다.In the above, preferred embodiments of the present invention have been illustrated and described, but the present invention is not limited to the specific embodiments described above, and it is common in the technical field to which the present invention pertains without departing from the gist of the present invention as claimed in the claims. Various modifications may be made by those having the knowledge of, of course, and these modifications should not be individually understood from the technical spirit or perspective of the present invention.

1: 실리콘 기판
2: 산화 규소 층
3: 촉매 층
4, 14: 그래핀 층
5, 15: 제1 캐핑 층
6, 16: 펠리클 프레임
7, 17: 제2 캐핑 층
1: silicon substrate
2: Silicon oxide layer
3: catalyst layer
4, 14: graphene layer
5, 15: first capping layer
6, 16: pellicle frame
7, 17: second capping layer

Claims (12)

a) 실리콘 기판 위에 촉매 층을 형성하는 단계와,
b) 상기 촉매 층 위에 그래핀 층을 형성하는 단계와,
c) 상기 그래핀 층의 제1 면 위에 제1 캐핑 층을 형성하는 단계와,
d) 상기 제1 캐핑 층 위에 펠리클 프레임을 형성하는 단계와,
e) 상기 촉매 층과 상기 실리콘 기판을 제거하여 상기 그래핀 층의 제1 면의 반대면인 제2 면을 노출시키는 단계와,
f) 상기 그래핀 층의 제2 면 위에 제2 캐핑 층을 형성하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
a) forming a catalyst layer on a silicon substrate;
b) forming a graphene layer on the catalyst layer;
c) forming a first capping layer on the first side of the graphene layer;
d) forming a pellicle frame over the first capping layer;
e) removing the catalyst layer and the silicon substrate to expose a second side opposite to the first side of the graphene layer;
f) A method of manufacturing a pellicle for extreme ultraviolet lithography comprising the step of forming a second capping layer on the second surface of the graphene layer.
제1항에 있어서,
상기 제1 캐핑 층을 노출된 부분을 에칭하여 상기 제1 캐핑 층의 두께를 얇게 하는 단계를 더 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The method of manufacturing a pellicle for extreme ultraviolet lithography further comprising thinning the thickness of the first capping layer by etching an exposed portion of the first capping layer.
제1항에 있어서,
상기 e) 단계는 상기 촉매 층을 에칭하여 제거하는 단계인 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
Step e) is a method of manufacturing a pellicle for extreme ultraviolet lithography in which the catalyst layer is removed by etching.
제1항에 있어서,
상기 제1 캐핑 층 또는 제2 캐핑 층은 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The first capping layer or the second capping layer is SiC, SiO 2 , Si x N y (x and y are integers, x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y, YSi 2 , TiSi 2 , TiC, TiB 2 , Ru, Nd, Be, La, LaB 2 , a method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one material selected from LaC.
제1항에 있어서,
상기 펠리클 프레임은 TiC, ZrC, NbC, TaC, Mo2C, WC, W2C 중에서 선택된 적어도 하나의 탄화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is TiC, ZrC, NbC, TaC, Mo 2 C, WC, W 2 C Method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one carbide selected from the group consisting of.
제1항에 있어서,
상기 펠리클 프레임은 TiN, ZrN, HfN, TaN 중에서 선택된 적어도 하나의 질화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one nitride selected from TiN, ZrN, HfN, and TaN.
제1항에 있어서,
상기 펠리클 프레임은 TiSi2, ZrSi2, NbSi2, TaSi2, MoSi2, WSi2 중에서 선택된 적어도 하나의 규화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is TiSi 2 , ZrSi 2 , NbSi 2 , TaSi 2 , MoSi 2 , WSi 2 Method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one silicide selected from the group consisting of.
제1항에 있어서,
상기 펠리클 프레임은 HfB2, ZrB2, TaB2, NbB2, MoB2, WB 중에서 선택된 적어도 하나의 붕화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
The method of claim 1,
The pellicle frame is HfB 2 , ZrB 2 , TaB 2 , NbB 2 , MoB 2 , Method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one boride selected from WB.
제1항에 있어서,
상기 펠리클 프레임은 SiC, Si3N4, Si, Al2O3, AlN, BN, W 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is SiC, Si 3 N 4 , Si, Al 2 O 3 , AlN, BN, method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one material selected from W.
제1항에 있어서,
상기 d) 단계는 상기 펠리클 프레임을 상기 제1 캐핑 층 위에 부착하는 단계인 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
Step d) is a method of manufacturing a pellicle for extreme ultraviolet lithography, which is a step of attaching the pellicle frame on the first capping layer.
제1항에 있어서,
상기 d) 단계는 펠리클 프레임 층을 증착한 후 패터닝하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
Step d) is a method of manufacturing a pellicle for extreme ultraviolet lithography comprising the step of patterning after depositing the pellicle frame layer.
제1항에 있어서,
상기 a) 단계는 실리콘 기판 위에 산화 규소 층을 형성하는 단계를 더 포함하며, 상기 촉매 층은 상기 산화 규소 층 위에 형성되는 극자외선 리소그라피용 펠리클의 제조방법.
The method of claim 1,
Step a) further includes forming a silicon oxide layer on a silicon substrate, wherein the catalyst layer is formed on the silicon oxide layer.
KR1020200155255A 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography KR102546968B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200155255A KR102546968B1 (en) 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200155255A KR102546968B1 (en) 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Publications (2)

Publication Number Publication Date
KR20220068457A true KR20220068457A (en) 2022-05-26
KR102546968B1 KR102546968B1 (en) 2023-06-23

Family

ID=81808977

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200155255A KR102546968B1 (en) 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Country Status (1)

Country Link
KR (1) KR102546968B1 (en)

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090088396A (en) 2006-11-10 2009-08-19 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Euv pellicle with increased euv light transmittance
KR20090122114A (en) 2008-05-22 2009-11-26 신에쓰 가가꾸 고교 가부시끼가이샤 Pellicle and method for producing pellicle
KR101303795B1 (en) 2011-12-26 2013-09-04 주식회사 에프에스티 EUV pellicle and manufacturing method of the same
KR101552940B1 (en) 2013-12-17 2015-09-14 삼성전자주식회사 Pellicle film for extreme ultraviolet lithography including graphite-containing thin film
KR20160086024A (en) 2015-01-09 2016-07-19 삼성전자주식회사 Pellicle and method of manufacturing the same
JP2017076024A (en) * 2015-10-14 2017-04-20 日本特殊陶業株式会社 Pellicle frame and manufacturing method of pellicle frame
KR20180053251A (en) * 2016-11-11 2018-05-21 신에쓰 가가꾸 고교 가부시끼가이샤 Method of manufacturing a graphene film, and method of manufacturing a pellicle using the same
KR101900720B1 (en) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20180109177A (en) * 2017-03-27 2018-10-08 삼성전자주식회사 Method of manufacturing pellicle and apparatus for assembling pellicle
KR20190005911A (en) 2016-06-28 2019-01-16 미쯔이가가꾸가부시끼가이샤 Pellicle membrane, pellicle frame body, pellicle and manufacturing method thereof
KR101940791B1 (en) 2017-05-19 2019-01-21 주식회사 에프에스티 Manufacturing method of EUV pellicle using organic sacrifice substrate
KR20190107603A (en) 2019-04-22 2019-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20200130289A (en) * 2018-03-09 2020-11-18 에이에스엠엘 네델란즈 비.브이. Graphene pellicle lithography apparatus

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090088396A (en) 2006-11-10 2009-08-19 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Euv pellicle with increased euv light transmittance
KR20090122114A (en) 2008-05-22 2009-11-26 신에쓰 가가꾸 고교 가부시끼가이샤 Pellicle and method for producing pellicle
KR101303795B1 (en) 2011-12-26 2013-09-04 주식회사 에프에스티 EUV pellicle and manufacturing method of the same
KR101552940B1 (en) 2013-12-17 2015-09-14 삼성전자주식회사 Pellicle film for extreme ultraviolet lithography including graphite-containing thin film
KR20160086024A (en) 2015-01-09 2016-07-19 삼성전자주식회사 Pellicle and method of manufacturing the same
JP2017076024A (en) * 2015-10-14 2017-04-20 日本特殊陶業株式会社 Pellicle frame and manufacturing method of pellicle frame
KR20190005911A (en) 2016-06-28 2019-01-16 미쯔이가가꾸가부시끼가이샤 Pellicle membrane, pellicle frame body, pellicle and manufacturing method thereof
KR20180053251A (en) * 2016-11-11 2018-05-21 신에쓰 가가꾸 고교 가부시끼가이샤 Method of manufacturing a graphene film, and method of manufacturing a pellicle using the same
KR20180109177A (en) * 2017-03-27 2018-10-08 삼성전자주식회사 Method of manufacturing pellicle and apparatus for assembling pellicle
KR101940791B1 (en) 2017-05-19 2019-01-21 주식회사 에프에스티 Manufacturing method of EUV pellicle using organic sacrifice substrate
KR101900720B1 (en) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20200130289A (en) * 2018-03-09 2020-11-18 에이에스엠엘 네델란즈 비.브이. Graphene pellicle lithography apparatus
KR20190107603A (en) 2019-04-22 2019-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Also Published As

Publication number Publication date
KR102546968B1 (en) 2023-06-23

Similar Documents

Publication Publication Date Title
CN109765752B (en) Pellicle for EUV lithography and method for producing same
JP5671202B2 (en) How to double the frequency using a photoresist template mask
KR102482649B1 (en) Method for fabricating a pellicle for EUV(extreme ultraviolet) lithography
US11782339B2 (en) Pellicle for an EUV lithography mask and a method of manufacturing thereof
TW201721282A (en) EUV pellicle film and manufacturing method thereof
KR20050021980A (en) Multi-tiered lithographic template
US11789359B2 (en) Method for manufacturing pellicle for extreme ultraviolet lithography having graphene defect healing layer
KR102546968B1 (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR102349295B1 (en) Pellicle film with Carbyne layer for EUV(extreme ultraviolet) lithography and method for fabricating the same
US6897140B2 (en) Fabrication of structures of metal/semiconductor compound by X-ray/EUV projection lithography
KR102514745B1 (en) Pellicle for EUV(extreme ultraviolet) lithography and method for fabricating the same
TWI228756B (en) Electron beam mask substrate, electron beam mask blank, electron beam mask, and fabrication method thereof
KR102301568B1 (en) Manufacturing method of EUV pellicle with silicon carbide layer
KR102624936B1 (en) Pellicle Frame for EUV(extreme ultraviolet) Lithography and Sealing Material for Pellicle Frame for EUV(extreme ultraviolet) Lithography
KR102282273B1 (en) Method for fabricating a pellicle for EUV(extreme ultraviolet) lithography
KR20230125966A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR20230029242A (en) Pellicle for EUV(extreme ultraviolet) Lithography and Method for Fabricating of the Same
KR102662986B1 (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR20230174998A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR20230073539A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR20220129343A (en) Pellicle for EUV(extreme ultraviolet) Lithography
KR20230069757A (en) Pellicle film for extreme ultraviolet lithography comprising a multi-component silicon compound layer
KR102482650B1 (en) Pellicle film with BN nano structure layer for EUV(extreme ultraviolet) lithography and method for fabricating the same
KR20220080395A (en) Pellicle Frame for EUV(extreme ultraviolet) Lithography
KR20230007668A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant