KR102546968B1 - Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography - Google Patents

Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography Download PDF

Info

Publication number
KR102546968B1
KR102546968B1 KR1020200155255A KR20200155255A KR102546968B1 KR 102546968 B1 KR102546968 B1 KR 102546968B1 KR 1020200155255 A KR1020200155255 A KR 1020200155255A KR 20200155255 A KR20200155255 A KR 20200155255A KR 102546968 B1 KR102546968 B1 KR 102546968B1
Authority
KR
South Korea
Prior art keywords
layer
pellicle
extreme ultraviolet
manufacturing
ultraviolet lithography
Prior art date
Application number
KR1020200155255A
Other languages
Korean (ko)
Other versions
KR20220068457A (en
Inventor
우란
조상진
김경수
김청
서경원
최재혁
문성용
김지강
Original Assignee
주식회사 에프에스티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에프에스티 filed Critical 주식회사 에프에스티
Priority to KR1020200155255A priority Critical patent/KR102546968B1/en
Publication of KR20220068457A publication Critical patent/KR20220068457A/en
Application granted granted Critical
Publication of KR102546968B1 publication Critical patent/KR102546968B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Abstract

본 발명은 극자외선 리소그라피용 펠리클의 제조방법에 관한 것이다. 본 발명은 a) 실리콘 기판 위에 촉매 층을 형성하는 단계와, b) 상기 촉매 층 위에 그래핀 층을 형성하는 단계와, c) 상기 그래핀 층의 제1 면 위에 제1 캐핑 층을 형성하는 단계와, d) 상기 제1 캐핑 층 위에 펠리클 프레임을 형성하는 단계와, e) 상기 촉매 층과 상기 실리콘 기판을 제거하여 상기 그래핀 층의 제1 면의 반대면인 제2 면을 노출시키는 단계와, f) 상기 그래핀 층의 제2 면 위에 제2 캐핑 층을 형성하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다. 본 발명에 따른 극자외선 리소그라피용 펠리클의 제조방법에 따르면 캐핑 층과 그래핀 층 사이의 결합력이 개선된다. 또한, 그래핀 층의 전사 과정이 필요하지 않기 때문에 공정이 간소화된다.The present invention relates to a method for manufacturing a pellicle for extreme ultraviolet lithography. The present invention includes the steps of a) forming a catalyst layer on a silicon substrate, b) forming a graphene layer on the catalyst layer, and c) forming a first capping layer on a first surface of the graphene layer. And, d) forming a pellicle frame on the first capping layer, e) exposing a second surface opposite to the first surface of the graphene layer by removing the catalyst layer and the silicon substrate; and f) forming a second capping layer on the second surface of the graphene layer. According to the manufacturing method of the pellicle for extreme ultraviolet lithography according to the present invention, the bonding force between the capping layer and the graphene layer is improved. In addition, since the transfer process of the graphene layer is not required, the process is simplified.

Description

극자외선 리소그라피용 펠리클의 제조방법{Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography}Method for fabricating pellicle for extreme ultraviolet lithography {Method for Fabricating Pellicle for EUV (extreme ultraviolet) Lithography}

본 발명은 극자외선 리소그라피용 펠리클의 제조방법에 관한 것이다.The present invention relates to a method for manufacturing a pellicle for extreme ultraviolet lithography.

반도체 디바이스 또는 액정 표시판 등의 제조에서 반도체 웨이퍼 또는 액정용 기판에 패터닝을 하는 경우에 포토리소그라피라는 방법이 사용된다. 포토리소그라피에서는 패터닝의 원판으로서 마스크가 사용되고, 마스크 상의 패턴이 웨이퍼 또는 액정용 기판에 전사된다. 이 마스크에 먼지가 부착되어 있으면 이 먼지로 인하여 빛이 흡수되거나, 반사되기 때문에 전사한 패턴이 손상되어 반도체 장치나 액정 표시판 등의 성능이나 수율의 저하를 초래한다는 문제가 발생한다. 따라서, 이들의 작업은 보통 클린룸에서 행해지지만 이 클린룸 내에도 먼지가 존재하므로, 마스크 표면에 먼지가 부착하는 것을 방지하기 위하여 펠리클을 부착하는 방법이 행해지고 있다. 이 경우, 먼지는 마스크의 표면에는 직접 부착되지 않고, 펠리클 막 위에 부착되고, 리소그라피 시에는 초점이 마스크의 패턴 상에 일치되어 있으므로 펠리클 상의 먼지는 초점이 맞지 않아 패턴에 전사되지 않는 이점이 있다.In the case of patterning a semiconductor wafer or a substrate for liquid crystal in the manufacture of a semiconductor device or a liquid crystal display panel, a method called photolithography is used. In photolithography, a mask is used as a patterning plate, and the pattern on the mask is transferred to a wafer or liquid crystal substrate. If dust adheres to the mask, light is absorbed or reflected by the dust, so the transferred pattern is damaged, resulting in a decrease in performance or yield of a semiconductor device or liquid crystal display panel. Therefore, these operations are usually performed in a clean room, but since dust exists even in this clean room, a method of attaching a pellicle is being performed to prevent dust from adhering to the mask surface. In this case, the dust is not directly attached to the surface of the mask, but is attached to the pellicle film, and since the focus is aligned on the pattern of the mask during lithography, the dust on the pellicle is out of focus and does not transfer to the pattern.

점차 반도체 제조용 노광 장치의 요구 해상도는 높아져 가고 있고, 그 해상도를 실현하기 위해서 광원의 파장이 점점 더 짧아지고 있다. 구체적으로, UV 광원은 자외광 g선(436), I선(365), KrF 엑시머 레이저(248), ArF 엑시머 레이저(193)에서 극자외선(EUV, extreme UltraViolet, 13.5㎚)으로 점점 파장이 짧아지고 있다. 이러한 극자외선을 이용한 노광 기술을 실현하기 위해서는 새로운 광원, 레지스트, 마스크, 펠리클의 개발이 불가결하다. 즉, 종래의 유기 펠리클 막은 높은 에너지를 가진 노광 광원에 의해서 물성이 변화되고, 수명이 짧기 때문에 극자외선용 펠리클에는 사용되기 어렵다는 문제가 있다. 이러한 문제를 해결하기 위해서 다양한 시도가 진행되고 있다.The required resolution of an exposure apparatus for semiconductor manufacturing is gradually increasing, and the wavelength of a light source is getting shorter and shorter in order to realize the resolution. Specifically, the UV light source is an ultraviolet light g-ray 436, an I-ray 365, a KrF excimer laser 248, and an ArF excimer laser 193 to extreme ultraviolet (EUV, extreme UltraViolet, 13.5 nm), with gradually shorter wavelengths. are losing In order to realize exposure technology using extreme ultraviolet rays, it is indispensable to develop new light sources, resists, masks, and pellicles. That is, since the physical properties of the conventional organic pellicle film are changed by an exposure light source having high energy and have a short lifespan, it is difficult to use the pellicle for extreme ultraviolet rays. Various attempts are being made to solve this problem.

예를 들어, 공개특허 제2009-0088396호에는 에어로겔 필름으로 이루어진 펠리클이 개시되어 있다.For example, Patent Publication No. 2009-0088396 discloses a pellicle made of an airgel film.

그리고 공개특허 제2009-0122114호에는 실리콘 단결정 막으로 이루어지는 펠리클 막과 그 펠리클 막을 지지하는 베이스 기판을 포함하며, 베이스 기판은 60% 이상의 개구부를 형성하는 것을 특징으로 하는 극자외선용 펠리클이 개시되어 있다. And Patent Publication No. 2009-0122114 discloses a pellicle for extreme ultraviolet rays, which includes a pellicle film made of a silicon single crystal film and a base substrate supporting the pellicle film, and the base substrate forms an opening of 60% or more. .

공개특허 제2009-0122114호에 개시된 극자외선용 펠리클은 극자외선의 투과를 위해서 실리콘 단결정 막을 박막으로 형성하여야 한다. 이러한 실리콘 단결정 박막은 작은 충격에도 쉽게 손상될 수 있으므로, 이를 지지하기 위한 베이스 기판을 사용한다. 이러한 베이스 기판의 보강 틀은 일정한 패턴을 형성하며, 이 패턴이 리소그라피 공정에서 기판에 전사된다는 문제가 있다. 또한, 투과율이 60% 정도로 매우 낮다는 문제가 있다.The pellicle for extreme ultraviolet rays disclosed in Patent Publication No. 2009-0122114 needs to form a silicon single crystal film as a thin film in order to transmit extreme ultraviolet rays. Since this silicon single crystal thin film can be easily damaged even by a small impact, a base substrate is used to support it. There is a problem that the reinforcing frame of the base substrate forms a certain pattern, and the pattern is transferred to the substrate in a lithography process. In addition, there is a problem that the transmittance is very low, about 60%.

극자외선은 파장이 짧기 때문에 에너지가 매우 높으며, 투과율이 낮기 때문에 상당량의 에너지가 펠리클 막과 베이스 기판에 흡수되어 펠리클 막과 베이스 기판이 가열될 수 있다. 따라서 펠리클 막과 베이스 기판의 재질이 서로 다를 경우에는 리소그라피 공정에서 발생하는 열에 의한 열팽창 차이에 의해서 변형이 발생할 수 있다는 문제 또한 있다.Since extreme ultraviolet rays have a short wavelength, the energy is very high, and since transmittance is low, a considerable amount of energy is absorbed by the pellicle film and the base substrate, so that the pellicle film and the base substrate may be heated. Therefore, when the materials of the pellicle film and the base substrate are different from each other, there is also a problem that deformation may occur due to a difference in thermal expansion due to heat generated in the lithography process.

펠리클 막을 보강하기 위한 별도의 베이스 기판을 사용하지 않는 프리스텐딩 펠리클을 사용하는 방법도 개시되어 있다.A method of using a freestanding pellicle without using a separate base substrate for reinforcing the pellicle film is also disclosed.

예를 들어, 본 출원인에 의해서 출원되어 등록된 등록특허 제1552940호에는 니켈 호일에 흑연 박막을 형성한 후 니켈 호일을 염화철이 포함된 수용액을 이용하여 에칭하여 흑연 박막을 얻는 방법이 개시되어 있다.For example, Patent Registration No. 1552940 filed and registered by the present applicant discloses a method of obtaining a graphite thin film by forming a graphite thin film on a nickel foil and then etching the nickel foil using an iron chloride-containing aqueous solution.

또한, 본 출원인에 의해서 출원되어 등록된 등록특허 제1303795호, 제1940791호에는 유기물 기판에 지르코늄 또는 몰리브덴 금속 박막 층, 실리콘 박막 층, 탄화규소 박막 층 또는 카본 박막 층을 형성한 후 유기물 기판을 용매를 이용하여 용해하여 펠리클 막을 얻는 방법이 개시되어 있다.In addition, in Registered Patent Nos. 1303795 and 1940791 filed and registered by the present applicant, after forming a zirconium or molybdenum metal thin film layer, a silicon thin film layer, a silicon carbide thin film layer, or a carbon thin film layer on an organic substrate, the organic substrate is removed as a solvent. A method of obtaining a pellicle membrane by dissolving using a is disclosed.

또한, 실리콘 기판의 양면에 질화규소 층을 형성하고, 실리콘 기판의 윗면의 질화규소 층 위에 극자외선의 투과율이 높은 코어 층인 단결정 또는 다결정 실리콘 층, 질화규소 층, 캐핑 층을 순차적으로 형성한 후, 실리콘 기판의 아랫면에 형성된 질화규소 층에 포토레지스트를 도포한 후 패터닝하고, 질화규소 층의 중심부를 건식에칭으로 제거하고, 실리콘 기판의 중심부를 습식에칭으로 제거하여 극자외선이 투과되는 윈도우를 형성하여 펠리클을 제조하는 방법도 사용되고 있다.In addition, after forming a silicon nitride layer on both sides of the silicon substrate, and sequentially forming a single crystal or polycrystalline silicon layer, which is a core layer having high extreme ultraviolet transmittance, a silicon nitride layer, and a capping layer on the silicon nitride layer on the upper surface of the silicon substrate, A method of manufacturing a pellicle by applying photoresist to the silicon nitride layer formed on the lower surface, then patterning, removing the center of the silicon nitride layer by dry etching, and removing the center of the silicon substrate by wet etching to form a window through which extreme ultraviolet rays are transmitted. is also being used.

또한, 코어 층으로 열전도도가 높고, 극자외선의 흡수율이 낮은 그래핀 층을 사용하는 방법도 연구되고 있다. 종래의 방법에서는 그래핀 층을 전이금속 촉매 층이 형성된 기판에 탄화수소를 포함한 혼합가스를 주입하여 열처리함으로써 탄소를 흡착시킨 후 냉각하는 방법으로 형성하였으며, 이 그래핀 층을 기판에서 분리한 후, 질화규소 층이 형성된 실리콘 기판에 전사하였다.In addition, a method of using a graphene layer having high thermal conductivity and low absorption of extreme ultraviolet rays as a core layer is also being studied. In the conventional method, a graphene layer is formed by injecting a mixed gas containing hydrocarbon into a substrate on which a transition metal catalyst layer is formed and heat-treating it to adsorb carbon and then cooling it. After the graphene layer is separated from the substrate, silicon nitride The layer was transferred to a silicon substrate.

그런데 이러한 방법으로 전사된 그래핀 층은 질화규소 층으로부터 쉽게 박리된다는 문제점이 있었다.However, there is a problem in that the graphene layer transferred in this way is easily peeled off from the silicon nitride layer.

공개특허 제2009-0088396호Patent Publication No. 2009-0088396 공개특허 제2009-0122114호Patent Publication No. 2009-0122114 등록특허 제1552940호Registered Patent No. 1552940 등록특허 제1303795호Registered Patent No. 1303795 등록특허 제1940791호Registered Patent No. 1940791 공개특허 제2016-0086024호Patent Publication No. 2016-0086024 공개특허 제2019-0005911호Patent Publication No. 2019-0005911 공개특허 제2019-0107603호Patent Publication No. 2019-0107603

본 발명은 상술한 문제점을 개선하기 위한 것으로서, 그래핀 코어 층을 사용하는 극자외선 리소그라피용 펠리클의 새로운 제조방법을 제공하는 것을 목적으로 한다.The present invention is to improve the above problems, and an object of the present invention is to provide a new method of manufacturing a pellicle for extreme ultraviolet lithography using a graphene core layer.

상술한 목적을 달성하기 위해서, 본 발명은 a) 실리콘 기판 위에 촉매 층을 형성하는 단계와, b) 상기 촉매 층 위에 그래핀 층을 형성하는 단계와, c) 상기 그래핀 층의 제1 면 위에 제1 캐핑 층을 형성하는 단계와, d) 상기 제1 캐핑 층 위에 펠리클 프레임을 형성하는 단계와, e) 상기 촉매 층과 상기 실리콘 기판을 제거하여 상기 그래핀 층의 제1 면의 반대면인 제2 면을 노출시키는 단계와, f) 상기 그래핀 층의 제2 면 위에 제2 캐핑 층을 형성하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In order to achieve the above object, the present invention comprises the steps of a) forming a catalyst layer on a silicon substrate, b) forming a graphene layer on the catalyst layer, and c) forming a graphene layer on the first surface of the graphene layer. Forming a first capping layer; d) forming a pellicle frame on the first capping layer; e) removing the catalyst layer and the silicon substrate to form a surface opposite to the first surface of the graphene layer. A method of manufacturing a pellicle for extreme ultraviolet lithography is provided, which includes exposing a second surface and f) forming a second capping layer on the second surface of the graphene layer.

또한, 상기 제1 캐핑 층을 노출된 부분을 에칭하여 상기 제1 캐핑 층의 두께를 얇게 하는 단계를 더 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, a method of manufacturing a pellicle for extreme ultraviolet lithography further comprising the step of thinning the first capping layer by etching the exposed portion of the first capping layer is provided.

또한, 상기 e) 단계는 상기 촉매 층을 에칭하여 제거하는 단계인 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the step e) provides a method of manufacturing a pellicle for extreme ultraviolet lithography, which is a step of etching and removing the catalyst layer.

또한, 상기 제1 캐핑 층 또는 제2 캐핑 층은 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the first capping layer or the second capping layer may be SiC, SiO 2 , Si x N y (x and y are integers, and x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y, YSi 2 , TiSi 2 , TiC, TiB 2 , Ru, Nd, Be, La, LaB 2 , It provides a method of manufacturing a pellicle for extreme ultraviolet lithography containing at least one material selected from LaC.

또한, 상기 펠리클 프레임은 TiC, ZrC, NbC, TaC, Mo2C, WC, W2C 중에서 선택된 적어도 하나의 탄화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame provides a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one carbide selected from TiC, ZrC, NbC, TaC, Mo 2 C, WC, and W 2 C.

또한, 상기 펠리클 프레임은 TiN, ZrN, HfN, TaN 중에서 선택된 적어도 하나의 질화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame provides a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one nitride selected from TiN, ZrN, HfN, and TaN.

또한, 상기 펠리클 프레임은 TiSi2, ZrSi2, NbSi2, TaSi2, MoSi2, WSi2 중에서 선택된 적어도 하나의 규화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame includes a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one silicide selected from TiSi 2 , ZrSi 2 , NbSi 2 , TaSi 2 , MoSi 2 , and WSi 2 .

또한, 상기 펠리클 프레임은 HfB2, ZrB2, TaB2, NbB2, MoB2, WB 중에서 선택된 적어도 하나의 붕화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame provides a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one boride selected from HfB 2 , ZrB 2 , TaB 2 , NbB 2 , MoB 2 , and WB.

또한, 상기 펠리클 프레임은 SiC, Si3N4, Si, Al2O3, AlN, BN, W 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, the pellicle frame provides a method of manufacturing a pellicle for extreme ultraviolet lithography including at least one material selected from SiC, Si 3 N 4 , Si, Al 2 O 3 , AlN, BN, and W.

또한, 상기 d) 단계는 상기 펠리클 프레임을 상기 제1 캐핑층 위에 부착하는 단계인 극자외선 리소그라피용 펠리클의 제조방법을 제공한다. In addition, step d) provides a method of manufacturing a pellicle for extreme ultraviolet lithography, which is a step of attaching the pellicle frame on the first capping layer.

또한, 상기 d) 단계는 펠리클 프레임 층을 증착한 후 패터닝하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, step d) provides a method of manufacturing a pellicle for extreme ultraviolet lithography including the step of patterning after depositing a pellicle frame layer.

또한, 상기 a) 단계는 실리콘 기판 위에 산화 규소 층을 형성하는 단계를 더 포함하며, 상기 촉매 층은 상기 산화 규소 층 위에 형성되는 극자외선 리소그라피용 펠리클의 제조방법을 제공한다.In addition, step a) further includes forming a silicon oxide layer on the silicon substrate, and the catalyst layer is formed on the silicon oxide layer.

본 발명에 따른 극자외선 리소그라피용 펠리클의 제조방법에 따르면 캐핑 층과 그래핀 층 사이의 결합력이 개선된다. 또한, 그래핀 층의 전사 과정이 필요하지 않기 때문에 공정이 간소화된다.According to the manufacturing method of the pellicle for extreme ultraviolet lithography according to the present invention, the bonding force between the capping layer and the graphene layer is improved. In addition, since the transfer process of the graphene layer is not required, the process is simplified.

도 1은 본 발명의 일실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다.
도 2a 내지 2f는 도 1에 도시된 실시예의 각 단계를 설명하기 위한 도면이다.
도 3은 본 발명의 다른 실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다.
도 4는 도 3에 도시된 극자외선 리소그라피용 펠리클의 제조방법에 의한 극자외선 리소그라피용 펠리클의 개념도이다.
1 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to an embodiment of the present invention.
2a to 2f are diagrams for explaining each step of the embodiment shown in FIG. 1 .
3 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to another embodiment of the present invention.
FIG. 4 is a conceptual diagram of a pellicle for extreme ultraviolet lithography by the manufacturing method of the pellicle for extreme ultraviolet lithography shown in FIG. 3 .

이하, 첨부된 도면을 참고하여 본 발명에 대해서 상세히 설명한다. 다음에 소개되는 실시예는 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 예로서 제공되는 것이다. 따라서, 본 발명은 이하 설명되는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 그리고 도면들에서, 구성요소의 폭, 길이, 두께 등은 편의를 위하여 과장되어 표현될 수 있다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.Hereinafter, the present invention will be described in detail with reference to the accompanying drawings. The embodiments introduced below are provided as examples to sufficiently convey the spirit of the present invention to those skilled in the art. Accordingly, the present invention may be embodied in other forms without being limited to the embodiments described below. And in the drawings, the width, length, thickness, etc. of components may be exaggerated for convenience. Like reference numbers indicate like elements throughout the specification.

본 명세서에서 어떤 층이나 면 "위에" 형성 또는 도포된다는 것은 어떤 층이나 면의 바로 위에 형성되는 것을 지칭하거나, 어떤 층이나 면 상에 형성된 중간층 또는 중간층들 위에 형성되는 것을 지칭할 수 있다.In this specification, being formed or applied "on" a layer or surface may refer to being formed directly on a certain layer or surface, or may refer to being formed over an intermediate layer or intermediate layers formed on a certain layer or surface.

도 1은 본 발명의 일실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다. 도 1에 도시된 바와 같이, 본 발명에 일실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법은 실리콘 기판 위에 촉매 층을 형성하는 단계(S1)와, 촉매 층 위에 그래핀 층을 형성하는 단계(S2)와, 그래핀 층의 제1 면 위에 제1 캐핑 층을 형성하는 단계(S3)와, 제1 캐핑 층 위에 펠리클 프레임을 형성하는 단계(S4)와, 촉매 층과 실리콘 기판을 제거하여 그래핀 층의 제1 면의 반대면인 제2 면을 노출시키는 단계(S5)와, 그래핀 층의 제2 면 위에 제2 캐핑 층을 형성하는 단계(S6)를 포함한다.1 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to an embodiment of the present invention. As shown in FIG. 1, a method of manufacturing a pellicle for extreme ultraviolet lithography according to an embodiment of the present invention includes forming a catalyst layer on a silicon substrate (S1), and forming a graphene layer on the catalyst layer (S1). S2), forming a first capping layer on the first surface of the graphene layer (S3), forming a pellicle frame on the first capping layer (S4), removing the catalyst layer and the silicon substrate, Exposing a second surface opposite to the first surface of the fin layer (S5), and forming a second capping layer on the second surface of the graphene layer (S6).

이하, 도 2를 참고하여, 각각의 단계에 대해서 설명한다.Hereinafter, each step will be described with reference to FIG. 2 .

먼저, 도 2의 (a)에 도시된 바와 같이, 실리콘 기판(1) 위에 촉매 층(3)을 형성한다(S1). 실리콘 기판(1) 위에 직접 촉매 층(3)을 형성할 수도 있으며, 도 2의 (a)에 도시된 바와 같이, 먼저 실리콘 기판(1) 위에 산화 규소 층(2)을 형성한 후 산화 규소 층(2) 위에 촉매 층(3)을 형성할 수도 있다.First, as shown in (a) of FIG. 2, a catalyst layer 3 is formed on a silicon substrate 1 (S1). The catalyst layer 3 may be formed directly on the silicon substrate 1, and as shown in FIG. A catalyst layer (3) may be formed on (2).

촉매 층(3)으로는 니켈, 코발트, 크롬, 루테늄, 백금, 몰리브덴 또는 이들의 합금 등을 사용할 수 있다. 바람직하게는 촉매 층(3)은 니켈 구리 합금 층일 수 있다. 니켈은 탄소 용해도가 높으며, 구리는 탄소 용해도가 낮고 에칭이 용이하므로, 니켈과 구리의 함량을 조절하면 생성되는 그래핀 층(15)의 두께와 촉매 층(3)의 에칭 속도를 조절할 수 있다. 촉매 층(3)은 스퍼터링이나 진공증착 방법 등의 방법으로 형성할 수 있다. Nickel, cobalt, chromium, ruthenium, platinum, molybdenum, or an alloy thereof may be used as the catalyst layer 3 . Preferably the catalyst layer 3 may be a nickel copper alloy layer. Since nickel has high carbon solubility and copper has low carbon solubility and is easy to etch, the thickness of the graphene layer 15 and the etching rate of the catalyst layer 3 can be controlled by adjusting the contents of nickel and copper. The catalyst layer 3 can be formed by a method such as sputtering or vacuum deposition.

다음, 도 2의 (b)에 도시된 바와 같이, 촉매 층(3) 위에 그래핀 층(4)을 형성한다(S2).Next, as shown in (b) of FIG. 2, a graphene layer 4 is formed on the catalyst layer 3 (S2).

그래핀 층(4)은 탄소 전구체를 촉매 층(3)에 흡착 분해시켜 탄소 원자로 분리시키고, 탄소 원자들이 서로 결합하도록 함으로써 그래핀을 성장시키는 화학기상증착(CVD) 방법으로 형성할 수 있다.The graphene layer 4 may be formed by a chemical vapor deposition (CVD) method in which graphene is grown by adsorbing and decomposing a carbon precursor to the catalyst layer 3 to separate the carbon atoms into carbon atoms and bonding the carbon atoms to each other.

다음, 도 2의 (c)에 도시된 바와 같이, 그래핀 층(4)의 제1 면 위에 제1 캐핑 층(5)을 형성한다(S3).Next, as shown in (c) of FIG. 2, a first capping layer 5 is formed on the first surface of the graphene layer 4 (S3).

제1 캐핑 층(5)은 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함한다. 제1 캐핑 층(5)은 단일 층으로 구성될 수도 있으며, 순차적으로 적층되는 복수의 층들로 구성될 수도 있다.The first capping layer 5 is SiC, SiO 2 , Si x N y (x and y are integers, x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y, YSi 2 , TiSi 2 , TiC, It includes at least one material selected from TiB 2 , Ru, Nd, Be, La, LaB 2 , and LaC. The first capping layer 5 may be composed of a single layer or may be composed of a plurality of layers sequentially stacked.

제1 캐핑 층(5)은 습식 에칭 액과 수소 라디칼로부터 그래핀 층(4)을 보호하는 역할을 한다. 제1 캐핑 층(5)은 CVD나 PVD 공정, 예를 들어, 저압 화학 증착(LPCVD) 공정, 원자층 증착(Atomic layer doposition, ALD) 공정, 스퍼터링 공정, 진공 증착 공정 등을 통해서 증착하는 방법으로 형성할 수 있다.The first capping layer 5 serves to protect the graphene layer 4 from wet etching liquid and hydrogen radicals. The first capping layer 5 is deposited through a CVD or PVD process, for example, a low pressure chemical vapor deposition (LPCVD) process, an atomic layer deposition (ALD) process, a sputtering process, a vacuum deposition process, and the like. can form

다음, 도 2의 (d)에 도시된 바와 같이, 제1 캐핑 층(5) 위에 펠리클 프레임(6)을 형성한다(S4).Next, as shown in (d) of FIG. 2, a pellicle frame 6 is formed on the first capping layer 5 (S4).

펠리클 프레임(6)은 미리 가공된 펠리클 프레임(6)을 접착제를 이용하여 제1 캐핑 층(5) 위에 부착하는 방법으로 형성할 수 있다.The pellicle frame 6 may be formed by attaching a previously processed pellicle frame 6 to the first capping layer 5 using an adhesive.

또한, 제1 캐핑 층(5) 위에 펠리클 프레임 층을 증착한 후에 패터닝하여 펠리클 프레임(6)을 형성할 수도 있다. 펠리클 프레임 층은 복수의 층으로 이루어질 수도 있다.In addition, the pellicle frame 6 may be formed by depositing a pellicle frame layer on the first capping layer 5 and then patterning the pellicle frame layer. The pellicle frame layer may consist of a plurality of layers.

또한, 제1 캐핑 층(5) 위에 제1 펠리클 프레임 층을 증착한 후에 패터닝하여 제1 펠리클 프레임을 형성하고, 여기에 접착제를 이용하여 제2 펠리클 프레임을 부착하는 방법으로 형성할 수도 있다.In addition, the first pellicle frame layer may be deposited on the first capping layer 5 and then patterned to form the first pellicle frame, and the second pellicle frame may be attached thereto using an adhesive.

펠리클 프레임(6)은 열팽창 계수가 10×10-6(1/K) 이하인 것이 바람직하다. The pellicle frame 6 preferably has a thermal expansion coefficient of 10×10 -6 (1/K) or less.

예를 들어, 펠리클 프레임(6)은 TiC, ZrC, NbC, TaC, Mo2C, WC, W2C 중에서 선택된 적어도 하나의 탄화물을 포함할 수 있다. 또한, TiN, ZrN, HfN, TaN 중에서 선택된 적어도 하나의 질화물을 포함할 수 있다. 또한, TiSi2, ZrSi2, NbSi2, TaSi2, MoSi2, WSi2 중에서 선택된 적어도 하나의 규화물을 포함할 수 있다. 또한, 상기 펠리클 프레임은 HfB2, ZrB2, TaB2, NbB2, MoB2, WB 중에서 선택된 적어도 하나의 붕화물을 포함할 수 있다. 또한, SiC, Si3N4, Si, Al2O3, AlN, BN, W 중에서 선택된 적어도 하나의 물질을 포함할 수 있다.For example, the pellicle frame 6 may include at least one carbide selected from TiC, ZrC, NbC, TaC, Mo 2 C, WC, and W 2 C. In addition, at least one nitride selected from TiN, ZrN, HfN, and TaN may be included. In addition, at least one silicide selected from TiSi 2 , ZrSi 2 , NbSi 2 , TaSi 2 , MoSi 2 , and WSi 2 may be included. In addition, the pellicle frame may include at least one boride selected from HfB 2 , ZrB 2 , TaB 2 , NbB 2 , MoB 2 , and WB. In addition, at least one material selected from SiC, Si 3 N 4 , Si, Al 2 O 3 , AlN, BN, and W may be included.

다음, 도 2의 (e)에 도시된 바와 같이, 촉매 층(3)과 실리콘 기판(1)을 제거하여 그래핀 층(4)의 제1 면의 반대면인 제2 면을 노출시킨다(S5).Next, as shown in (e) of FIG. 2, the second surface opposite to the first surface of the graphene layer 4 is exposed by removing the catalyst layer 3 and the silicon substrate 1 (S5 ).

본 단계는 촉매 층(3)을 에칭하여 촉매 층(3)과 실리콘 기판(1)을 한꺼번에 제거하는 단계일 수 있다. 또한, 실리콘 기판(1) 위에 형성된 산화 규소 층(2)을 제거하여 산화 규소 층(2)과 실리콘 기판(1)을 제거한 후 촉매 층(3)을 따로 제거하는 단계일 수도 있다.This step may be a step of removing the catalyst layer 3 and the silicon substrate 1 at once by etching the catalyst layer 3 . In addition, the silicon oxide layer 2 formed on the silicon substrate 1 may be removed to remove the silicon oxide layer 2 and the silicon substrate 1, and then the catalyst layer 3 may be separately removed.

다음, 도 2의 (f)에 도시된 바와 같이, 그래핀 층(4)의 제2 면 위에 제2 캐핑 층(7)을 형성한다(S6).Next, as shown in (f) of FIG. 2, a second capping layer 7 is formed on the second surface of the graphene layer 4 (S6).

제2 캐핑 층(7)은 제1 캐핑 층(5)과 마찬가지로 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함한다. 제2 캐핑 층(7)은 단일 층으로 구성될 수도 있으며, 순차적으로 적층되는 복수의 층들로 구성될 수도 있다.Like the first capping layer 5, the second capping layer 7 is SiC, SiO 2 , Si x N y (x and y are integers, x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y , YSi 2 , TiSi 2 , TiC, TiB 2 , Ru, Nd, Be, La, LaB 2 , and includes at least one material selected from LaC. The second capping layer 7 may be composed of a single layer or may be composed of a plurality of layers sequentially stacked.

제2 캐핑 층(7)은 CVD나 PVD 공정, 예를 들어, 저압 화학 증착(LPCVD) 공정, 원자층 증착(Atomic layer doposition, ALD) 공정, 스퍼터링 공정, 진공 증착 공정 등을 통해서 증착하는 방법으로 형성할 수 있다.The second capping layer 7 is deposited through a CVD or PVD process, for example, a low pressure chemical vapor deposition (LPCVD) process, an atomic layer deposition (ALD) process, a sputtering process, a vacuum deposition process, etc. can form

도 3은 본 발명의 다른 실시예에 따른 극자외선 리소그라피용 펠리클의 제조방법의 순서도이다.3 is a flowchart of a method of manufacturing a pellicle for extreme ultraviolet lithography according to another embodiment of the present invention.

도 3에 도시된 바와 같이, 본 실시예는 제1 캐핑 층을 에칭하여 제1 캐핑 층의 두께를 조절하는 단계(S17)를 더 포함한다는 점에서도 도 1에 도시된 실시예와 차이가 있다. 제1 캐핑 층은 극자외선에 대한 투과율일 낮기 때문에 최대한 얇게 형성하는 것이 바람직하다.As shown in FIG. 3 , the present embodiment is different from the embodiment shown in FIG. 1 in that it further includes a step ( S17 ) of adjusting the thickness of the first capping layer by etching the first capping layer. Since the transmittance of the first capping layer to extreme ultraviolet is low, it is preferable to form the first capping layer as thin as possible.

도 4는 도 3에 도시된 극자외선 리소그라피용 펠리클의 제조방법에 의한 극자외선 리소그라피용 펠리클의 개념도이다.FIG. 4 is a conceptual diagram of a pellicle for extreme ultraviolet lithography by the manufacturing method of the pellicle for extreme ultraviolet lithography shown in FIG. 3 .

도 4에 도시된 바와 같이, 본 실시예에 따른 극자외선 리소그라피용 펠리클은 제1 캐핑 층(15)의 중심부가 에칭되어 다른 부분에 비해서 두께가 얇다는 점에서 도 2의 (f)에 도시된 극자외선 리소그라피용 펠리클과 차이가 있다.As shown in FIG. 4, the pellicle for extreme ultraviolet lithography according to the present embodiment is etched at the center of the first capping layer 15 and has a thinner thickness than other portions, which is shown in (f) of FIG. It is different from the pellicle for extreme ultraviolet lithography.

이상에서는 본 발명의 바람직한 실시 예에 대하여 도시하고 설명하였지만, 본 발명은 상술한 특정의 실시 예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 다양한 변형실시가 가능한 것은 물론이고, 이러한 변형실시들은 본 발명의 기술적 사상이나 전망으로부터 개별적으로 이해되어서는 안 될 것이다.Although the preferred embodiments of the present invention have been shown and described above, the present invention is not limited to the specific embodiments described above, and is common in the art to which the present invention pertains without departing from the gist of the present invention claimed in the claims. Of course, various modifications and implementations are possible by those with knowledge of, and these modifications should not be individually understood from the technical spirit or perspective of the present invention.

1: 실리콘 기판
2: 산화 규소 층
3: 촉매 층
4, 14: 그래핀 층
5, 15: 제1 캐핑 층
6, 16: 펠리클 프레임
7, 17: 제2 캐핑 층
1: silicon substrate
2: silicon oxide layer
3: catalyst layer
4, 14: graphene layer
5, 15: first capping layer
6, 16: pellicle frame
7, 17: second capping layer

Claims (12)

a) 실리콘 기판 위에 촉매 층을 형성하는 단계와,
b) 상기 촉매 층 위에 그래핀 층을 형성하는 단계와,
c) 상기 그래핀 층의 제1 면 위에 제1 캐핑 층을 형성하는 단계와,
d) 상기 제1 캐핑 층 위에 펠리클 프레임을 형성하는 단계와,
e) 상기 촉매 층과 상기 실리콘 기판을 제거하여 상기 그래핀 층의 제1 면의 반대면인 제2 면을 노출시키는 단계와,
f) 상기 그래핀 층의 제2 면 위에 제2 캐핑 층을 형성하는 단계와,
g) 상기 제1 캐핑 층의 노출된 부분을 에칭하여 상기 제1 캐핑 층의 두께를 얇게 하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
a) forming a catalyst layer on a silicon substrate;
b) forming a graphene layer on the catalyst layer;
c) forming a first capping layer on the first side of the graphene layer;
d) forming a pellicle frame over the first capping layer;
e) exposing a second surface opposite to the first surface of the graphene layer by removing the catalyst layer and the silicon substrate;
f) forming a second capping layer on the second side of the graphene layer;
g) etching the exposed portion of the first capping layer to thin the first capping layer; and manufacturing a pellicle for extreme ultraviolet lithography.
삭제delete 제1항에 있어서,
상기 e) 단계는 상기 촉매 층을 에칭하여 제거하는 단계인 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
Step e) is a method of manufacturing a pellicle for extreme ultraviolet lithography, which is a step of removing the catalyst layer by etching.
제1항에 있어서,
상기 제1 캐핑 층 또는 제2 캐핑 층은 SiC, SiO2, SixNy(x와 y는 정수이며, x/y = 0.7~1.5), Mo, Mo2B, MoB2, Mo2B5, Mo2C, MoC, MoSi2, Nb, NbC, NbB2, NbSi2, La, Zr, ZrC, ZrN, ZrB2, ZrO2, ZrSi2, B, B4C, Y, YSi2, TiSi2, TiC, TiB2, Ru, Nd, Be, La, LaB2, LaC 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The first capping layer or the second capping layer may be SiC, SiO 2 , Si x N y (x and y are integers, and x/y = 0.7 to 1.5), Mo, Mo 2 B, MoB 2 , Mo 2 B 5 , Mo 2 C, MoC, MoSi 2 , Nb, NbC, NbB 2 , NbSi 2 , La, Zr, ZrC, ZrN, ZrB 2 , ZrO 2 , ZrSi 2 , B, B 4 C, Y, YSi 2 , TiSi 2 , TiC, TiB 2 , Ru, Nd, Be, La, LaB 2 , A method of manufacturing a pellicle for extreme ultraviolet lithography containing at least one material selected from LaC.
제1항에 있어서,
상기 펠리클 프레임은 TiC, ZrC, NbC, TaC, Mo2C, WC, W2C 중에서 선택된 적어도 하나의 탄화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is a method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one carbide selected from TiC, ZrC, NbC, TaC, Mo 2 C, WC, and W 2 C.
제1항에 있어서,
상기 펠리클 프레임은 TiN, ZrN, HfN, TaN 중에서 선택된 적어도 하나의 질화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The method of manufacturing a pellicle for extreme ultraviolet lithography, wherein the pellicle frame includes at least one nitride selected from TiN, ZrN, HfN, and TaN.
제1항에 있어서,
상기 펠리클 프레임은 TiSi2, ZrSi2, NbSi2, TaSi2, MoSi2, WSi2 중에서 선택된 적어도 하나의 규화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is a manufacturing method of a pellicle for extreme ultraviolet lithography comprising at least one silicide selected from TiSi 2 , ZrSi 2 , NbSi 2 , TaSi 2 , MoSi 2 , and WSi 2 .
제1항에 있어서,
상기 펠리클 프레임은 HfB2, ZrB2, TaB2, NbB2, MoB2, WB 중에서 선택된 적어도 하나의 붕화물을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is HfB 2 , ZrB 2 , TaB 2 , NbB 2 , MoB 2 , Method of manufacturing a pellicle for extreme ultraviolet lithography containing at least one boride selected from WB.
제1항에 있어서,
상기 펠리클 프레임은 SiC, Si3N4, Si, Al2O3, AlN, BN, W 중에서 선택된 적어도 하나의 물질을 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
The pellicle frame is a method of manufacturing a pellicle for extreme ultraviolet lithography comprising at least one material selected from SiC, Si 3 N 4 , Si, Al 2 O 3 , AlN, BN, and W.
제1항에 있어서,
상기 d) 단계는 상기 펠리클 프레임을 상기 제1 캐핑 층 위에 부착하는 단계인 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
Wherein step d) is a step of attaching the pellicle frame on the first capping layer.
제1항에 있어서,
상기 d) 단계는 펠리클 프레임 층을 증착한 후 패터닝하는 단계를 포함하는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
Step d) is a method of manufacturing a pellicle for extreme ultraviolet lithography comprising the step of patterning after depositing a pellicle frame layer.
제1항에 있어서,
상기 a) 단계는 실리콘 기판 위에 산화 규소 층을 형성하는 단계를 더 포함하며, 상기 촉매 층은 상기 산화 규소 층 위에 형성되는 극자외선 리소그라피용 펠리클의 제조방법.
According to claim 1,
Step a) further comprises forming a silicon oxide layer on a silicon substrate, and the catalyst layer is formed on the silicon oxide layer.
KR1020200155255A 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography KR102546968B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200155255A KR102546968B1 (en) 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200155255A KR102546968B1 (en) 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Publications (2)

Publication Number Publication Date
KR20220068457A KR20220068457A (en) 2022-05-26
KR102546968B1 true KR102546968B1 (en) 2023-06-23

Family

ID=81808977

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200155255A KR102546968B1 (en) 2020-11-19 2020-11-19 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Country Status (1)

Country Link
KR (1) KR102546968B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017076024A (en) * 2015-10-14 2017-04-20 日本特殊陶業株式会社 Pellicle frame and manufacturing method of pellicle frame
KR101900720B1 (en) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723704B2 (en) 2006-11-10 2010-05-25 Globalfoundries Inc. EUV pellicle with increased EUV light transmittance
JP4934099B2 (en) 2008-05-22 2012-05-16 信越化学工業株式会社 Pellicle and method for manufacturing pellicle
KR101303795B1 (en) 2011-12-26 2013-09-04 주식회사 에프에스티 EUV pellicle and manufacturing method of the same
KR101552940B1 (en) 2013-12-17 2015-09-14 삼성전자주식회사 Pellicle film for extreme ultraviolet lithography including graphite-containing thin film
KR102251999B1 (en) 2015-01-09 2021-05-17 삼성전자주식회사 Pellicle and method of manufacturing the same
EP3477387A4 (en) 2016-06-28 2020-03-11 Mitsui Chemicals, Inc. Pellicle film, pellicle frame body, pellicle, and method for manufacturing pellicle
JP2018077412A (en) * 2016-11-11 2018-05-17 信越化学工業株式会社 Method for producing graphene film and method for producing pellicle using the same
KR102293215B1 (en) * 2017-03-27 2021-08-24 삼성전자주식회사 Method of manufacturing pellicle and apparatus for assembling pellicle
KR101940791B1 (en) 2017-05-19 2019-01-21 주식회사 에프에스티 Manufacturing method of EUV pellicle using organic sacrifice substrate
KR20200130289A (en) * 2018-03-09 2020-11-18 에이에스엠엘 네델란즈 비.브이. Graphene pellicle lithography apparatus
KR20190107603A (en) 2019-04-22 2019-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017076024A (en) * 2015-10-14 2017-04-20 日本特殊陶業株式会社 Pellicle frame and manufacturing method of pellicle frame
KR101900720B1 (en) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Also Published As

Publication number Publication date
KR20220068457A (en) 2022-05-26

Similar Documents

Publication Publication Date Title
CN109765752B (en) Pellicle for EUV lithography and method for producing same
JP5671202B2 (en) How to double the frequency using a photoresist template mask
KR102482649B1 (en) Method for fabricating a pellicle for EUV(extreme ultraviolet) lithography
KR101981950B1 (en) Pellicle for Extreme Ultraviolet Lithography
US20210333717A1 (en) Extreme ultraviolet mask and method of manufacturing the same
US11789359B2 (en) Method for manufacturing pellicle for extreme ultraviolet lithography having graphene defect healing layer
KR102546968B1 (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR102349295B1 (en) Pellicle film with Carbyne layer for EUV(extreme ultraviolet) lithography and method for fabricating the same
US6897140B2 (en) Fabrication of structures of metal/semiconductor compound by X-ray/EUV projection lithography
KR102514745B1 (en) Pellicle for EUV(extreme ultraviolet) lithography and method for fabricating the same
TWI228756B (en) Electron beam mask substrate, electron beam mask blank, electron beam mask, and fabrication method thereof
KR102624936B1 (en) Pellicle Frame for EUV(extreme ultraviolet) Lithography and Sealing Material for Pellicle Frame for EUV(extreme ultraviolet) Lithography
KR102282273B1 (en) Method for fabricating a pellicle for EUV(extreme ultraviolet) lithography
KR20200124556A (en) Methods of manufacturing pellicle assembly and photomask assembly
KR20230073539A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR20230125966A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR20230029242A (en) Pellicle for EUV(extreme ultraviolet) Lithography and Method for Fabricating of the Same
KR20210030621A (en) EUV pellicle with silicon carbide layer and manufacturing method of silicon carbide layer
KR20230174998A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR102482650B1 (en) Pellicle film with BN nano structure layer for EUV(extreme ultraviolet) lithography and method for fabricating the same
JP7428745B2 (en) Pellicle for extreme ultraviolet exposure containing molybdenum carbide
KR20230007668A (en) Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography
KR20220080395A (en) Pellicle Frame for EUV(extreme ultraviolet) Lithography
KR20220129343A (en) Pellicle for EUV(extreme ultraviolet) Lithography
KR102207851B1 (en) Fine metal mask and method of manufacturing pellicle for EUV lithography using the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant