KR20210141870A - 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법 - Google Patents

웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법 Download PDF

Info

Publication number
KR20210141870A
KR20210141870A KR1020200057815A KR20200057815A KR20210141870A KR 20210141870 A KR20210141870 A KR 20210141870A KR 1020200057815 A KR1020200057815 A KR 1020200057815A KR 20200057815 A KR20200057815 A KR 20200057815A KR 20210141870 A KR20210141870 A KR 20210141870A
Authority
KR
South Korea
Prior art keywords
laser beam
wafer
pulses
laser
pulse
Prior art date
Application number
KR1020200057815A
Other languages
English (en)
Inventor
권영철
한만희
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020200057815A priority Critical patent/KR20210141870A/ko
Priority to US17/139,515 priority patent/US11883905B2/en
Publication of KR20210141870A publication Critical patent/KR20210141870A/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/50Working by transmitting the laser beam through or within the workpiece
    • B23K26/53Working by transmitting the laser beam through or within the workpiece for modifying or reforming the material inside the workpiece, e.g. for producing break initiation cracks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • B23K26/0624Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses using ultrashort pulses, i.e. pulses of 1ns or less
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0665Shaping the laser beam, e.g. by masks or multi-focusing by beam condensation on the workpiece, e.g. for focusing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/70Auxiliary operations or equipment
    • B23K26/702Auxiliary equipment
    • B23K26/705Beam measuring device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B19/00Condensers, e.g. light collectors or similar non-imaging optics
    • G02B19/0033Condensers, e.g. light collectors or similar non-imaging optics characterised by the use
    • G02B19/0047Condensers, e.g. light collectors or similar non-imaging optics characterised by the use for use with a light source
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/23Arrangements of two or more lasers not provided for in groups H01S3/02 - H01S3/22, e.g. tandem arrangements of separate active media
    • H01S3/2308Amplifier arrangements, e.g. MOPA
    • H01S3/2316Cascaded amplifiers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B19/00Condensers, e.g. light collectors or similar non-imaging optics
    • G02B19/0004Condensers, e.g. light collectors or similar non-imaging optics characterised by the optical means employed
    • G02B19/0009Condensers, e.g. light collectors or similar non-imaging optics characterised by the optical means employed having refractive surfaces only
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/005Optical devices external to the laser cavity, specially adapted for lasers, e.g. for homogenisation of the beam or for manipulating laser pulses, e.g. pulse shaping
    • H01S3/0085Modulating the output, i.e. the laser beam is modulated outside the laser cavity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/05Construction or shape of optical resonators; Accommodation of active medium therein; Shape of active medium
    • H01S3/06Construction or shape of active medium
    • H01S3/063Waveguide lasers, i.e. whereby the dimensions of the waveguide are of the order of the light wavelength
    • H01S3/067Fibre lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/05Construction or shape of optical resonators; Accommodation of active medium therein; Shape of active medium
    • H01S3/06Construction or shape of active medium
    • H01S3/063Waveguide lasers, i.e. whereby the dimensions of the waveguide are of the order of the light wavelength
    • H01S3/067Fibre lasers
    • H01S3/06754Fibre amplifiers
    • H01S3/06758Tandem amplifiers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/10Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
    • H01S3/13Stabilisation of laser output parameters, e.g. frequency or amplitude
    • H01S3/1305Feedback control systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Dicing (AREA)
  • Laser Beam Processing (AREA)

Abstract

본 발명의 기술적 사상에 따른 예시적인 실시예들에 따르면, 웨이퍼 처리 장치가 제공된다. 상기 장치는 레이저 빔을 생성하는 레이저; 상기 레이저 빔을 기판의 내부 공간에 포커스 시키도록 구성된 포커싱 렌즈 광학계; 상기 레이저에 구동 전력을 공급하도록 구성된 임의 파형 생성기; 및 상기 임의 파형 생성기를 제어하도록 구성된 컨트롤러를 포함하되, 상기 레이저 빔은 상기 레이저로부터 순차적으로 방출되는 복수의 펄스들을 포함하고, 상기 복수의 펄스들 각각은 비-가우시안(non-gaussian) 펄스일 수 있다.

Description

웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법{Wafer processing apparatus and semiconductor device manufacturing method using same}
본 발명은 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법에 관한 것으로서, 보다 구체적으로는, 스텔스 다이싱 공정을 수행하도록 구성된 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법에 관한 것이다.
레이저 가공 공정은 가공물의 표면에 레이저 빔을 주사하여 가공물 표면의 형상이나 물리적 성질 등을 가공하는 공정을 말한다. 레이저 가공공정은, 예컨대, 가공물의 표면상에 패턴을 형성하는 패터닝 공정, 웨이퍼 어닐링과 같이 가공물의 물성을 변형시키는 공정, 가열 용융을 통한 가공물의 형상을 변경하는 성형 공정 및 가열 용융을 통해 가공물을 복수의 단위체로 절단하는 절단 공정 등을 포함한다.
종래의 레이저 빔을 이용한 절단 공정은, 흡수율이 높은 파장 대역의 레이저 광을 가공물에 조사하여 가공물을 가열 용융을 시킴으로써 가공물을 절단한다. 웨이퍼를 용융 절단하는 경우, 절단 영역뿐만 아니라 그 주변 영역도 용융되어 웨이퍼에 형성된 반도체 소자 중 일부가 손상되는 문제가 있다.
이러한 문제점 해결을 위해, 가공물의 내부에 레이저 빔을 포커싱하여 내부균열을 유도하는 스텔스 다이싱 기술이 사용되고 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는, 신뢰성이 제고된 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법을 제공하는 것이다.
본 발명의 기술적 사상이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따르면, 웨이퍼 처리 장치가 제공된다. 상기 장치는, 레이저 빔을 생성하는 레이저 장치; 상기 레이저 빔을 웨이퍼의 내부에 포커스 시키도록 구성된 포커싱 렌즈 광학계; 상기 레이저 장치에 구동 전력을 공급하도록 구성된 임의 파형 생성기; 및 상기 임의 파형 생성기를 제어하도록 구성된 컨트롤러를 포함하고, 상기 레이저 빔은 상기 레이저 장치로부터 순차적으로 방출되는 복수의 펄스들을 포함하고, 상기 복수의 펄스들 각각은 비-가우시안(non-gaussian) 펄스일 수 있다.
예시적인 실시예들에 따르면, 웨이퍼에 스텔스 다이싱 공정을 수행하도록 구성된 웨이퍼 처리 장치가 제공된다. 상기 장치는, 상기 웨이퍼 처리 장치는, 복수의 비-가우시안 펄스들로 구성된 레이저 빔을 출력하는 레이저 장치; 상기 레이저 빔을 웨이퍼의 내부에 포커스 시키도록 구성된 포커싱 렌즈 광학계; 및 상기 레이저 장치에 비정현 연속파 전력을 제공하도록 구성된 임의 파형 생성기를 포함할 수 있다.
예시적인 실시예들에 따른 웨이퍼 처리 장치는, 레이저 빔을 생성하는 레이저 장치; 상기 레이저 빔을 웨이퍼의 내부에 포커스 시키도록 구성된 포커싱 렌즈 광학계; 상기 레이저 장치에 구동 전력을 공급하도록 구성된 임의 파형 생성기; 및 상기 임의 파형 생성기를 제어하도록 구성된 컨트롤러를 포함하고, 상기 레이저 빔은 상기 레이저 장치로부터 순차적으로 방출되는 복수의 펄스들을 포함하고, 상기 복수의 펄스들 각각의 최고점의 세기의 10%로부터 90%까지 상승하는데 걸리는 상승 시간은, 복수의 펄스들 각각의 반치폭(FWHM)의 약 1% 이상, 50% 미만일 수 있다.
예시적인 실시예들에 따르면, 반도체 소자 제조 방법이 제공된다. 상기 방법은, 웨이퍼 상에 복수의 반도체 소자들을 형성하는 단계; 상기 웨이퍼 상에 정의되고, 상기 복수의 반도체 소자들 사이의 분리 영역인 스크라이브 레인을 따라, 상기 웨이퍼에 내부 균열을 형성하는 단계; 및 상기 복수의 반도체 소자들을 분리하는 단계를 포함하되, 상기 웨이퍼에 내부 균열을 형성하는 단계는, 상기 웨이퍼 내부에 포커싱된 레이저 빔을 조사하고, 상기 레이저 빔은 각각의 시작점으로부터 최고점까지의 시간 간격이, 상기 최고점으로부터 끝점까지의 시간 간격보다 더 작은 복수의 펄스들로 구성될 수 있다.
예시적인 실시예들에 따르면, 예컨대, 반치폭(Full with at Half Maximum)의 50 % 미만인 짧은 상승 시간을 갖는 펄스의 레이저 빔을 제공하는 웨이퍼 처리장치가 제공될 수 있다. 펄스의 짧은 상승 시간은 웨이퍼의 초점 근방의 온도를 효과적으로 상승시킬 수 있는바, 웨이퍼의 레이저 빔에 대한 흡수율이 증가할 수 있다. 증가된 흡수율은 웨이퍼 처리 장치의 내부 균열 형성 성능이 제고될 수 있고, 누설 빔에 의한 반도체 소자의 손상을 방지할 수 있다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 블록도이다.
도 2는 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 개략적인 도면이다.
도 3은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 그래프이다.
도 4a 내지 4c는 예시적인 실시예들에 따른 웨이퍼 처리 장치의 효과를 설명하기 위한 그래프들이다.
도 5a 내지 5c는 예시적인 실시예들에 따른 웨이퍼 처리 장치의 효과를 설명하기 위한 그래프들이다.
도 6a 및 도 6b는 다른 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 블록도들이다.
도 7a 내지 도 10은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 도면들이다.
도 11은 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
도 12a 내지 도 12c는 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 개략적인 도면들이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치(100a)를 설명하기 위한 블록도이다.
도 2는 예시적인 실시예들에 따른 웨이퍼 처리 장치(100a)를 설명하기 위한 개략적인 도면이다.
도 3은 예시적인 실시예들에 따른 웨이퍼 처리 장치(100a)를 설명하기 위한 그래프이다. 보다 구체적으로 도 3은, 도 1 및 도 2의 레이저 장치(120)에 의해 출력된 단일 펄스의 세기-시간 프로파일을 도시한다.
도 1 내지 도 3을 참조하면, 웨이퍼 처리 장치(100a)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160)를 포함할 수 있다.
웨이퍼 처리 장치(100a)는 스텔스 다이싱 공정을 수행할 수 있다. 스텔스 다이싱은 반도체 소자가 형성된 웨이퍼를 높은 정밀도와 높은 속도로 분리하는 공정이다. 스텔스 다이싱은 웨이퍼(W)를 투과할 수 있는 파장 대역(즉, 웨이퍼(W)의 흡수율이 낮은 파장 대역)의 레이저 빔(LB)을 웨이퍼(W)의 표면을 통해 웨이퍼(W) 내부의 한 곳에 포커싱 시키는 기술이다.
스텔스 다이싱 기술에서, 레이저 빔(LB)은 매우 짧은 시간 동안(예컨대, 1㎲ 이하) 지속되는 펄스로 반복 조사될 수 있으며, 웨이퍼(W) 상에 좁은 영역에 포커스 될 수 있다. 즉, 레이저 빔(LB)은 웨이퍼(W) 내부에 설정된 초점 근방에서, 공간적으로(포커싱을 통해) 및 시간적으로(펄싱을 통해) 예컨대, 약 1Х108 [W/cm2] 정도의 높은 피크 파워 밀도를 가질 수 있다. 높은 피크 파워 밀도의 레이저 빔(LB)은 초점 근방의 웨이퍼(W)에 대해 비선형 흡수 효과를 야기하며, 이에 따라 웨이퍼(W)의 표면을 투과한 레이저 빔(LB)이 웨이퍼(W) 내부의 초점 근방에서 높은 흡수율로 흡수될 수 있다. 따라서 웨이퍼(W) 내에 레이저 빔(LB)을 흡수한 부분에 고밀도 결함(예컨대, 전위)이 발생하게 되고, 웨이퍼(W)의 수직 균열을 용이하게 할 수 있다.
임의 파형 생성기(110)는, 외부 클록 신호에 기초하여 클럭 신호를 생성하는 장치로서, 클럭 오실레이터, 메모리 주소 컨트롤러, 파형 메모리, 시프트 레지스터, 아날로그 출력 회로 등을 포함할 수 있다.
일부 실시예들에 따르면, 임의 파형 생성기(110)는 컨트롤러(150)의 파형 생성 신호(WGS)에 따라, 구동 전류(DI)를 생성할 수 있다. 임의 파형 생성기(110)는 생성된 구동 전류(DI)를 주 공진기(121)에 공급할 수 있다. 일부 실시예들에 따르면, 구동 전류(DI)는 주 공진기(121)가 비-가우시안(non-gaussian) 펄스인 제1 레이저 빔(LB1)을 생성하도록, 비정현 연속파인 구동 전류(DI)를 공급할 수 있다.
일부 실시예들에 따르면, 레이저 장치(120)는 주공진기-파워증폭기(Master Oscillator and Power Amplifier, 이하 MOPA) 레이저일 수 있다. 레이저 장치(120)는 광섬유 레이저일 수 있다. 레이저 장치(120)에 포함된 주 공진기(121), 사전 증폭기(123) 및 주 증폭기(125)는 광섬유에 서로 커플링될 수 있다. 하지만 이에 제한되는 것은 아니고, 레이저 장치(120)는 고체 벌크 레이저 및 벌크 증폭기로 구성된 MOPA 레이저이거나, 동조 가능한(tunable) 외부 캐비티 다이오드 레이저 및 반도체 광 증폭기로 구성된 MOPA 레이저일 수도 있다.
일부 실시예들에 따르면, 주 공진기(121)는 이터븀(Yb), 에르븀(Er), 툴륨(Tm) 및 홀뮴(Ho) 중 어느 하나가 도핑된 광섬유 레이저를 포함할 수 있다. 일부 실시예들에 따르면, 주 공진기(121)는 약 0.8㎛ 내지 약 1.4㎛의 파장을 갖는 제1 레이저 빔(LB1)을 생성할 수 있다. 일부 실시예들에 따르면, 제1 레이저 빔(LB1), 제2 레이저 빔(LB2) 및 레이저 빔(LB)은 약 1064㎛의 파장을 가질 수 있다.
일부 실시예들에 따르면, 주 공진기(121)는 Q 스위칭 방식으로 동작할 수 있다. 레이저 장치(120)는 수백 kHz의 펄스 주파수로 제1 레이저 빔(LB1)을 생성할 수 있다. 하지만 이에 제한되는 것은 아니고, 일부 실시예들에 따르면, 주 공진기(121)는 모드 잠금(Mode-locking) 방식으로 동작할 수도 있다.
주 공진기(121)는 시드(seed) 레이저 다이오드, 이득 매질을 포함하는 광섬유, 제1 레이저 빔을 공진시키기 위해 서로 대향하는 제1 및 제2 미러들을 포함할 수 있다. 시드 레이저 다이오드는 순방향 반도체 접합을 능동 매질로 사용하여 레이저를 발생시키는 다이오드일 수 있다. 시드 레이저 다이오드에 전류가 공급되면 반도체 접합에서 고 에너지 레벨 준위의 밀도와 저 에너지 레벨 준위의 밀도 사이의 반전이 일어나면서 광이 방출될 수 있다.
시드 레이저 다이오드에서 방출된 광은 이득 매질을 포함하는 광섬유에 대한 펌핑 에너지로 사용될 수 있다. 시드 레이저 다이오드가 복수 개로 구성되는 경우, 복수의 시드 레이저 다이오드와 광섬유 사이에 펌프-신호 결합장치가 제공될 수 있다. 펌프-신호 결합장치는 복수의 시드 레이저 다이오드로부터 출력되는 광 신호들을 하나로 결합하여 이득 매질을 포함하는 광섬유에 전달할 수 있다.
광섬유의 이득 매질에서 자발 방출된 또는 유도 방출된 광은 대부분 방향성이 약할 수 있다. 제1 및 제2 미러들은 이득 매질로부터 방출된 광을 이득 매질로 재 반사시킴으로써, 이득 물질의 유도 방출이 반복되는 공진이 발생할 수 있다. 제1 및 제2 미러 사이에서 반복적으로 반사되는 광들 중 일부가 제2 미러를 통과하여 제1 레이저 빔(LB1)으로 출력될 수 있다. 제1 레이저 빔(LB1)은 결맞은(coherent) 광일 수 있다.
주 공진기(121)는 제1 레이저 빔(LB1)의 세기-시간 프로파일을 조절하기 위한 광 변조기를 더 포함할 수 있다. 광 변조기는 제1 레이저 빔(LB1)을 통과시키거나 차폐시킬 수 있는 조리개를 포함할 수 있고, 조리개를 통과하는 제1 레이저 빔(LB1)의 투과율을 조절함에 따라 제1 레이저 빔의 펄스의 세기-시간 프로파일을 조절할 수 있다.
사전 증폭기(123)는 제1 펌프 레이저 다이오드를 포함할 수 있고 주 증폭기(125)는 제2 펌프 레이저 다이오드를 포함할 수 있다. 일부 실시예들에 따르면, 사전 증폭기(123)에 포함된 제1 펌프 레이저 다이오드는 복수로 제공될 수 있다. 일부 실시예들에 따르면, 주 증폭기(125)에 포함된 제2 펌프 레이저 다이오드는 복수로 제공될 수 있다.
사전 증폭기(123)는 제1 레이저 빔(LB1)을 증폭하여 제2 레이저 빔(LB2)을 출력할 수 있다. 제2 레이저 빔(LB2)은 제1 레이저 빔(LB1)과 동일한 파장을 가질 수 있다. 주 증폭기(125)는 제2 레이저 빔(LB2)을 증폭하여 레이저 빔(LB)을 출력할 수 있다. 레이저 빔(LB)은 제2 레이저 빔(LB2)과 동일한 파장을 가질 수 있다.
제1 레이저 빔(LB1), 제2 레이저 빔(LB2) 및 레이저 빔(LB)은 증폭비 조절에 의해 동일한 세기-시간 프로파일을 갖게 될 수 있다. 예컨대, 제1 레이저 빔(LB1), 제2 레이저 빔(LB2) 및 레이저 빔(LB)은 실질적으로 동일한 펄스 폭, 첨도(kurtosis), 및 왜도(skewness)를 가질 수 있다. 하지만 이에 제한되는 것은 아니고, 제1 및 제2 레이저 빔들(LB1, LB2) 중 어느 하나가 레이저 빔(LB)과 다른 펄스 폭, 첨도, 및 왜도를 가질 수도 있다.
사전 증폭기(123)에 포함된 제1 펌프 레이저 다이오드는 제1 펌프 레이저 빔을 생성할 수 있다. 주 증폭기(125)에 포함된 제2 펌프 레이저 다이오드는 제2 펌프 레이저 빔을 생성할 수 있다. 제1 펌프 레이저 빔은 광 결합기에 의해 제1 레이저 빔(LB1)의 광 경로에 합류할 수 있고, 제2 펌프 레이저 빔은 광 결합기에 의해 제2 레이저 빔(LB2)의 광 경로에 합류할 수 있다. 제1 및 제2 펌프 레이저 다이오드들은 RF(Radio Frequency) 전력에 의해 구동될 수 있다.
일부 실시예들에 따르면, 제1 및 제2 펌프 레이저 빔들은 제1 레이저 빔(LB1)과 다른 파장을 가질 수 있다. 일부 실시예들에 따르면, 제1 및 제2 펌프 레이저 빔들은 제1 레이저 빔(LB1) 보다 더 짧은 파장을 가질 수 있다. 일부 실시예들에 따르면, 제1 및 제2 펌프 레이저 빔들은 레이저 빔(LB) 보다 광섬유에 대한 높은 흡수율을 갖는 파장을 가질 수 있다. 제1 펌프 레이저 빔이 광섬유에 의해 흡수됨에 따라, 제1 레이저 빔(LB1)이 증폭되어 제2 레이저 빔(LB2)이 출력될 수 있다. 제2 펌프 레이저 빔이 광섬유에 의해 흡수됨에 따라, 제2 레이저 빔(LB2)이 증폭되어 레이저 빔(LB)이 출력될 수 있다. 하지만 이에 제한되는 것은 아니고, 제1 및 제2 펌프 레이저 빔들은 제1 레이저 빔(LB1)과 동일한 파장을 가질 수도 있다.
일부 실시예들에 따르면, 주 공진기(121)와 사전 증폭기(123) 사이 및 사전 증폭기(123)와 주 증폭기(125) 사이 각각에 아이솔레이터가 제공될 수 있다. 아이솔레이터는 광학 다이오드라고도 지칭되며, 빛이 한 방향으로만 전송되게 하는 광학 구성 요소이다. 아이솔레이터는 제1 레이저 빔(LB) 및 제2 레이저 빔(LB2)의 역 진행을 방지할 수 있다.
일부 실시예들에 따르면, 레이저 장치(120)에서 최종적으로 출력하려는 레이저 빔(LB)의 세기에 따라, 사전 증폭기(123) 및 주 증폭기(125)의 사이에 추가적인 사전 증폭기가 더 제공될 수 있다. 예컨대, 레이저 장치(120)는 두 개 이상의 사전 증폭기를 포함할 수도 있다. 레이저 장치(120)로부터 레이저 빔(LB)이 출력되는 출력 단에, 아이솔레이터 및 시준기(Collimator)가 제공될 수 있다.
일부 실시예들에 따르면, 제1 레이저 빔(LB1), 제2 레이저 빔(LB2) 및 레이저 빔(LB)에 포함된 단일 펄스(이하 간단히, 단일 펄스)의 세기-시간 프로파일(이하, 시간 프로파일)은 가우시안 분포와 다를 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 시간 프로파일은 로렌치안 분포와 다를 수 있다.
일부 실시예들에 따르면, 상기 단일 펄스의 반치폭(Full Width at Half Maximum, FWHM)은 약 1㎰ 내지 약 1㎲의 범위에 있을 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 반치폭(FWHM)은 약 500㎱ 이하일 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 반치폭(FWHM)은 약 400㎱ 이하일 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 반치폭(FWHM)은 약 300㎱ 이하일 수 있다.
일부 실시예들에 따르면, 상기 단일 펄스의 시간 프로파일은 펄스의 중심에 대해 비대칭적일 수 있다. 여기서, 펄스의 중심은 펄스의 시작점과 끝점의 중점(예컨대, 도 3에서 t=0인 점)을 의미한다. 일부 실시예들에 따르면, 상기 단일 펄스의 시작점(SP)으로부터 세기의 최고점(peak point, PP)까지의 시간 간격은, 세기의 최고점(PP)으로부터 상기 단일 펄스의 끝점(EP)까지의 시간 간격보다 작을 수 있다.
일부 실시예들에 따르면, 상기 상승 시간은 상기 단일 펄스의 반치폭(FWHM)의 약 1% 이상, 약 50% 미만일 수 있다. 여기서 상승 시간은, 상기 단일 펄스의 세기가 최고점의 10%로부터 최고점의 90%에 증가하는데 까지 걸리는 시간을 의미한다. 일부 실시예들에 따르면, 상기 상승 시간은 상기 반치폭(FWHM)의 약 40% 이하일 수 있다. 일부 실시예들에 따르면, 상기 상승 시간은 상기 반치폭(FWHM)의 약 30% 이하일 수 있다. 일부 실시예들에 따르면, 상기 상승 시간은 상기 반치폭(FWHM)의 약 20% 이하일 수 있다. 일부 실시예들에 따르면, 상기 상승 시간은 상기 반치폭(FWHM)의 약 10% 이하일 수 있다.
일부 실시예들에 따르면, 상기 단일 펄스의 피크 전력은 약 1W 내지 약 1kW의 범위에 있을 수 있다.
일부 실시예들에 따르면, 상기 단일 펄스의 평균 전력은 약 1W 내지 약 30W의 범위에 있을 수 있다.
일부 실시예들에 따르면, 상기 단일 펄스의 시간 프로파일은 하기의 식 1을 따를 수 있다.
[식 1]
Figure pat00001
여기서, E는 펄스의 에너지량을 제어하는 에너지 파라미터이고, α는 펄스의 펄스 폭을 제어하는 펄스 폭 파라미터이며, β는 펄스의 첨도를 제어하는 첨도 파라미터이고, s는 펄스의 왜도를 제어하는 왜도 파라미터이다. 또한, μ는 펄스의 시간 축 평행 이동을 제어하는 파라미터로서, 다른 파라미터들 E, α, β, s에 의해 자동적으로 결정될 수 있다.
또한 식 1에서 감마 함수
Figure pat00002
와 가우스 오차 함수 erf(x)는 하기의 식 2를 따른다.
[식 2]
Figure pat00003
Figure pat00004
단일 펄스가, 가우시안 프로파일을 따르는 경우, β는 2이고, s는 0의 값을 가질 수 있다. 예시적인 실시예들에 따르면, 펄스의 왜도 파라미터 s는 0 이 아닌 값(non-zero value)을 가질 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 왜도 파라미터 s는 0보다 더 클 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 왜도 파라미터 s는 약 5 이상일 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 왜도 파라미터 s는 약 10 이상일 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 왜도는 s는 파라미터 약 100 이하일 수 있다. 일부 실시예들에 따르면, 상기 단일 펄스의 왜도는 s는 파라미터 약 60 이하일 수 있다.
종래의 스텔스 레이저 장치들은 가우시안 프로파일을 따르는 레이저 펄스를 이용하여 스텔스 다이싱 공정을 수행하였다. 하지만, 스텔스 레이저 장치의 조건 및 공정이 수행되는 웨이퍼(W)의 특성에 따라 웨이퍼(W) 내부에 형성된 균열(breakage)이 웨이퍼(W)의 표면까지 전달되지 않아 다이싱 공정에서 칩 불량이 발생하는 문제점이 있었다. 다이싱 공정에서 발생한 불량은 완성 단계에 가까운 반도체 칩의 영구적 손상을 야기하는바, 제조 비용이 증가하고 및 수율이 감소되는 문제점이 있었다. 특히, 스텔스 다이싱 레이저의 반도체 소자 개별화 성능을 보완하기 위해 레이저 빔의 전력(예컨대, 피크 전력, 또는 평균 전력)를 증가시키는 경우, 완성된 반도체 소자들이 레이저 빔에 의해 손상될 수 있는바, 수율이 저하되는 문제점이 있었다.
예시적인 실시예들에 따르면, 레이저 장치(120)에 의해 생성된 레이저 빔(LB)을 구성하는 단일 펄스 각각은 가우시안 펄스와 달리, 상대적으로 짧은 상승 시간을 가질 수 있다. 후술하는 바와 같이, 짧은 상승 시간을 갖는 펄스 트래인의 레이저 빔(LB)은 웨이퍼(W)의 가공 점(즉, 레이저 빔(LB)의 초점) 근방의 온도를 급속도로 상승시키는 것이 확인되었다. 이에 따라 단일 펄스의 에너지 중 웨이퍼(W) 내의 초점 근방의 부분에 의해 흡수되는 에너지의 비율이 증가하는바, 더욱 효과적으로 웨이퍼(W) 내부에 균열을 형성할 수 있다. 이에 따라 스텔스 다이싱 공정의 신뢰성이 제고될 수 있다. 나아가, 레이저 빔의 전력이 반도체 소자를 손상시키지 않는 범위 내로 유지한 채 분단 성능을 제고할 수 있는바, 반도체 소자 제조의 수율을 제고할 수 있다.
출력된 레이저 빔(LB)은 빔 전달 광학계(130)에 의해 포커싱 렌즈 광학계(140)로 전달될 수 있다. 빔 전달 광학계(130)는 자유 공간 광학계(free space optics)일 수 있으나 이에 제한되지 않는다. 빔 전달 광학계(130)는 편광기, 렌즈, 반사경, 프리즘, 스플리터 등과 같은 다양 광학 요소를 포함할 수 있다.
포커싱 렌즈 광학계(140)는 레이저 빔(LB)을 웨이퍼(W)의 내부에 설정된 위치에 포커싱시킬 수 있다. 포커싱 렌즈 광학계(130)는 단일의 렌즈로 구성되거나, 복수의 렌즈들을 포함할 수 있다.
웨이퍼 지지대(160)는 웨이퍼(W)가 처리되는 동안 웨이퍼를 지지할 수 있다. 웨이퍼 지지대(160)는 웨이퍼(W) 내부의 서로 다른 부분에 레이저 빔(LB)이 포커스 되도록, 웨이퍼(W)를 수평 방향으로 이동시킬 수 있다. 이에 따라, 웨이퍼(W)에 정의된 스크라이브 레인을 따라 웨이퍼(W)를 스캐닝하며, 웨이퍼(W) 내부의 서로 다른 부분에 균열이 형성될 수 있다.
예시적인 실시예들에 따르면, 컨트롤러(150)는 임의 파형 생성기(110)를 제어하기 위한 파형 생성 신호(WGS)를 생성할 수 있다. 파형 생성 신호(WGS)는 구동 전류(DI)의 시간 프로파일에 대한 비트를 포함할 수 있다.
상기 파형 생성 신호(WGS)에 포함된 구동 전류(DI)의 시간 프로파일에 대한 비트는, 구동 전류(DI)의 시간 프로파일에 기초하여 i) 제1 레이저 빔(LB1)을 구성하는 단일 펄스의 평균 전력을 제어하기 위한 비트(이하, 평균 전력 제어 비트), ii) 제1 레이저 빔(LB1)을 구성하는 단일 펄스의 펄스 폭을 제어하기 위한 비트(이하, 펄스 폭 제어 비트), iii) 제1 레이저 빔(LB1)을 구성하는 단일 펄스의 첨도를 제어하기 위한 비트(이하, 첨도 제어 비트) iv) 제1 레이저 빔(LB1)을 구성하는 단일 펄스의 왜도를 제어하기 위한 비트(이하, 왜도 제어 비트)를 포함할 수 있다.
종래의 스텔스 다이싱 레이저들은, 주 공진기에 RF 전력을 공급하고, Q 스위칭 방식으로 동작시켜 가우시안 레이저 빔 펄스를 생성하였다. 예시적인 실시예들에 따르면, 비-가우시안 프로파일을 따르는 제1 레이저 빔(LB1)을 생성하기 위해, 파형 생성 신호(WGS)는 평균 전력 제어 비트, 펄스 폭 제어 비트, 첨도 제어 비트 및 왜도 제어 비트를 포함할 수 있다.
여기서, 컨트롤러(150)는 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 예컨대, 컨트롤러(150)는 워크 스테이션 컴퓨터, 데스크탑 컴퓨터, 랩 탑 컴퓨터, 태블릿 컴퓨터 등의 컴퓨팅 장치일 수 있다. 컨트롤러(150)는 단순 컨트롤러, 마이크로 프로세서, CPU, GPU 등과 같은 복잡한 프로세서, 소프트웨어에 의해 구성된 프로세서, 전용 하드웨어 또는 펌웨어일 수도 있다. 컨트롤러(150)는, 예를 들어, 범용 컴퓨터 또는 DSP(Digital Signal Process), FPGA(Field Programmable Gate Array) 및 ASIC(Application Specific Integrated Circuit) 등과 같은 애플리케이션 특정 하드웨어에 의해 구현될 수 있다.
일부 실시예들에 따르면, 컨트롤러(150)의 동작은 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독 가능 매체 상에 저장된 명령들로서 구현될 수 있다. 여기서, 기계 판독 가능 매체는 기계(예를 들어, 컴퓨팅 장치)에 의해 판독 가능한 형태로 정보를 저장 및/또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독 가능 매체는 ROM(Read Only Memory), RAM(Random Access Memory), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 장치들, 전기적, 광학적, 음향적 또는 다른 형태의 전파 신호(예컨대, 반송파, 적외선 신호, 디지털 신호 등) 및 기타 임의의 신호를 포함할 수 있다.
컨트롤러(150)에 대해 설명한 동작, 또는 이하에서 설명하는 임의의 공정을 수행하기 위한 또한, 펌웨어, 소프트웨어, 루틴, 명령어들이 구성될 수 있다. 예컨대, 컨트롤러(150)는 임의 파형 생성기(110)를 제어하기 위한 신호를 생성하는 소프트웨어에 의해 구현될 수 있다. 하지만 이는 설명의 편의를 위한 것으로서, 상술된 컨트롤러(150)의 동작은 컴퓨팅 장치, 프로세서, 컨트롤러 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 장치로부터 야기될 수도 있다.
도 4a 내지 4c는 예시적인 실시예들에 따른 웨이퍼 처리 장치의 효과를 설명하기 위한 그래프들이다.
도 4a 내지 도 4c를 참조하면, 굵은 실선은 시간에 따른 레이저 빔(LB, 도 1 참조)의 단일 펄스의 세기를 나타내고, 가는 실선은 웨이퍼(W, 도 1 참조)의 흡수 전력을 나타낸다.
도 4a의 펄스는 펄스의 피크가 펄스의 중심에 선행하는(leading) 앞당김 펄스이며, 도 4b의 펄스는 펄스의 피크가 펄스의 중심과 실질적으로 동일한 가우시안 펄스이며, 도 4c의 펄스는 펄스의 피크가 펄스의 중심에 후행하는(lagging) 뒤쳐짐 펄스이다.
도 2 및 도 4a를 참조하면, 앞당김 펄스의 경우, 웨이퍼(W)의 흡수 전력이 빠르게 상승하여, 웨이퍼(W)가 레이저 빔(LB)의 펄스의 에너지의 92.4%를 흡수한 것이 확인되었다.
도 2 및 도 4b를 참조하면, 가우시안 펄스의 경우, 웨이퍼(W)는 레이저 빔(LB)의 펄스의 에너지의 84.6%를 흡수한 것이 확인 되었다.
도 2 및 도 4c를 참조하면, 뒤쳐짐 펄스의 경우, 웨이퍼(W)의 흡수 전력의 상승이 지연되어, 웨이퍼(W)는 레이저 빔(LB)의 펄스의 에너지의 77.6%를 흡수한 것이 확인되었다.
예시적인 실시예들에 따른 웨이퍼 처리 장치(100a)는 앞당김 펄스를 이용하여 스텔스 다이싱 공정을 수행하는바, 레이저 빔(LB)의 흡수율이 증가할 수 있다. 이에 따라, 웨이퍼(W)의 내부 균열이 웨이퍼(W) 표면까지 효과적으로 전파될 수 있고, 스텔스 다이싱 공정의 신뢰성 및 반도체 소자 제조 수율이 제고될 수 있다.
또한, 레이저 빔(LB)의 흡수율 상승에 따라, 누설 빔에 의해 웨이퍼(W) 내에 형성된 반도체 소자가 누설 빔에 의해 손상되는 것을 방지할 수 있고, 반도체 소자 제조의 수율 및 신뢰성을 제고할 수 있다
도 5a 내지 도 5c는 예시적인 실시예들에 따른 웨이퍼 처리 장치의 효과를 설명하기 위한 그래프들이다.
보다 구체적으로, 도 5a는 가우시안 펄스로 구성된 레이저 빔(LB, 도 1 참조)을 이용한 스텔스 다이싱 공정에서 웨이퍼(W, 도 1 참조) 내부의 온도 변화를 도시하고, 도 5b는 앞당김 펄스로 구성된 레이저 빔(LB, 도 1 참조)을 이용한 스텔스 다이싱 공정에서 웨이퍼(W, 도 1 참조) 내부의 온도 변화를 도시하며, 도 5c는 뒤쳐짐 펄스로 구성된 레이저 빔(LB, 도 1 참조)을 이용한 스텔스 다이싱 공정에서 웨이퍼(W, 도 1 참조) 내부의 온도 변화를 도시한다.
도 5a 내지 도 5c에서, 수직 깊이는 레이저 빔(LB, 도 1 참조)이 입사하는 웨이퍼(W, 도 1 참조)의 표면으로부터, 상기 웨이퍼(W, 도 1 참조)의 표면에 수직한 방향에 따른 깊이를 지칭한다. 레이저 빔(LB, 도 1 참조)의 초점은 수직 깊이가 100㎛인 지점에 위치한다. 도 5a 내지 도 5c에서 실선은 수직 깊이가 95㎛인 지점의 온도 변화를 나타내고, 파선은 수직 깊이가 85㎛인 지점의 온도 변화를 나타내며, 일점 쇄선은 수직 깊이가 75㎛인 지점의 온도 변화를 나타낸다.
도 5a를 참조하면, 가우시안 펄스를 이용한 스텔스 다이싱 공정에서 수직 깊이가 85㎛인 지점까지 펄스의 에너지가 잘 전파되어 온도가 2000K까지 상승한 반면, 수직 깊이가 75㎛인 지점까지는 펄스의 에너지가 잘 전파되지 않아 온도 상승이 1000K 정도에 그쳤으며, 내부 균열의 수직 길이는 약 50㎛ 정도였다.
도 5b를 참조하면, 앞당김 펄스를 이용한 스텔스 다이싱 공정에서 수직 깊이가 75㎛인 지점까지 펄스의 에너지가 잘 전파되어 2000K까지 상승하였다. 근적외선 대역의 광의 흡수율은 웨이퍼(즉, 실리콘)의 온도에 비례하기 때문에, 웨이퍼(W, 도 1 참조)의 온도가 빠르게 상승할 수록 펄스의 흡수율이 빠르게 상승하여, 펄스 에너지 중 손실되는 에너지의 비율을 줄일 수 있었다. 앞당김 펄스를 이용한 경우, 내부 균열의 수직 길이는 약 61㎛ 정도로 가우시안 펄스를 이용한 경우에 비해 21%정도 증가한 것이 확인되었다.
도 5c를 참조하면, 뒤처짐 펄스에서 수직 깊이가 75㎛인 지점에 에너지가 거의 전파되지 않아, 온도 상승이 미미한 정도이고, 내부 균열은 20% 정도 감소한 것이 확인되었다.
예시적인 실시예들에 따른 웨이퍼 처리 장치(100a, 도 1 참조)는 개선된 내부 균열 형성 성능을 갖는다. 이에 따라, 웨이퍼(W) 내에 형성된 내부 균열 사이의 거리를 종래에 비해 증가시킬 수 있고, 동일한 지점 상에 내부 균열 형성 횟수를 감소시킬 수 있는바, 스텔스 다이싱 공정의 스캐닝 속도가 제고될 수 있다. 이에 따라, 반도체 소자 제조의 생산성이 제고될 수 있다.
도 6a 및 도 6b는 다른 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 블록도들이다.
설명의 편의상 도 1 내지 도 3을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명한다.
도 6a를 참조하면, 웨이퍼 처리 장치(100b)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160)를 포함할 수 있다.
웨이퍼 처리 장치(100b)는 도 1의 웨이퍼 처리 장치(100a)와 달리, 주 공진기(121)가 RF 전력에 의해 동작할 수 있다. 이에 따라 제1 레이저 빔(LB1)은 가우시안 펄스일 수 있다.
일부 실시예들에 따르면, 사전 증폭기(123)는 비정현 연속파인 구동 전류(DI)에 의해 동작할 수 있다. 이에 따라, 사전 증폭기(123)는 가우시안 펄스인 제1 레이저 빔(LB1)에 기초하여 비-가우시안 펄스인 제2 레이저 빔(LB2)을 생성할 수 있다.
제2 레이저 빔(LB2) 및 레이저 빔(LB)의 특성은 도 1 내지 도 3을 참조하여 설명한 것과 유사하다.
도 6b를 참조하면, 웨이퍼 처리 장치(100c)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160)를 포함할 수 있다.
웨이퍼 처리 장치(100b)는 도 1의 웨이퍼 처리 장치(100a)와 달리, 주 공진기(121)가 RF 전력에 의해 구동될 수 있다. 이에 따라 제1 레이저 빔(LB1)은 가우시안 펄스일 수 있다.
일부 실시예들에 따르면, 사전 증폭기(123)는 RF 전력에 의해 구동될 수 있다. 이에 따라, 사전 증폭기(123)는 가우시안 펄스인 제1 레이저 빔(LB1)에 기초하여 더 큰 진폭의 가우시안 펄스인 제2 레이저 빔(LB2)을 생성할 수 있다.
일부 실시예들에 따르면, 주 증폭기(125)는 비정현 연속파인 구동 전류(DI)에 의해 동작할 수 있다. 이에 따라, 주 증폭기(125)는 가우시안 펄스인 제1 레이저 빔(LB1)에 기초하여 비-가우시안 펄스인 제2 레이저 빔(LB2)을 생성할 수 있다.
도 7a는 예시적인 실시예들에 따른 웨이퍼 처리 장치(200a)를 설명하기 위한 도면들이다.
설명의 편의상 도 1 내지 도 3을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 7a를 참조하면, 웨이퍼 처리 장치(200a)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160)에 더해, 센서(170)를 더 포함할 수 있다.
센서(170)는 광섬유에 의해 주 공진기(121)에 결합될 수 있다. 센서(170)는 광섬유를 통해 제1 레이저 빔(LB1)의 일부를 수신하고, 상기 제1 레이저 빔(LB1)의 일부에 기초하여 전기 신호를 생성할 수 있다.
컨트롤러(150)는 센서(170)의 신호에 기초하여 제1 레이저 빔(LB1)에 포함된 단일 펄스의 프로파일이 설정된 프로파일을 따르는지 여부를 판단할 수 있다. 컨트롤러(150)는 센서(170)의 측정 결과에 기초하여 보정된 파형 생성 신호(WGS)를 임의 파형 생성기(110)에 제공할 수 있다. 예를 들어, 센서(170)로부터 제1 레이저 빔(LB1)이 가우시안 펄스로 판단된 경우, 컨트롤러(150)는 제1 레이저 빔(LB1)을 미리 설정된 프로파일, 예를 들어 비-가우시안 펄스로 보정하기 위한 보정된 파형 생성 신호(WGS)를 임의 파형 생성기(110)에 제공할 수 있다. 일부 실시예들에 따르면, 센서(170)의 측정 결과에 기초하여 피드백 신호를 생성하고 이를 컨트롤러(150)에 제공하기 위한 별도의 프로세서가 더 제공될 수도 있다.
도 7b는 예시적인 실시예들에 따른 웨이퍼 처리 장치(200b)를 설명하기 위한 도면들이다.
설명의 편의상 도 7a를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 7b를 참조하면, 웨이퍼 처리 장치(200b)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160) 및 센서(170)를 포함할 수 있다.
도 7b를 참조하면, 웨이퍼 처리 장치(200b)에 포함된 센서(170)는 도 7a에서와 달리, 임의 파형 생성기(110)에 전기 신호를 전송할 수 있다.
임의 파형 생성기(110)가 컨트롤러(150)의 파형 생성 신호(WGS) 및 센서(170)의 측정 결과에 기초하여 구동 전류(DI)를 조절함으로써, 주 공진기(121)는 설정된 파형의 시간 프로파일을 갖는 레이저 빔(LB)을 출력할 수 있다.
도 7c는 예시적인 실시예들에 따른 웨이퍼 처리 장치(200c)를 설명하기 위한 도면들이다.
설명의 편의상 도 7a를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 7c를 참조하면, 웨이퍼 처리 장치(200c)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160) 및 센서(170)를 포함할 수 있다.
도 7c를 참조하면, 웨이퍼 처리 장치(200c)에 포함된 센서(170)는 도 7a에서와 달리, 광섬유를 통해 사전 증폭기(123)에 결합되어 제2 레이저 빔(LB2)의 일부를 센싱할 수 있다.
도 7d는 예시적인 실시예들에 따른 웨이퍼 처리 장치(200d)를 설명하기 위한 도면들이다.
설명의 편의상 도 7a를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 7d를 참조하면, 웨이퍼 처리 장치(200d)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160) 및 센서(170)를 포함할 수 있다.
도 7d를 참조하면, 웨이퍼 처리 장치(200d)에 포함된 센서(170)는 도 7a에서와 달리, 광섬유를 통해 주 증폭기(125)에 결합되어 레이저 빔(LB)의 일부를 센싱할 수 있다.
도 7e는 예시적인 실시예들에 따른 웨이퍼 처리 장치(200e)를 설명하기 위한 도면들이다.
설명의 편의상 도 7a를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 7e를 참조하면, 웨이퍼 처리 장치(200e)는 임의 파형 생성기(110), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160), 빔 스플리터(191) 및 센서(195)를 포함할 수 있다.
레이저 빔(LB) 중 빔 스플리터(191)를 통과한 부분은 빔 전달 광학계(130) 및 포커싱 렌즈 광학계(140)를 통해 웨이퍼(W)의 내부에 포커싱될 수 있다.
레이저 빔(LB) 중 빔 스플리터(191)에 의해 반사된 부분은 센서(195)에 의해 센싱될 수 있다.
도 8은 예시적인 실시예들에 따른 웨이퍼 처리 장치(300)를 설명하기 위한 도면이다.
설명의 편의상 도 1 내지 도 3을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 8을 참조하면, 웨이퍼 처리 장치(300)는 레이저 장치(320), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160)를 포함할 수 있다.
레이저 장치(320)는 도 1의 레이저 장치(120)와 달리, 임의 파형 생성기(110)를 포함할 수 있다. 임의 파형 생성기(110)는 레이저 장치(320)에 내장된 것을 제외하고, 도 1을 참조하여 설명한 것과 실질적으로 동일하다.
도 9는 예시적인 실시예들에 따른 웨이퍼 처리 장치(400)를 설명하기 위한 도면이다.
설명의 편의상 도 1 내지 도 3을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 9를 참조하면, 웨이퍼 처리 장치(400)는 임의 파형 생성기(110'), 레이저 장치(120), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160), RF 증폭기(181) 및 전압 서플라이(185)를 포함할 수 있다.
도 9의 임의 파형 생성기(110')는 도 1의 임의 파형 생성기(110)와 달리, 비정현 연속파 전압인 임의 파형 전압(AWV)을 RF 증폭기(181)에 공급할 수 있다. RF 증폭기(181)는 임의 파형 전압(AWV)을 증폭하여 주 공진기(121)에 구동 전압(DV)을 공급할 수 있다. 임의 파형 전압(AWV)은 크기 증폭에 의해 구동 전압(DV)과 동일한 파형을 갖게 될 수 있다.
전압 서플라이(185)는 주 공진기(121)에 임계 전압 값보다 작고, 일정한 크기를 갖는 전압 바이어스를 제공할 수 있다. 이에 따라, RF 증폭기(181)가 제공한 전력의 누설을 방지할 수 있고, 주 공진기(121)의 펄스 피크 전력 성능을 보장할 수 있다.
도 10은 예시적인 실시예들에 따른 웨이퍼 처리 장치(500)를 설명하기 위한 도면이다.
설명의 편의상 도 1 내지 도 3을 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 10을 참조하면, 웨이퍼 처리 장치(500)는 임의 파형 생성기(110), 단일 공진기 레이저 장치(510), 빔 전달 광학계(130), 포커싱 렌즈 광학계(140), 컨트롤러(150) 및 웨이퍼 지지대(160)를 포함할 수 있다.
도 10의 웨이퍼 처리 장치(500)는 도 1의 웨이퍼 처리 장치(100a)와 달리 단일 공진기 레이저 장치(510)를 포함할 수 있다. 단일 공진기 레이저 장치(510)는 임의 파형 생성기(110)의 구동 전류(DI)에 기초하여 도 3을 참조하여 설명한 것과 실질적으로 동일한 시간 프로파일의 레이저 빔(LB)을 출력할 수 있다.
도 11은 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
도 12a 내지 도 12c는 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 개략적인 도면들이다.
도 11, 도 12a를 참조하면 P10에서, 웨이퍼(W)에 반도체 소자를 형성할 수 있다. 웨이퍼(W)는 각각 반도체 소자가 형성된 소자 형성 영역들과, 소자 형성 영역들을 분리하는 스크라이브 레인(SL)을 포함할 수 있다.
웨이퍼(W)는 예를 들면, 실리콘(Si, silicon)을 포함할 수 있다. 웨이퍼(W)는 게르마늄(Ge, germanium)과 같은 반도체 원소, 또는 SiC (silicon carbide), GaAs(gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체를 포함할 수 있다.
일부 실시예들에 따르면, 웨이퍼(W)는 SOI (silicon on insulator) 구조를 가질 수 있다. 웨이퍼(W)는 웨이퍼(W)의 전면에 형성된 매립 산화물 층(buried oxide layer)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 웨이퍼(W)의 전면에 형성된 도전 영역, 예컨대, 불순물이 도핑된 웰(well)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 상기 도핑된 웰을 서로 분리하는 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다. 도시되지 않았으나, 웨이퍼(W)의 전면에 다수의 물질 층들이 형성될 수 있다. 웨이퍼(W)의 후면 상에 적어도 하나의 물질층이 형성될 수 있다.
웨이퍼(W) 내에 형성된 반도체 소자는 메모리 소자 및 비메모리 소자 중 어느 하나일 수 있다. 일부 실시예들에 따르면, 메모리 소자는, 비 휘발성 낸드 플래시 메모리(NAND-type Flash memory)일 수 있다. 일부 실시예들에 따르면, 메모리 소자는, PRAM, MRAM, ReRAM, FRAM, NOR 플래시 메모리 등을 포함할 수도 있다. 또한, 메모리 소자는 DRAM, 및 SRAM 등과 같이, 전원이 차단되면 데이터가 손실되는 휘발성 메모리 소자(volatile memory device)일 수도 있다. 일부 실시예들에 따르면, 메모리 소자는 로직 칩이나 계측 소자, 통신 소자, 디지털 신호 프로세서(Digital Signal Processor: DSP) 또는 시스템-온-칩(System-On-Chip: SOC) 등일 수 있다.
반도체 소자를 형성하는 공정은, i) 산화막을 형성하기 위한 산화 공정, ii) 스핀 코팅, 노광 및 현상을 포함하는 리소그래피 공정, iii) 박막 퇴적 공정, iv) 건식 또는 습식 식각 공정 및 v)금속 배선 공정을 포함할 수 있다.
산화공정은 800에서 1200도의 고온에서 산소나 수증기를 실리콘 기판 표면과 화학 반응시켜 얇고 균일한 실리콘 산화막을 형성시키는 공정이다. 산화 공정은 건식 산화, 습식 산화를 포함할 수 있다. 건식 산화는 산소 기체와 반응 시켜 산화막을 형성할 수 있고, 습식 산화는 산소와 수증기를 반응시켜 산화막을 형성할 수 있다.
일부 실시예들에 따르면, 산화 공정에 의해 기판 상에 SOI(silicon on insulator) 구조가 형성될 수 있다. 기판은 매립 산화물 층(buried oxide layer)을 포함할 수도 있다. 일부 실시예들에 따르면, 기판은 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다.
리소그래피 공정은 리소그래피 마스크에 미리 형성해둔 회로 패턴을 노광을 통해 기판에 전사시키는 공정이다. 리소그래피 공정은 스핀 코팅, 노광 및 현상 공정의 순서로 수행될 수 있다.
박막 퇴적 공정은, 예를 들어, ALD(Atomic layer deposition), CVD(Chemical vapor deposition), PECVD(plasma-enhanced CVD), MOCVD(Metal Organic CVD), PVD(physical vapor deposition), 반응성 펄스 레이저 퇴적법(reactive pulsed laser deposition), 분자 빔 에피택시(molecular beam epitaxy) 및 DC 마그네트론 스퍼터링(magnetron sputtering) 중 어느 하나일 수 있다.
건식 식각 공정은, 예를 들어, RIE(reactive ion etching), DRIE(Deep RIE), IBE(ion beam etching) 및 Ar 밀링(milling) 중 어느 하나일 수 있다. 다른 예로, 웨이퍼(W)에 수행될 수 있는 건식 식각 공정은, ALE(Atomic Layer Etching)일 수 있다. 또한, 웨이퍼(W)에 수행될 수 있는 습식 식각 공정은, Cl2, HCl, CHF3, CH2F2, CH3F, H2, BCL3, SiCl4, Br2, HBr, NF3, CF4, C2F6, C4F8, SF6, O2, SO2 및 COS 중 적어도 어느 하나를 에천트 가스로 하는 식각 공정일 수 있다.
금속 배선 공정은 반도체 소자의 동작을 위한 회로 패턴을 구현하기 위해 도전성 배선(금속 선)을 형성하는 공정일 수 있다. 금속 배선 공정에 의해, 반도체 소자들을 동작시키기 위한 그라운드, 파워 및 신호의 전달 경로들이 형성될 수 있다. 금속 배선은 금, 백금, 은, 알루미늄 및 텅스텐 등을 포함할 수 있다.
일부 실시예들에 따르면, 반도체 소자 형성 공정에서, 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정과 같은 평탄화 공정, 이온 주입 공정, 등이 수행되는 것도 가능하다.
도 11, 도 12b를 참조하면 P20에서, 웨이퍼(W) 내에 내부 균열(IB)을 형성할 수 있다.
웨이퍼(W)의 내부 균열은 도 1의 웨이퍼 처리 장치(100a) 및 도 6a 내지 도 10의 웨이퍼 처리 장치들(100b, 100c, 200a, 200b, 200c, 200d, 200e, 300, 400, 500) 중 어느 하나에 의해 출력된 레이저 빔(LB)에 의해 형성될 수 있다.
일부 실시예들에 따르면, 웨이퍼(W)의 내부 균열 형성 전에 웨이퍼(W)의 두께를 줄이기 위해 웨이퍼(W)의 후면(즉, 반도체 소자가 형성된 웨이퍼(W)의 전면(front suface)에 반대된 표면)에 대한 사전 그라인딩 공정이 수행될 수 있다.
도 11, 도 12c를 참조하면 P30에서, 반도체 소자를 분리할 수 있다.
내부 균열(IB)이 형성된 웨이퍼(W)를 다이 어태치 필름(DAF)에 부착시킨 이후, 다이 어태치 필름(DAF)을 수평 방향으로 늘려서 반도체 소자들을 분리할 수 있다.
일부 실시예들에 따르면, 다이 어태치 필름(DAF)을 제공하기 전, 웨이퍼(W)의 후면을 연마하는 백그라인딩 공정이 추가적으로 수행될 수 있다.
도 11을 참조하면 P40에서 분리된 반도체 소자를 패키징할 수 있다.
패키징 공정은 와이어 본딩 공정, 몰딩 공정, 마킹 공정, 솔더 볼 마운트 공정 등을 포함할 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.

Claims (20)

  1. 레이저 빔을 생성하는 레이저 장치;
    상기 레이저 빔을 웨이퍼의 내부에 포커스 시키도록 구성된 포커싱 렌즈 광학계;
    상기 레이저 장치에 구동 전력을 공급하도록 구성된 임의 파형 생성기; 및
    상기 임의 파형 생성기를 제어하도록 구성된 컨트롤러를 포함하고,
    상기 레이저 빔은 상기 레이저 장치로부터 순차적으로 방출되는 복수의 펄스들을 포함하고,
    상기 복수의 펄스들 각각은 비-가우시안(non-gaussian) 펄스이고, 상기 복수의 펄스들 각각의 반치폭(Full width at half maximum)은 1㎰ 내지 500㎱의 범위에 있는 것을 특징으로 하는 웨이퍼 처리 장치.
  2. 제1항에 있어서,
    상기 복수의 펄스들 각각의 시작점으로부터 최고점까지의 시간 간격은, 상기 최고점으로부터 끝점까지의 시간 간격보다 더 작은 것을 특징으로 하는 웨이퍼 처리 장치.
  3. 제1항에 있어서,
    상기 복수의 펄스들 각각의 최고점의 세기의 10%로부터 90%까지 상승하는데 걸리는 상승 시간은, 상기 복수의 펄스들 각각의 상기 반치폭의 1% 이상이고, 상기 반치폭의 50% 미만인 것을 특징으로 하는 웨이퍼 처리 장치.
  4. 제3항에 있어서,
    상기 상승 시간은 상기 반치폭의 30% 이하인 것을 특징으로 하는 웨이퍼 처리 장치.
  5. 제3항에 있어서,
    상기 상승 시간은 상기 반치폭의 10% 이하인 것을 특징으로 하는 웨이퍼 처리 장치.
  6. 제1항에 있어서,
    상기 레이저 장치는,
    제1 레이저 빔을 출력하도록 구성된 주 공진기;
    상기 제1 레이저 빔을 증폭하여 제2 레이저 빔을 출력하도록 구성된 사전 증폭기; 및
    상기 제2 레이저 빔을 증폭하여 상기 레이저 빔을 출력하도록 구성된 주 증폭기를 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  7. 제6항에 있어서,
    상기 주 공진기와 결합되어 상기 제1 레이저 빔의 세기-시간 프로파일을 측정하고, 측정된 상기 세기-시간 프로파일을 상기 컨트롤러에 제공하는 센서를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  8. 제6항에 있어서,
    상기 사전 증폭기와 결합되어 상기 제2 레이저 빔의 세기-시간 프로파일을 측정하고, 측정된 상기 세기-시간 프로파일을 상기 컨트롤러에 제공하는 센서를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  9. 제6항에 있어서,
    상기 주 증폭기와 결합되어 상기 레이저 빔의 세기-시간 프로파일을 측정하고, 측정된 상기 세기-시간 프로파일을 상기 컨트롤러에 제공하는 센서를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  10. 웨이퍼에 스텔스 다이싱 공정을 수행하도록 구성된 웨이퍼 처리 장치로서, 상기 웨이퍼 처리 장치는,
    복수의 비-가우시안 펄스들로 구성된 레이저 빔을 출력하는 레이저 장치;
    상기 레이저 빔을 웨이퍼의 내부에 포커스 시키도록 구성된 포커싱 렌즈 광학계; 및
    상기 레이저 장치에 비정현 연속파 전력을 제공하도록 구성된 임의 파형 생성기를 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  11. 제10항에 있어서,
    상기 레이저 장치는,
    제1 레이저 빔을 출력하도록 구성된 주 공진기;
    상기 제1 레이저 빔을 증폭하여 제2 레이저 빔을 출력하도록 구성된 사전 증폭기; 및
    상기 제2 레이저 빔을 증폭하여 상기 레이저 빔을 출력하도록 구성된 주 증폭기를 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  12. 제11항에 있어서,
    상기 임의 파형 생성기는 상기 주 공진기에 비정현 연속파 전력을 공급하도록 구성되고,
    상기 사전 증폭기 및 상기 주 증폭기는 RF(radio frequency) 정현파 전력에 의해 구동되고, 및
    상기 제1 레이저 빔은 복수의 비-가우시안 펄스들로 구성되는 웨이퍼 처리 장치.
  13. 제11항에 있어서,
    상기 임의 파형 생성기는 상기 사전 증폭기에 비정현 연속파 전력을 공급하도록 구성되고,
    상기 주 공진기 및 상기 주 증폭기는 RF 정현파 전력에 의해 구동되고,
    상기 제1 레이저 빔은 복수의 가우시안 펄스들로 구성되고, 및
    상기 제2 레이저 빔은 복수의 비-가우시안 펄스들로 구성되는 것을 특징으로 하는 웨이퍼 처리 장치.
  14. 제11항에 있어서,
    상기 임의 파형 생성기는 상기 주 증폭기에 비정현 연속파 전력을 공급하도록 구성되고,
    상기 주 공진기 및 상기 사전 증폭기는 RF 정현파 전력에 의해 구동되고,
    상기 제1 및 제2 레이저 빔들은 각각 복수의 가우시안 펄스들로 구성되는 것을 특징으로 하는 웨이퍼 처리 장치.
  15. 레이저 빔을 생성하는 레이저 장치;
    상기 레이저 빔을 웨이퍼의 내부에 포커스 시키도록 구성된 포커싱 렌즈 광학계;
    상기 레이저 장치에 구동 전력을 공급하도록 구성된 임의 파형 생성기; 및
    상기 임의 파형 생성기를 제어하도록 구성된 컨트롤러를 포함하고,
    상기 레이저 빔은 상기 레이저 장치로부터 순차적으로 방출되는 복수의 펄스들을 포함하고,
    상기 복수의 펄스들 각각의 최고점의 세기의 10%로부터 90%까지 상승하는데 걸리는 상승 시간은, 복수의 펄스들 각각의 반치폭의 1% 이상, 50% 미만인 것을 특징으로 하는 웨이퍼 처리 장치.
  16. 제15항에 있어서,
    상기 복수의 펄스들 각각의 세기-시간 프로파일은 하기의 식 1을 따르고,
    [식 1]
    Figure pat00005

    여기서, E는 상기 복수의 펄스들 각각의 에너지량을 제어하는 에너지 파라미터이고, α는 상기 복수의 펄스들 각각의 펄스 폭을 제어하는 펄스 폭 파라미터이며, β는 펄스의 첨도를 제어하는 첨도 파라미터이고, s는 펄스의 왜도를 제어하는 왜도 파라미터고, μ는 펄스의 시간 축 평행 이동을 제어하는 파라미터이며, 감마 함수
    Figure pat00006
    및 에러 함수
    Figure pat00007
    는 하기의 식 2를 따르되,
    [식 2]
    Figure pat00008

    Figure pat00009

    상기 왜도 파라미터 s는 0보다 더 크고 100 이하인 것을 특징으로 하는 웨이퍼 처리 장치.
  17. 제16항에 있어서,
    상기 왜도 파라미터 s는 10이상인 것을 특징으로 하는 웨이퍼 처리 장치.
  18. 제16항에 있어서,
    상기 컨트롤러는 상기 임의 파형 생성기를 제어하기 위한 파형 생성 신호를 생성하고,
    상기 파형 생성 신호는 상기 왜도 파라미터 s를 제어하기 위한 비트를 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  19. 제19항에 있어서,
    상기 파형 생성 신호는 상기 첨도 파라미터 β를 제어하기 위한 비트를 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  20. 웨이퍼 상에 복수의 반도체 소자들을 형성하는 단계;
    상기 웨이퍼 상에 정의되고, 상기 복수의 반도체 소자들 사이의 분리 영역인 스크라이브 레인을 따라, 상기 웨이퍼에 내부 균열을 형성하는 단계; 및
    상기 복수의 반도체 소자들을 분리하는 단계를 포함하되,
    상기 웨이퍼에 내부 균열을 형성하는 단계는, 상기 웨이퍼 내부에 포커싱된 레이저 빔을 조사하고,
    상기 레이저 빔은 각각의 시작점으로부터 최고점까지의 시간 간격이, 상기 최고점으로부터 끝점까지의 시간 간격보다 더 작은 복수의 펄스들로 구성된 것을 특징으로 하는 반도체 소자 제조 방법.

KR1020200057815A 2020-05-14 2020-05-14 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법 KR20210141870A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020200057815A KR20210141870A (ko) 2020-05-14 2020-05-14 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법
US17/139,515 US11883905B2 (en) 2020-05-14 2020-12-31 Wafer processing apparatus and method of manufacturing semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200057815A KR20210141870A (ko) 2020-05-14 2020-05-14 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법

Publications (1)

Publication Number Publication Date
KR20210141870A true KR20210141870A (ko) 2021-11-23

Family

ID=78513835

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200057815A KR20210141870A (ko) 2020-05-14 2020-05-14 웨이퍼 처리 장치 및 이를 이용한 반도체 소자 제조 방법

Country Status (2)

Country Link
US (1) US11883905B2 (ko)
KR (1) KR20210141870A (ko)

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52102701A (en) * 1976-02-24 1977-08-29 Nippon Hoso Kyokai <Nhk> Optical recording system
JP2893823B2 (ja) * 1990-03-20 1999-05-24 株式会社ニコン 位置合わせ方法及び装置
US5394260A (en) * 1992-02-03 1995-02-28 Kokusai Denshin Denwa Kabushiki Kaisha Optical pulse generator
US20020011852A1 (en) * 2000-03-21 2002-01-31 Andreas Mandelis Non-contact photothermal radiometric metrologies and instrumentation for characterization of semiconductor wafers, devices and non electronic materials
US6694817B2 (en) * 2001-08-21 2004-02-24 Georgia Tech Research Corporation Method and apparatus for the ultrasonic actuation of the cantilever of a probe-based instrument
US6947454B2 (en) 2003-06-30 2005-09-20 Electro Scientific Industries, Inc. Laser pulse picking employing controlled AOM loading
EP2114614A1 (en) 2007-01-05 2009-11-11 GSI Group Corporation System and method for multi-pulse laser processing
JP5452247B2 (ja) 2010-01-21 2014-03-26 東芝機械株式会社 レーザダイシング装置
TWI608886B (zh) 2011-07-05 2017-12-21 電子科學工業股份有限公司 用於提供聲光束偏轉器與聲光調變器使用期間之溫度穩定性之系統與方法
US20150034613A1 (en) 2013-08-02 2015-02-05 Rofin-Sinar Technologies Inc. System for performing laser filamentation within transparent materials
CN107405726A (zh) 2015-02-23 2017-11-28 伊雷克托科学工业股份有限公司 用于大区域修改的雷射***及方法
KR101825922B1 (ko) 2015-08-28 2018-03-22 주식회사 이오테크닉스 레이저 가공장치 및 방법
PL3475240T3 (pl) * 2016-06-25 2021-06-14 Efacec Engenharia E Sistemas, S.A. Sposób hermetyzacji wspomaganej laserem oraz jej produkt
US20180207748A1 (en) 2017-01-23 2018-07-26 Lumentum Operations Llc Machining processes using a random trigger feature for an ultrashort pulse laser
GB2572608A (en) * 2018-04-03 2019-10-09 Ilika Tech Ltd Laser processing method for thin film structures

Also Published As

Publication number Publication date
US20210354247A1 (en) 2021-11-18
US11883905B2 (en) 2024-01-30

Similar Documents

Publication Publication Date Title
US8541251B2 (en) Method for manufacturing light-emitting device
US9029242B2 (en) Damage isolation by shaped beam delivery in laser scribing process
US7408183B2 (en) Low cost InGaAIN based lasers
KR101898632B1 (ko) 레이저 증폭 장치
JP2009503903A (ja) モノリシックマイクロ波集積回路用ビアホールの加工形成
WO2013009575A2 (en) Wafer dicing using hybrid split-beam laser scribing process with plasma etch
KR20140039048A (ko) 플라즈마 에칭을 갖는 하이브리드 갈바닉 레이저 스크라이빙 프로세스를 이용한 웨이퍼 다이싱
US20080261382A1 (en) Wafer dicing using a fiber mopa
Ho et al. High power and high beam quality VCSEL amplifier
US7633982B2 (en) Optically pumped surface emitting semiconductor laser device
US11883905B2 (en) Wafer processing apparatus and method of manufacturing semiconductor device using the same
US3768037A (en) Semiconductor diode laser device
CA2944328C (en) Optical amplifier
US20240096703A1 (en) Wafer dicing method and method of manufacturing semiconductor devices by using the wafer dicing method
US20240157472A1 (en) Wafer processing apparatus and wafer dicing method
JPH09172228A (ja) 特に光ポンピング用のレーザ装置及びその製造方法
US20190086609A1 (en) Method of producing optical waveguides, corresponding system and device
US20190096763A1 (en) Laser processing method
Campbell et al. 2.7 W continuous wave nearly-diffraction-limited output 1550 nm tapered laser diode amplifier
JP2007123638A (ja) 窒化物半導体の製造方法、および薄膜加工装置
US20130242380A1 (en) Suppression Of Parasitic Optical Feedback In Pulse Laser Systems
JPH02132844A (ja) 化合物半導体ウェハの分割方法
KR102653898B1 (ko) 버스트 펄스 증폭기를 포함하는 레이저 발생 장치
Dikareva et al. Substrate-emitting semiconductor laser with a trapezoidal active region
Behfar et al. Etched facet technology for GaN and blue lasers