KR20210134077A - 인라인 결함 정보를 사용하는 다이 스크리닝 - Google Patents

인라인 결함 정보를 사용하는 다이 스크리닝 Download PDF

Info

Publication number
KR20210134077A
KR20210134077A KR1020217035086A KR20217035086A KR20210134077A KR 20210134077 A KR20210134077 A KR 20210134077A KR 1020217035086 A KR1020217035086 A KR 1020217035086A KR 20217035086 A KR20217035086 A KR 20217035086A KR 20210134077 A KR20210134077 A KR 20210134077A
Authority
KR
South Korea
Prior art keywords
die
dies
defect
interest
defects
Prior art date
Application number
KR1020217035086A
Other languages
English (en)
Other versions
KR102557188B1 (ko
Inventor
알렉스 림 (텡 송)
가네쉬 미낙쉬순다람
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20210134077A publication Critical patent/KR20210134077A/ko
Application granted granted Critical
Publication of KR102557188B1 publication Critical patent/KR102557188B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0008Industrial image inspection checking presence/absence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54433Marks applied to semiconductor devices or parts containing identification or tracking information
    • H01L2223/5444Marks applied to semiconductor devices or parts containing identification or tracking information for electrical read out

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

본원에서의 실시예들은 인라인 결함 정보를 사용하여 다이 스크리닝하기 위한 방법들, 시스템들, 및 장치들을 포함한다. 이러한 실시예들은 복수의 결함들을 수신하는 것, 복수의 다이들에 대한 웨이퍼소트(wafersort) 전기적 데이터를 수신하는 것, 결함들 각각을 관심 결함 또는 뉴슨스(nuisance)로서 분류하는 것, 관심 결함들 각각에 대한 관심 결함 신뢰도를 결정하는 것, 관심 결함들 중 적어도 하나를 포함하는 다이들 각각에 대한 다이 리턴 지수(die return index)를 결정하는 것, 다이 리턴 지수 커트라인을 결정하는 것, 그리고 잉킹 맵(inking map)을 생성하는 것을 포함할 수 있다. 결함들 각각은 복수의 다이들에서의 하나의 다이와 연관될 수 있다. 다이들 각각은 웨이퍼소트 전기적 테스트에 합격한 것 또는 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅될 수 있다. 결함들 각각을 관심 결함 또는 뉴슨스로서 분류하는 것은 결함 분류 모델 - 이는 기계 학습을 포함할 수 있음 - 을 사용하여 실현될 수 있다. 잉킹 맵은 잉킹 시스템에 전자적으로 전달될 수 있다.

Description

인라인 결함 정보를 사용하는 다이 스크리닝
관련 출원들에 대한 상호참조
본 출원은 2019년 3월 27일에 출원된 미국 가특허 출원 제62/824,900호의 우선권을 주장하며, 이 가특허 출원의 전체 개시 내용은 본원에 원용된다.
기술분야
본 개시는 일반적으로 웨이퍼 검사에 관한 것이다. 특히 더, 본 개시는 일반적으로 웨이퍼 검사 시 오버킬(overkill)의 감소에 관한 것이다.
반도체 산업에서, 킬러 결함들(killer defect)을 가질 위험이 높은 다이들을 스크리닝 아웃(screen out)하기 위한 현재의 방법들이 존재한다. 이러한 방법들은 킬러 결함을 갖는 다이들이 제조로부터 공급망에서의 다음 단계로 넘어가는 것을 방지하는 데 도움이 되기 때문에 중요하다. 그러나, 이러한 기존 방법들은 능률과 효율 사이에서 균형을 잡아야 하고, 이에 따라 개선의 여지가 있다. 대부분의 공급자들은 무결함의 산업 목표를 가지고, 자신들의 공급자 품질 지수를 개선하고자 한다. 이를 위해, 다이들의 초기 고장률(early failure rate, EFR)이 감소될 수 있다.
킬러 결함들은 반도체 디바이스의 오작동 또는 고장을 야기할 수 있는 반면, 비 킬러 결함들은 반도체 디바이스의 성능에 크게 영향을 미치지 않는 것이다. 예를 들어, 킬러 결함들은 a) 미크론 이하의 크기를 갖고, b) 함께 (금속 또는 게이트 스택 러너(runner)들과 같은) 단일 마스크 레벨의 짧은 요소들이 되거나, 이와 동일한 레벨들에서 개방을 야기하며, c) 검사 SEM과 같은 인라인 검사 툴들을 사용하여 검출될 수 있다.
다이들의 초기 고장률을 감소시키기 위한 몇몇 시스템들이 존재한다. 현재, 일부 팹(fab) 및 팹리스(fabless) 회사들은 부품 평균 테스팅(part average testing, PAT)과 같은 방법들을 사용하고 있다. PAT에서, 그 개념은 고위험 다이들(규격 내이지만 다른 다이들의 정규 모집단과 통계적으로 상이한 다이들)을 식별하는 것이다. 지리적 PAT(geographical PAT, G-PAT)는 PAT보다 개선된 것이며, 이는 다른 고장 다이들에 대한 다이의 지리적 근접성에 기초하여 다이의 적합성을 판정한다. G-PAT는 결함들이 모이는 경향이 있다는 경험적 이해를 더해, 변이의 양품 다이(good-die) 불량품 이웃(bad-neighborhood)을 가진다. 모수적 PAT(parametric PAT, P-PAT)는 결정적인 전기적 테스트 모수들을 정의하는 것을 수반하고, 정규 분포들로부터의 특이치(outlier)들은 제거되는 것으로 추측된다.
일부 회사들은 다이가 스크리닝 아웃되어야 하는지 여부를 결정하기 위한 기준으로서 인라인 검사로부터의 결함의 크기를 사용할 수 있다.
많은 회사들에서, 스크리닝 아웃된 다이들의 경계를 표시하기 위해 잉킹(inking) 공정이 사용된다. 이러한 공정에서, 스크리닝 아웃("잉킹 아웃(ink out)")할 다이들을 지정하는 웨이퍼 맵이 잉킹 시스템에 송신된다. 잉킹 시스템은 스크리닝 아웃되어야 할 다이들 상에 가시적인 잉킹 표시를 해둔다. 이러한 방식으로, 이들 "잉킹 아웃된" 다이들이 고장 다이들인 것으로 고려됨에 따라, 이것들은 제조 공정으로부터 제거될 수 있다. 이에 따라, 제조사는 고장났거나 조기에 고장날 가능성이 있는 것으로 고려하는 다이들에 더 이상 시간, 에너지, 및 자원들을 소모하지 않을 수 있다.
그러나, 이들 시스템들은 최소한의 결함 정보를 갖는 전기적 데이터만을 사용한다. 이는 일반적으로 오버킬, 즉 양품이고 전기적 테스트에 합격한 다이들, 현장에서 고장이 나지 않을 수 있는 다이들, 그리고 응력 테스트 시 과잉 연소 하에서 고장이 나지 않을 수 있는 다이들을 스크리닝 아웃하는 결과를 가져온다. 오버킬은 팹 회사의 백만분율(ppm)로 측정되는 반도체 공급자 신뢰성 지수의 저하, 및 수익 손실(lost revenue)을 초래한다.
또한, 전술한 테스트 기법들의 테스트 커버리지에 기초한 알려지지 않는 인자도 존재한다. 이것들의 커버리지는 통상적으로 다이들의 완전한 기능의 단지 60-80%이다. 이는 다이를 스크리닝 아웃하는 데 사용되는 정보에 큰 차이가 있다는 증거이다.
따라서, 잉킹할 다이들을 스크리닝 아웃하기 위한 개선된 시스템들이 요구된다.
하기는 본 발명에 대한 초기 이해를 제공하는 간략한 개요이다. 본 개요는 핵심 요소들을 확인하는 것도 본 발명의 범위를 제한하는 것도 아니고, 단지 하기의 설명에 대한 도입부로서의 역할을 할 뿐이다.
제1 실시예에서 방법이 제공된다. 본 방법은 복수의 결함들을 수신하는 단계, 복수의 다이들에 대한 웨이퍼소트(wafersort) 전기적 데이터를 수신하는 단계, 결함들 각각을 관심 결함 또는 뉴슨스(nuisance)로서 분류하는 단계, 관심 결함들 각각에 대한 관심 결함 신뢰도를 결정하는 단계, 적어도 하나의 관심 결함을 포함하는 다이들 각각에 대한 다이 리턴 지수(die return index)를 결정하는 단계, 다이 리턴 지수 커트라인을 결정하는 단계, 및 잉킹 맵을 생성하는 단계를 포함할 수 있다.
제2 실시예에서 시스템이 제공된다. 시스템은 검사 툴, 전자 데이터 저장 유닛, 및 프로세서를 포함할 수 있다.
검사 툴은 입자 방출기, 스테이지, 및 검출기를 포함할 수 있다. 입자 방출기는 입자 빔 내의 입자들, 예를 들어, 광자들 또는 전자들을 방출하도록 구성될 수 있다. 스테이지는 입자 방출기에 의해 방출된 입자 빔의 경로에 웨이퍼를 홀딩하도록 구성될 수 있다. 검출기는 웨이퍼에 의해 반사된 입자들의 일부를 검출하도록 구성될 수 있고, 복수의 다이들을 갖는 웨이퍼 이미지를 생성할 수 있다.
전자 데이터 저장 유닛은 방안을 저장하도록 구성될 수 있다. 방안은 결함 분류 모델을 포함할 수 있다.
프로세서는 검사 툴 및 전자 데이터 저장 유닛과 전자 통신할 수 있다. 프로세서는 웨이퍼에 대해, 복수의 결함들을 수신하도록, 복수의 다이들에 대한 웨이퍼소트 전기적 데이터를 수신하도록, 결함들 각각을 관심 결함 또는 뉴슨스로서 분류하도록, 관심 결함들 각각에 대한 관심 결함 신뢰도를 결정하도록, 적어도 하나의 관심 결함을 포함하는 다이들 각각에 대한 다이 리턴 지수를 결정하도록, 다이 리턴 지수 커트라인을 결정하도록, 그리고 잉킹 맵을 생성하도록 구성될 수 있다.
제3 실시예에서 컴퓨터 판독 가능한 비일시적 저장 매체가 제공된다. 본 컴퓨터 판독 가능한 비일시적 저장 매체는 하나 이상의 컴퓨팅 디바이스 상에서 단계들을 실행하기 위한 하나 이상의 프로그램을 포함할 수 있다. 그 단계들은 복수의 결함들을 수신하는 단계, 복수의 다이들에 대한 웨이퍼소트 전기적 데이터를 수신하는 단계, 결함들 각각을 관심 결함 또는 뉴슨스로서 분류하는 단계, 관심 결함들 각각에 대한 관심 결함 신뢰도를 결정하는 단계, 적어도 하나의 관심 결함을 포함하는 다이들 각각에 대한 다이 리턴 지수를 결정하는 단계, 다이 리턴 지수 커트라인을 결정하는 단계, 및 잉킹 맵을 생성하는 단계를 포함할 수 있다.
실시예들에서, 결함들 각각은 복수의 다이들에서의 하나의 다이와 연관될 수 있다. 다이들 각각은 웨이퍼소트 전기적 테스트에 합격한 것 또는 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅될 수 있다. 결함들 각각을 관심 결함 또는 뉴슨스로서 분류하는 것은 결함 분류 모델을 사용하여 실현될 수 있다. 관심 결함들로서 분류되는 복수의 결함들이 존재할 수 있다.
잉킹 맵은 고위험 불합격 다이를 갖는 웨이퍼를 나타낼 수 있다. 고위험 불합격 다이는 다이 리턴 지수 커트라인을 초과하는 다이 리턴 지수를 갖는 다이일 수 있고, 고위험 불합격 다이는 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅될 수 있다. 잉킹 맵은 다이 잉킹 시스템으로 입력되도록 구성될 수 있는 전자 파일을 구성할 수 있다. 전자 파일은 SINF 파일일 수 있다.
또한, 오버킬이 제공될 수 있다. 오버킬은 고위험 불합격 다이들의 수량 대 웨이퍼소트 전기적 테스트에 합격한 것으로서 태깅된 다이들의 수량의 비일 수 있다. 몇몇 실시예들에서, 프로세서가 오버킬을 제공할 수 있다. 몇몇 다른 실시예들에서는, 하나 이상의 프로그램이 오버킬을 제공할 수 있다.
결함 분류 모델은 기계 학습 모델일 수 있다. 기계 학습 모델은 랜덤 포레스트(Random Forest) 또는 XGBoost를 사용하여 구축될 수 있다.
다이 리턴 지수는 주어진 다이 상에 포함된 결함들 각각의 관심 결함 신뢰도들의 합을 포함할 수 있다. 이는 적어도 하나의 관심 결함을 포함하는 다이들 각각에 대한 것일 수 있다.
다이 리턴 지수 커트라인은 다이 리턴 지수들의 기하 평균을 포함할 수 있다.
전자 파일은 또한, 다이 잉크 시스템에 전자적으로 송신될 수 있다. 몇몇 실시예들에서, 전자 파일은 또한, 프로세서와 전자 통신하는 다이 잉킹 시스템에 프로세서에 의해 전자적으로 송신될 수 있다.
본 개시의 본질 및 목적들에 대한 보다 충분한 이해를 위해, 첨부 도면들과 관련하여 취해지는 하기의 구체적인 내용이 참조되어야 하며, 이 첨부 도면들에서:
도 1a는 제1 빈 소트(bin sort) 웨이퍼 맵을 도시하고;
도 1b는 제1 결함 적층 웨이퍼 맵을 도시하고;
도 1c는 제1 관심 결함 신뢰도 웨이퍼 맵을 도시하고;
도 1d는 제1 관심 결함 신뢰도 특이치 웨이퍼 맵을 도시하고;
도 2a는 제2 빈 소트 웨이퍼 맵을 도시하고;
도 2b는 제2 결함 적층 웨이퍼 맵을 도시하고;
도 2c는 제2 관심 결함 신뢰도 웨이퍼 맵을 도시하고;
도 2d는 제2 관심 결함 신뢰도 특이치 웨이퍼 맵을 도시하고;
도 3a는 필터링되지 않은 잉킹 맵을 도시하고;
도 3b는 필터링되지 않은 잉킹 맵을 도시하고;
도 4a는 필터링된 잉킹 맵을 도시하고;
도 4b는 필터링된 잉킹 맵을 도시하고;
도 5는 본 개시의 일 실시예에 따른 방법을 도시하고;
도 6은 관심 결함 신뢰도 합들의 비교를 도시하고;
도 7은 다이 리턴 지수에 기초한 분포를 각 다이에 대한 관심 결함 신뢰도의 합들의 분포로서 도시하고;
도 8은 본 개시의 일 실시예에 따른 시스템을 도시하며;
도 9는 본 개시의 일 실시예에 따른 시스템을 도시한다.
청구되는 본 발명 내용이 특정 실시예들의 관점에서 설명될 것이지만, 본원에서 제시되는 모든 이점들과 특징들을 제공하지는 않는 실시예들을 포함하는 다른 실시예들도 또한 본 개시의 범위 내이다. 본 개시의 범위로부터 벗어나지 않으면서 다양한 구조적, 논리적, 공정 단계, 및 전자적 변경들이 이루어질 수 있다. 따라서, 본 개시의 범위는 첨부된 청구범위를 참조하여서만 정의된다.
본원에서 개시되는 실시예들은 기계 학습에 의한 인라인 결함 정보를 사용하여 다이들을 스크리닝하기 위한 방법들, 시스템들, 및 장치들을 포함한다. 이러한 실시예들 및/또는 구현들은 웨이퍼 검사 공정들에서 이점들을 제공할 수 있다. 이러한 실시예들에서, 고위험 다이에 대해 더 많은 결함 정보가 이용 가능할 수 있으며, 이는 사용자로 하여금 다이를 스크리닝 아웃할지 여부에 관해 더 많은 정보에 근거한 결정을 내릴 수 있게 한다. 추가적인 결함 정보는 특히, 결함 분류, 결함 이미지들, 및 결함 속성들을 포함할 수 있다.
또한, 본 개시의 실시예들은 다이들의 오버킬을 감소시킬 수 있다. 본원에서 논의될 때, 오버킬은 웨이퍼 상의 다이들이 웨이퍼소트 전기적 테스트에 불합격했음이 밝혀질 때 필요한 것보다 더 많은 다이들을 잉킹 아웃하는 것이다. G-PAT와 같은 이전의 방법들을 사용하면, 웨이퍼소트에 불합격한 다이들의 클러스터 주위의 다이들이 불필요하게 잉킹 아웃되어, 오버킬을 초래할 것이다. 다른 이전의 방법들은 불완전한 정보 데이터 세트들에 의존하기 때문에, 또한 상당한 오버킬을 초래한다.
획득되는 정보는 비용을 낮추고 오버킬을 감소시킬 수 있다. 이러한 방식으로, 거의 모든 웨이퍼들이 검사될 수 있으며, 즉 모든 결정적인 단계들에서 샘플링 레이트가 대폭 증가될 수 있다.
이러한 실시예들은 더 높은 확률의 EFR을 갖는 다이들을 식별함으로써 불량 다이들이 생산 공정을 통과하게 되는 문제를 해결할 수 있다. 이것들은 인라인 결함 관점에서, 다이의 상태 및 다이의 이력에 관해 더 많은 컨텍스트를 제공하기 위해 인라인 결함 정보를 이용할 수 있다. 이는 사용자들로 하여금 주어진 다이를 스크리닝 아웃할지 여부에 관해 더 많은 정보에 근거한 결정을 내릴 수 있게 한다.
일 예시에서, 도 1a 내지 도 1d는 제1 예시적인 웨이퍼에 대한 검사 공정에서 관찰 가능한 일련의 웨이퍼 맵들을 도시한다. 도 1a는 제1 빈 소트 웨이퍼 맵(101)을 도시한다. 빈 소트 웨이퍼 맵(101)은 각 다이의 세부 사항들이 위에 있는 웨이퍼의 표현일 수 있다. 이러한 결과는 웨이퍼가 제조를 완료한 후 웨이퍼소트 테스팅으로부터 온 것이고, 웨이퍼가 기능을 다하는지 여부를 테스트한 것일 수 있다. 도 1b는 결함 적층 웨이퍼 맵(102)을 도시한다. 결함 적층 웨이퍼 맵(102)은 빈 소트 웨이퍼 맵(101)과 유사하지만, 시각적으로 표시되고, 그 위에 적층되는 모든 결함 층들을 포함한다. 이는 결함 검사기들로부터의 인라인 결함 검사의 결과이다. 도 1c는 관심 결함(defect-of-interest, DOI) 신뢰도 웨이퍼 맵(103)을 도시하며, 이는 DOI들을 포함하는 모든 다이들을 합산된 신뢰도와 디스플레이한다. 어떤 면에서, DOI 신뢰도 웨이퍼 맵(103)은 히트 맵(heat map)과 유사하다. 이는 결함들의 결과들을 기계 학습 모델을 사용하여 컴퓨팅해, 각 결함에 대한 DOI 신뢰도 결과를 반환함으로써 생성된 결과이다. 도 1d는 DOI 신뢰도 특이치 다이 웨이퍼 맵(104)을 도시하며, 이는 보다 높은 DOI 신뢰도를 갖는 특이치 다이들을 필터링한 후에 남은 다이들을 디스플레이한다.
또 다른 예시에서, 도 2a 내지 도 2d는 제2 예시적인 웨이퍼에 대한 검사 공정에서 관찰 가능한 일련의 웨이퍼 맵들을 도시한다. 이러한 방식으로, 도 2a는 제2 예시적인 웨이퍼에 대해, 빈 소트 웨이퍼 맵(101)과 유사한 빈 소트 웨이퍼의 맵(201)을 도시한다. 도 2b는 제2 예시적인 웨이퍼에 대해, 결함 적층 웨이퍼 맵(102)과 유사한 결함 적층 웨이퍼의 맵(202)을 도시한다. 도 2c는 제2 예시적인 웨이퍼에 대해, DOI 신뢰도 웨이퍼 맵(103)과 유사한 DOI 신뢰도 웨이퍼 맵(203)을 도시한다. 도 2d는 제2 예시적인 웨이퍼에 대해, DOI 신뢰도 특이치 다이 웨이퍼 맵(104)과 유사한 DOI 신뢰도 특이치 다이 웨이퍼 맵(204)을 도시한다.
몇몇 공정들에서, DOI 신뢰도 웨이퍼 맵들(103 및 203)과 같은 웨이퍼 맵들은 추후에 어느 다이들이 스크리닝 아웃되고 잉킹되어야 하는지를 결정하기 위해, 잉킹 맵들을 생성하는 데 사용될 수 있다. 예를 들어, 이러한 방법들을 사용하여, DOI 신뢰도 특이치 다이 웨이퍼(103) 상의 임의의 다이들이 불량인 것으로 고려되고, 도 3a에 도시된 잉킹 맵(301)과 같은 잉킹 맵이 만들어진다. 마찬가지로, 예를 들어, 이러한 방법들을 사용하여, DOI 신뢰도 특이치 다이 웨이퍼(203) 상의 임의의 다이들이 불량인 것으로 고려되고, 도 3b에 도시된 잉킹 맵(302)과 같은 잉킹 맵이 만들어진다. 결함 적층 웨이퍼 맵(102)에 의해 나타나는 웨이퍼 상의 다이들을 잉킹 아웃하는 데 잉킹 맵(301)을 사용하는 것, 또는 결함 적층 웨이퍼의 맵(202)에 의해 나타나는 웨이퍼 상에 다이들을 잉킹 아웃하는 데 잉크 맵(302)을 사용하는 것은 오버킬을 초래할 수 있다.
본 개시의 실시예들은 대부분의 단계들에서 - 최소한, 예를 들어, 폴리실리콘 층이 적용된 후, 모든 결정적인 검사 단계들에서 - 웨이퍼들 전부(100%)에 대해 인라인 결함 검사를 수행하는 것을 수반할 수 있다. 이는 본원에서 채용되는 기계 학습 모델들을 트레이닝하는 데 유의미한 데이터를 제공할 것이다. 본 개시의 실시예들은 뉴슨스 - 이는 필터링 아웃되고 배제될 필요가 있음 - 가 항상 존재할 것이라고 가정한다.
본 개시는 도 5에 도시된 바와 같은 방법(500)으로서 구현될 수 있다. 방법(500)은 복수의 결함들을 수신하는 단계(501)를 포함할 수 있다. 각 결함은 복수의 다이들에서의 하나의 다이와 연관될 수 있다. 수신된 결함 데이터(501)는 확장 사용자 정의 속성(user-defined attribute, UDA)들을 포함할 수 있다. 다음은 복수의 다이들에 대한 웨이퍼소트 전기적 데이터를 수신하는 단계(502)일 수 있다. 웨이퍼소트 전기적 데이터는 각 다이에 대해, 각 다이가 웨이퍼소트 전기적 테스트에 합격했는지 또는 웨이퍼소트 전기적 테스트에 불합격했는지를 나타내는 태그 또는 다른 표시를 포함할 수 있다. 웨이퍼소트 전기적 테스트에 합격하는 것 또는 불합격하는 것은 운영자 또는 자동으로 설정되는 기준 중 어느 하나에 의해 판단될 수 있다.
이러한 수신된 데이터(501) 및 수신된 데이터(502)는 데이터베이스 - 이는 예를 들어, UDA들을 포함하는 결함 데이터베이스일 수 있음 - 에 로딩될 수 있다. 몇몇 예시들에서, 이것은 KLA의 Klarity 데이터베이스일 수 있다. 결함 데이터베이스는 다이 데이터의 소스일 수 있다. 로딩 후에, 결함 데이터베이스에서의 결함들은 킬러 결함들에 대한 킬러 결함 분류, 또는 뉴슨스 결함 분류에 속하는 것으로서 식별될 수 있다. 이러한 식별은 기계 학습 모델 - 이는 결함 분류 모델일 수 있음 - 을 생성하는 데 사용될 수 있다.
그 후, 결함들은 결함 분류 모델을 사용하여 DOI 또는 뉴슨스 중 어느 하나로서 분류될 수 있다(503). DOI로서 분류된 결함들은 본 개시의 몇몇 실시예들에 따라 다이들의 상태를 분석하는 데 바람직하고, 이에 따라, DOI로서 분류된 결함들이 앞으로 사용될 것이다. 결함들을 뉴슨스 또는 DOI로서 분류(503)하는 데 사용되는 결함 분류 모델은 기계 학습 모델일 수 있다. 이러한 기계 학습 모델은 입력된 결함을 DOI 또는 뉴슨스로서 분류하도록 구성될 수 있다. 이러한 기계 학습 모델은 예를 들어, 랜덤 포레스트 또는 XGBoost와 같은 방법들을 사용하여 구축될 수 있다.
랜덤 포레스트는 많은 수의 트리들이 무작위로 만들어지고 - 모든 결정 트리의 각 노드에서 속성들이 무작위로 선택됨 -, 모든 빈들이 퓨어해질 때까지 그 트리들이 통상적으로 프루닝(pruning) 없이 구축되는 "앙상블" 기법(ensemble method)이다. 각 빈에 대한 레이블은 해당 빈에 넣어진 트레이닝 세트에서의 결함들의 유형에 의해 결정된다. 이어서, 분류 동안 모든 트리들이 사용되고, 간단한 투표에 기초하여 각 결함이 레이블을 얻는다 - 즉, 대부분의 트리들이 결함을 예를 들어, 관심 결함인 것으로서 분류한다면, 그 결함은 관심 결함으로서 분류될 것이다.
XGBoost, 또는 익스트림 그래디언트 부스팅(extreme gradient boosting)은 그래디언트 부스팅 구현이다. 이는 약 분류기(weak classifier)들의 반복적인 조합에 기초하여 강 분류기(strong classifier)들을 만들기 위해 사용되는 앙상블 기법이다. 약 분류기들인 학습기들만으로 시작하여, 정확도에 대한 미리 정의된 기준에 기초하여 정확한 모델에 도달할 때까지 이전 반복의 에러들을 효과적으로 정정하여, 학습기들이 반복적으로 추가된다.
분류(503) 후에, DOI로서 분류된 각 결함에 대한 DOI 신뢰도가 결정된다(504). 이어서, 이들 DOI 신뢰도들을 사용하여 다이 리턴 지수를 결정할 수 있다(505). 다이 신뢰도 지수는 DOI로서 분류된 적어도 하나의 결함을 포함하는 다이와 연관된 각 DOI의 DOI 신뢰도들의 합을 포함할 수 있다.
도 6은 가변 다이 리턴 지수들을 비교하는 일 예를 도시한다. 다이(601)로서 도시된 제1 다이는 DOI로서 분류되어 DOI 신뢰도들을 갖는 하나 이상의 결함을 갖는다. 이것들의 합은 다이(603)에서 0.7의 다이 리턴 지수를 갖는 것으로서 나타난다. 다이(602)로서 도시된 제2 다이는 DOI로서 분류되어 DOI 신뢰도들을 갖는 하나 이상의 결함을 갖는다. 이것들의 합은 다이(604)에서 3.8의 다이 리턴 지수를 갖는 것으로서 나타난다. 이러한 예에서, 0.7의 다이 리턴 지수를 갖는 다이(603)는 저지수를 갖는 것으로 고려될 수 있고, 3.8의 다이 리턴 지수를 갖는 다이(604)는 고지수를 갖는 것으로 고려될 수 있다.
도 5에 도시된 방법(500)으로 돌아가서, 다이 리턴 지수가 결정(505)된 후에, 다이 리턴 지수 커트라인이 결정될 수 있다(506).
다이 리턴 지수 커트라인은 예를 들어, 웨이퍼 또는 웨이퍼의 서브 세트에 대한 다이 리턴 지수들의 기하 평균을 결정함으로써 결정될 수 있다(506). 예를 들어, 도 7에 다이 리턴 지수들의 도표(700)가 도시되어 있다. 다이 리턴 지수 커트라인(701)은 도시된 지수들의 기하 평균으로 결정된다.
도 5에 도시된 방법(500)으로 돌아가서, 다이 리턴 지수 커트라인이 결정(506)된 후, 잉킹 맵이 생성될 수 있다(507). 생성된 잉킹 맵(507)은 웨이퍼를 나타낼 수 있는 웨이퍼 맵 파일을 포함할 수 있다. 잉킹 맵은 하나의 고위험 불합격 다이, 또는 다수의 고위험 불합격 다이들을 가질 수 있다. 고위험 불합격 다이들은 다이 리턴 지수 커트라인을 초과하는 다이 리턴 지수들을 갖는 다이들일 수 있고, 이것들이 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅될 수 있다. 이러한 방식으로, 오버킬이 감쇠될 수 있다.
또한, 추가 보고 또는 분석을 위해 오버킬의 측정치가 제공될 수 있다. 이러한 측정치는 고위험 불합격 다이들의 수량 대 웨이퍼소트 전기적 테스트에 합격한 것으로서 태깅된 다이들의 수량의 비일 수 있다. 이에 따라, 예를 들어, 방법(500)을 사용하여 스크리닝 아웃된 다이들과 웨이퍼소트 전기적 테스트만을 사용하여 스크리닝 아웃된 다이들 간의 차 - 이것이 오버킬임 - 가 결정될 수 있다.
생성된 잉킹 맵(507)은 전자 파일을 구성할 수 있다. 잉킹 맵을 포함하는 이러한 전자 파일은 다이 잉킹 시스템에 입력되도록 구성될 수 있다. 전자 파일은 SINF 파일일 수 있다. SINF 파일은 웨이퍼 맵 포맷일 수 있고, 웨이퍼 상의 하나 이상의 다이(들)의 상대적인 위치의 텍스트 형식 정의일 수 있으며, 명령어들을 포함할 수 있다. 이러한 방식으로, 잉킹 맵이 생성된 후에, 잉킹 맵은 다이 잉킹 시스템으로 송신될 수 있다. 이러한 잉킹 맵들은 도 4a(잉킹 맵(401)은 결함 적층 웨이퍼 맵(102)에 적용됨에 따른 방법(500)으로부터의 데이터를 사용하여 생성됨) 및 도 4b(잉킹 맵(402)은 결함 적층 웨이퍼 맵(202)에 적용됨에 따른 방법(500)으로부터의 데이터를 사용하여 생성됨)에 도시되어 있다.
본 개시의 일 실시예에서, 본원에서 설명된 방법(500)은 프로세서 상에서 구현된다.
본 개시의 또 다른 실시예에서, 상기한 방법들은 하나 이상의 컴퓨팅 디바이스 상에서의 실행을 위한 하나 이상의 프로그램으로서 구현된다. 이러한 실시예에서, 하나 이상의 프로그램은 컴퓨터 판독 가능한 비일시적 저장 매체 상에 저장된다. 컴퓨터 구현 방법은 본원에서 설명된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다.
시스템(800)의 일 실시예가 도 8에 도시되어 있다. 시스템(800)은 광학 기반 서브 시스템(801)을 포함한다. 일반적으로, 광학 기반 서브 시스템(801)은 표본(802)에 광을 지향시키고(또는 광으로 표본(802)을 스캐닝하고) 표본(802)으로부터의 광을 검출하는 것에 의해 표본(802)에 대한 광학 기반 출력을 생성하도록 구성된다. 일 실시예에서, 표본(802)은 웨이퍼를 포함한다. 웨이퍼는 당업계에 공지된 임의의 웨이퍼를 포함할 수 있다. 또 다른 실시예에서, 표본은 레티클을 포함한다. 레티클은 당업계에 공지된 임의의 레티클을 포함할 수 있다.
도 8에 도시된 시스템(800)의 실시예에서, 광학 기반 서브 시스템(801)은 표본(802)에 광을 지향시키도록 구성된 조명 서브 시스템을 포함한다. 조명 서브 시스템은 적어도 하나의 광원(예를 들어, 입자 방출기)을 포함한다. 예를 들어, 도 8에 도시된 바와 같이, 조명 서브 시스템은 광원(803)을 포함한다. 일 실시예에서, 조명 서브 시스템은 하나 이상의 입사각 - 이는 하나 이상의 경사진 각도 및/또는 하나 이상의 수직인 각도를 포함할 수 있음 - 으로 표본(802)에 광을 지향시키도록 구성된다. 예를 들어, 도 8에서 도시된 바와 같이, 광원(803)으로부터의 광은 광학 요소(804)를 관통해 그리고 이어서 렌즈(805)를 관통해 경사진 입사각으로 표본(802)에 지향된다. 경사진 입사각은 임의의 적합한 경사진 입사각을 포함할 수 있으며, 이는 예를 들어, 표본(802)의 특성들에 따라 달라질 수 있다.
광원(803), 또는 입자 방출기로부터 방출되는 입자들은 광자들일 수 있다. 광원(803), 또는 입자 방출기는 또한 광 - 이는 적외선, 가시광선, 자외선, 또는 x선 광일 수 있음 - 을 방출할 수도 있다.
광학 기반 서브 시스템(801)은 광을 상이한 시간들에 상이한 입사각들로 표본(802)에 지향시키도록 구성될 수 있다. 예를 들어, 광학 기반 서브 시스템(801)은 광이 도 8에서 도시된 것과 상이한 입사각으로 표본(802)에 지향될 수 있게 조명 서브 시스템의 하나 이상의 요소의 하나 이상의 특성을 변경하도록 구성될 수 있다. 이러한 일 예에서, 광학 기반 서브 시스템(801)은 광이 상이한 경사진 입사각 또는 수직인(또는 거의 수직인) 입사각으로 표본(802)에 지향되게 광원(803), 광학 요소(804), 및 렌즈(805)를 이동시키도록 구성될 수 있다.
몇몇 예시들에서, 광학 기반 서브 시스템(801)은 광을 동시에 하나보다 많은 입사각으로 표본(802)에 지향시키도록 구성될 수 있다. 예를 들어, 조명 서브 시스템은 하나보다 많은 조명 채널을 포함할 수 있으며, 조명 채널들 중 하나는 도 8에 도시된 바와 같이 광원(803), 광학 요소(804), 및 렌즈(805)를 포함할 수 있고, 조명 채널들 중 또 다른 하나(도시되지 않음)는 상이하게 또는 동일하게 구성될 수 있는 유사한 요소들을 포함할 수 있거나, 또는 적어도 광원 그리고 가능하게는 본원에서 추가로 설명될 것들과 같은 하나 이상의 다른 구성요소를 포함할 수 있다. 이러한 광이 다른 광과 동시에 표본에 지향되는 경우, 상이한 입사각들로 표본(802)을 조명함으로써 초래된 광이 검출기(들)에서 서로 구별될 수 있도록 상이한 입사각들로 표본(802)에 지향되는 광의 하나 이상의 특성(예를 들어, 파장, 편광 등)이 상이할 수 있다.
또 다른 예시에서, 조명 서브 시스템은 단지 하나의 광원(예를 들어, 도 8에 도시된 광원(803))만을 포함할 수 있고, 광원으로부터의 광이 조명 서브 시스템의 하나 이상의 광학 요소(도시되지 않음)에 의해 (예를 들어, 파장, 편광 등에 기초하여) 상이한 광로들로 분리될 수 있다. 이어서, 상이한 광로들 각각에서의 광이 표본(802)에 지향될 수 있다. 다중 조명 채널들은 광을 동시에 또는 상이한 시간들(예를 들어, 상이한 조명 채널들이 순차적으로 표본을 조명하는 데 사용될 때)에 표본(802)에 지향시키도록 구성될 수 있다. 또 다른 예시에서는, 동일한 조명 채널이 상이한 특성들을 갖는 광을 상이한 시간들에 표본(802)에 지향시키도록 구성될 수 있다. 예를 들어, 몇몇 예시들에서, 광학 요소(804)는 스펙트럼 필터로서 구성될 수 있고, 상이한 파장들의 광이 상이한 시간들에 표본(802)에 지향될 수 있도록 스펙트럼 필터의 속성들이 다양한 상이한 방식들로(예를 들어, 스펙트럼 필터를 바꿈으로써) 변경될 수 있다. 조명 서브 시스템은 상이하거나 동일한 특성들을 갖는 광을 순차적으로 또는 동시에 상이한 또는 동일한 입사각들로 표본(802)에 지향시키기에 적합한 당업계에 공지된 임의의 다른 구성을 가질 수 있다.
일 실시예에서, 광원(803)은 광대역 플라즈마(broadband plasma, BBP)원을 포함할 수 있다. 이러한 방식으로, 광원(803)에 의해 생성되어 표본(802)에 지향되는 광은 광대역 광을 포함할 수 있다. 그러나, 광원은 레이저 또는 램프와 같은 임의의 다른 적합한 광원을 포함할 수 있다. 레이저는 당업계에 공지된 임의의 적합한 레이저를 포함할 수 있고, 당업계에 공지된 임의의 적합한 파장 또는 파장들의 광을 생성하도록 구성될 수 있다. 또한, 레이저는 단색인 광 또는 단색에 가까운 광을 생성하도록 구성될 수 있다. 이러한 방식으로, 레이저는 협대역 레이저일 수 있다. 광원(803)은 또한 다수의 이산적인 파장들 또는 파대역들의 광을 생성하는 다색 광원을 포함할 수도 있다.
광학 요소(804)로부터의 광은 렌즈(805)에 의해 표본(802) 상으로 집속될 수 있다. 도 8에서는 렌즈(805)가 단일 굴절형 광학 요소로서 도시되어 있지만, 실제로, 렌즈(805)는 조합시 광학 요소로부터의 광을 표본에 집속시키는 다수의 굴절형 및/또는 반사형 광학 요소들을 포함할 수 있다는 것을 이해할 것이다. 도 8에 도시되고 본원에서 설명된 조명 서브 시스템은 임의의 다른 적합한 광학 요소들(도시되지 않음)을 포함할 수 있다. 이러한 광학 요소들의 예들은 편광 부품(들), 스펙트럼 필터(들), 공간 필터(들), 반사형 광학 요소(들), 아포다이저(apodizer)(들), 빔 스플리터(들)(이를테면, 빔 스플리터(813)), 조리개(들) 기타 같은 종류의 것 - 이는 당업계에 공지된 임의의 이러한 적합한 광학 요소들을 포함할 수 있음 - 을 포함하지만, 이에 제한되지 않는다. 또한, 광학 기반 서브 시스템(801)은 광학 기반 출력을 생성하기 위해 사용될 조명의 유형에 기초하여 조명 서브 시스템의 요소들 중 하나 이상을 변경하도록 구성될 수 있다.
광학 기반 서브 시스템(801)은 또한 광이 표본(802)을 스캐닝하게 하도록 구성된 스캐닝 서브 시스템을 포함할 수 있다. 예를 들어, 광학 기반 서브 시스템(801)은 광학 기반 출력 생성 동안 표본(802)이 배치되는 스테이지(806)를 포함할 수 있다. 스캐닝 서브 시스템은 광이 표본(802)을 스캐닝할 수 있게 표본(802)을 이동시키도록 구성될 수 있는 임의의 적합한 기계식 및/또는 로봇식 어셈블리(이것이 스테이지(806)를 포함함)를 포함할 수 있다. 추가적으로, 또는 대안적으로, 광학 기반 서브 시스템(801)은 광학 기반 서브 시스템(801)의 하나 이상의 광학 요소가 광의 표본(802)에 대한 일부 스캐닝을 수행하도록 구성될 수 있다. 광은 구불구불한 경로 또는 나선 경로와 같은 임의의 적합한 방식으로 표본(802)을 스캐닝할 수 있다.
광학 기반 서브 시스템(801)은 또한 하나 이상의 검출 채널을 포함한다. 하나 이상의 검출 채널 중 적어도 하나는 서브 시스템이 표본(802)을 조명하는 것으로 인한 표본(802)으로부터의 광을 검출하도록 그리고 검출된 광에 반응하여 출력을 생성하도록 구성된 검출기를 포함한다. 예를 들어, 도 8에 도시된 광학 기반 서브 시스템(801)은 두 개의 검출 채널들을 포함하는데, 이들 중 하나의 검출 채널은 집광기(807), 요소(808), 및 검출기(809)에 의해 형성되고, 또 다른 하나의 검출 채널은 집광기(810), 요소(811), 및 검출기(812)에 의해 형성된다. 도 8에 도시된 바와 같이, 두 개의 검출 채널들은 상이한 집광 각도들에서 광을 집광하고 검출하도록 구성된다. 몇몇 예시들에서, 양자의 검출 채널들은 산란광을 검출하도록 구성되고, 검출 채널들은 표본(802)으로부터 상이한 각도들로 산란되는 광을 검출하도록 구성된다. 그러나, 검출 채널들 중 하나 이상은 표본(802)으로부터의 또 다른 유형의 광(예를 들어, 반사광)을 검출하도록 구성될 수 있다.
도 8에 또한 도시된 바와 같이, 양자의 검출 채널들은 지면 내에 위치된 것으로 도시되어 있고, 조명 서브 시스템도 또한 지면 내에 위치된 것으로 도시되어 있다. 이에 따라, 이 실시예에서, 양자의 검출 채널들은 입사면 내에 위치된다(예를 들어, 입사면 내에 그 중심이 있다). 그러나, 검출 채널들 중 하나 이상은 입사면 밖에 위치될 수 있다. 예를 들어, 집광기(810), 요소(811), 및 검출기(812)에 의해 형성된 검출 채널이 입사면 밖으로 산란되는 광을 집광하고 검출하도록 구성될 수 있다. 이에 따라, 이러한 검출 채널을 "측면(side)" 채널로서 통칭할 수 있고, 이러한 측면 채널은 입사면에 실질적으로 수직한 평면 내에 그 중심이 있을 수 있다.
도 8은 두 개의 검출 채널들을 포함하는 광학 기반 서브 시스템(801)의 일 실시예를 도시하지만, 광학 기반 서브 시스템(801)은 상이한 수의 검출 채널(예를 들어, 단지 하나의 검출 채널 또는 두 개 이상의 검출 채널들)을 포함할 수 있다. 이러한 일 예시에서, 집광기(810), 요소(811), 및 검출기(812)에 의해 형성되는 검출 채널은 상술한 바와 같이 하나의 측면 채널을 형성할 수 있고, 광학 기반 서브 시스템(801)은 입사면의 반대측 상에 위치되는 또 다른 측면 채널로서 형성되는 추가적인 검출 채널(도시되지 않음)을 포함할 수 있다. 이에 따라, 광학 기반 서브 시스템(801)은 집광기(807), 요소(808), 및 검출기(809)를 포함하고, 입사면 내에 그 중심이 위치하며, 표본(802)의 표면에 수직이거나 또는 거의 수직인 산란각(들)의 광을 집광하고 검출하도록 구성된 검출 채널을 포함할 수 있다. 따라서, 이러한 검출 채널을 "상면(top)" 채널로서 통칭할 수 있고, 광학 기반 서브 시스템(801)은 상술한 바와 같이 구성된 두 개 이상의 측면 채널들을 또한 포함할 수 있다. 이와 같이, 광학 기반 서브 시스템(801)은 적어도 세 개의 채널들(즉, 하나의 상면 채널 및 두 개의 측면 채널들)을 포함할 수 있고, 적어도 세 개의 채널들 각각은 각자의 집광기 - 각각이 다른 집광기들 각각과 상이한 산란각들의 광을 집광하도록 구성됨 - 를 갖는다.
또한 상술한 바와 같이, 광학 기반 서브 시스템(801)에 포함되는 검출 채널들 각각은 산란광을 검출하도록 구성될 수 있다. 이에 따라, 도 8에 도시된 광학 기반 서브 시스템(801)은 표본들(802)에 대한 암시야(dark field, DF) 출력 생성을 위해 구성될 수 있다. 그러나, 광학 기반 서브 시스템(801)은 또한 또는 대안적으로 표본들(802)에 대한 명시야(bright field, BF) 출력 생성을 위해 구성되는 검출 채널(들)을 포함할 수도 있다. 다시 말해서, 광학 기반 서브 시스템(801)은 표본(802)으로부터 정반사되는(specularly reflected) 광을 검출하도록 구성되는 적어도 하나의 검출 채널을 포함할 수 있다. 이에 따라, 본원에서 설명된 광학 기반 서브 시스템들(801)은 DF 이미징만을 위해 구성되거나, BF 이미징만을 위해 구성되거나, 또는 DF와 BF 이미징 양자를 위해 구성될 수 있다. 도 8에서는 집광기들 각각이 단일의 굴절형 광학 요소들로서 도시되어 있지만, 집광기들 각각은 하나 이상의 굴절형 광학 요소(들) 및/또는 하나 이상의 반사형 광학 요소(들)를 포함할 수 있다는 것을 이해할 것이다.
하나 이상의 검출 채널은 당업계에 공지된 임의의 적합한 검출기를 포함할 수 있다. 예를 들어, 검출기들은 광전 증배관들(photo-multiplier tube, PMT), 전하 결합 디바이스들(charge coupled device, CCD), 시간 지연 적분(time delay integration, TDI) 카메라들, 및 당업계에 공지된 임의의 다른 적합한 검출기들을 포함할 수 있다. 검출기들은 또한 비 이미징(non-imaging) 검출기들 또는 이미징 검출기들을 포함할 수 있다. 이러한 방식으로, 검출기들이 비 이미징 검출기들인 경우, 검출기들 각각은 세기와 같은 산란광의 특정 특성들을 검출하도록 구성될 수 있지만, 이러한 특성들을 이미징 평면 내 위치의 함수로서 검출하도록 구성되지는 않을 수 있다. 이에 따라, 광학 기반 시스템의 검출 채널들 각각에 포함된 검출기들 각각에 의해 생성되는 출력은 신호들 또는 데이터일 수 있지만, 이미지 신호들 또는 이미지 데이터는 아닐 수 있다. 이러한 예시들에서, 프로세서(814)와 같은 프로세서가 검출기들의 비 이미징 출력으로부터 표본(802)의 이미지들을 생성하도록 구성될 수 있다. 그러나, 다른 예시들에서는, 검출기들이 이미징 신호들 또는 이미지 데이터를 생성하도록 구성되는 이미징 검출기들로서 구성될 수 있다. 따라서, 광학 기반 서브 시스템은 여러가지 방식들로 본원에서 설명된 광학 이미지들 또는 다른 광학 기반 출력을 생성하도록 구성될 수 있다.
도 8은 여기서 본원에서 설명된 시스템 실시예들에 포함될 수 있거나 본원에서 설명된 시스템 실시예들에 의해 사용되는 광학 기반 출력을 생성할 수 있는 광학 기반 서브 시스템(801)의 구성을 일반적으로 나타내도록 제공된 것임에 유념한다. 본원에서 설명된 광학 기반 서브 시스템(801) 구성은 상용 출력 획득 시스템을 설계할 때 보통 수행되는 바와 같이 광학 기반 서브 시스템(801)의 성능을 최적화하도록 변경될 수 있다. 또한, 본원에서 설명된 시스템들은 기존의 시스템을 사용하여(예를 들어, 기존 시스템에 본원에서 설명된 기능을 추가함으로써) 구현될 수 있다. 이러한 몇몇 시스템들에 대해, 본원에서 설명된 방법들은 (예를 들어, 시스템의 다른 기능에 추가하여) 시스템의 선택 사항적 기능으로서 제공될 수 있다. 대안적으로, 본원에서 설명된 시스템은 완전히 새로운 시스템으로서 설계될 수 있다.
도 9는 시스템(900)의 일 실시예의 블록도이다. 시스템(900)은 웨이퍼 또는 레티클을 포함할 수 있는 표본(904)의 이미지들을 생성하도록 구성된 웨이퍼 검사 툴(전자 칼럼(901)을 포함함)을 포함한다.
웨이퍼 검사 툴은 적어도 에너지원 및 검출기를 포함하는 출력 획득 서브 시스템을 포함한다. 출력 획득 서브 시스템은 전자 빔 기반 출력 획득 서브 시스템일 수 있다. 예를 들어, 일 실시예에서, 표본(904)으로 지향되는 에너지는 전자들을 포함하고, 표본(904)으로부터 검출되는 에너지는 전자들을 포함한다. 이러한 방식으로, 에너지원은 전자 빔원일 수 있다. 도 9에 도시된 이러한 일 실시예에서, 출력 획득 서브 시스템은 전자 칼럼(901)을 포함하며, 이는 컴퓨터 서브 시스템(902)에 커플링된다. 스테이지(910)는 표본(904)를 홀딩할 수 있다.
또한 도 9에서 도시된 바와 같이, 전자 칼럼(901)은 하나 이상의 요소(905)에 의해 표본(904)에 집속되는 전자들을 생성하도록 구성된 전자빔원(903)(예를 들어, 입자 방출기)을 포함한다. 전자 빔원(903)은 예를 들어, 캐소드 소스 또는 방출기 팁을 포함할 수 있다. 하나 이상의 요소(905)는 예를 들어, 건 렌즈(gun lens), 애노드, 빔 제한 조리개, 게이트 밸브, 빔 전류 선택 조리개, 대물 렌즈, 및 스캐닝 서브 시스템을 포함할 수 있으며, 이들 모두는 당업계에 공지된 임의의 적합한 요소들을 포함할 수 있다.
표본(904)으로부터 리턴되는 전자들(예를 들어, 2차 전자들)은 하나 이상의 요소(906)에 의해 검출기(907)에 집속될 수 있다. 하나 이상의 요소(906)는 예를 들어, 요소(들)(905)에 포함된 동일한 스캐닝 서브 시스템일 수 있는 스캐닝 서브 시스템을 포함할 수 있다.
전자 칼럼(901)은 또한 당업계에 공지된 임의의 다른 적합한 요소들을 포함할 수 있다.
도 9에서는 전자들이 비스듬한 입사각으로 표본(904)에 지향되고 또 다른 비스듬한 각도로 표본(904)으로부터 산란되도록 전자 칼럼(901)이 구성되는 것으로서 도시되어 있지만, 전자 빔은 임의의 적합한 각도들로 표본(904)에 지향되고 표본(904)으로부터 산란될 수 있다. 또한, 전자 빔 기반 출력 획득 서브 시스템은 (예를 들어, 상이한 조명 각도들, 집광 각도들 등으로) 표본(904)의 이미지들을 생성하기 위해 다중 모드들을 사용하도록 구성될 수 있다. 전자 빔 기반 출력 획득 서브 시스템의 다수의 모드들은 출력 획득 서브 시스템의 임의의 이미지 생성 파라미터들이 상이할 수 있다.
컴퓨터 서브 시스템(902)은 상술한 바와 같이 검출기(907)에 커플링될 수 있다. 검출기(907)는 표본(904)의 표면으로부터 리턴된 전자들을 검출함으로써 표본(904)의 전자 빔 이미지를 형성할 수 있다. 전자 빔 이미지들은 임의의 적합한 전자 빔 이미지들을 포함할 수 있다. 컴퓨터 서브 시스템(902)은 검출기(907)의 출력 및/또는 전자 빔 이미지들을 사용하여 본원에서 설명된 기능들 중 임의의 기능을 수행하도록 구성될 수 있다. 컴퓨터 서브 시스템(902)은 본원에서 설명된 임의의 추가적인 단계(들)를 수행하도록 구성될 수 있다. 도 9에 도시된 출력 획득 서브 시스템을 포함하는 시스템(900)은 또한 본원에서 설명된 바와 같이 구성될 수 있다.
도 9는 여기서 본원에서 설명된 시스템 실시예들에 포함될 수 있는 전자 빔 기반 출력 획득 서브 시스템의 구성을 일반적으로 나타내도록 제공된 것임에 유념한다. 본원에서 설명된 전자 빔 기반 출력 획득 서브 시스템 구성은 상용 출력 획득 시스템을 설계할 때 보통 수행되는 바와 같이 출력 획득 서브 시스템의 성능을 최적화하도록 변경될 수 있다. 또한, 본원에서 설명된 시스템들은 기존의 시스템을 사용하여(예를 들어, 기존 시스템에 본원에서 설명된 기능을 추가함으로써) 구현될 수 있다. 이러한 몇몇 시스템들에 대해, 본원에서 설명된 방법들은 (예를 들어, 시스템의 다른 기능에 추가하여) 시스템의 선택 사항적 기능으로서 제공될 수 있다. 대안적으로, 본원에서 설명된 시스템은 완전히 새로운 시스템으로서 설계될 수 있다.
상기에서는 출력 획득 서브 시스템이 전자 빔 기반 출력 획득 서브 시스템인 것으로서 설명되었지만, 출력 획득 서브 시스템은 이온 빔 기반 출력 획득 서브 시스템일 수 있다. 이러한 출력 획득 서브 시스템은 전자 빔원이 당업계에 공지된 임의의 적합한 이온 빔원으로 대체될 수 있는 것을 제외하고는 도 9에 도시된 바와 같이 구성될 수 있다. 또한, 출력 획득 서브 시스템은 시중에서 입수 가능한 집속 이온 빔(focused ion beam, FIB) 시스템들, 헬륨 이온 현미경(helium ion microscopy, HIM) 시스템들, 및 2차 이온 질량 분석(secondary ion mass spectroscopy, SIMS) 시스템들에 포함되는 것들과 같은 임의의 다른 적합한 이온 빔 기반 출력 획득 서브 시스템일 수 있다.
컴퓨터 서브 시스템(902)은 프로세서(908) 및 전자 데이터 저장 유닛(909)을 포함한다. 프로세서(908)는 마이크로 프로세서, 마이크로 제어기, 또는 다른 디바이스들을 포함할 수 있다.
프로세서(814) 또는 컴퓨터 서브 시스템(902)은 프로세서(814 또는 908)가 각각 출력을 수신할 수 있도록 임의의 적합한 방식으로(예를 들어, 유선 및/또는 무선 전송 매체들을 포함할 수 있는 하나 이상의 전송 매체를 통해) 각각 시스템(800 또는 900)의 구성요소들에 커플링될 수 있다. 프로세서(814 또는 908)는 출력을 사용하여 다수의 기능들을 수행하도록 구성될 수 있다. 시스템(800 또는 900)은 각각 프로세서(814 또는 908)로부터 명령어들 또는 다른 정보를 수신할 수 있다. 프로세서(814 또는 908) 및/또는 전자 데이터 저장 유닛(815 또는 909)은 각각, 선택 사항으로서, 추가적인 정보를 수신하거나 명령어들을 송신하기 위해 또 다른 웨이퍼 검사 툴, 웨이퍼 계측 툴, 또는 웨이퍼 검토 툴(도시되지 않음)과 전자 통신할 수 있다. 예를 들어, 프로세서(814 또는 908) 및/또는 전자 데이터 저장 유닛(815 또는 909)은 각각 스캐닝 전자 현미경과 전자 통신할 수 있다.
본원에서 설명된 프로세서(814 또는 908), 또는 컴퓨터 서브 시스템(902), 다른 시스템(들), 또는 다른 서브 시스템(들)은 개인용 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스, 인터넷 어플라이언스, 또는 다른 디바이스를 비롯하여, 다양한 시스템들의 일부일 수 있다. 서브 시스템(들) 또는 시스템(들)은 또한 병렬 프로세서와 같은 당업계에 공지된 임의의 적합한 프로세서를 포함할 수 있다. 또한, 서브 시스템(들) 또는 시스템(들)은 독립형 또는 네트워크형 툴 중 어느 하나로서, 고속 프로세싱 및 소프트웨어를 갖는 플랫폼을 포함할 수 있다.
프로세서(814 또는 908) 및 전자 데이터 저장 유닛(815 또는 909)은 각각 시스템(800 또는 900) 각각, 또는 또 다른 디바이스 내에 배치될 수 있거나 그 외 다른 방법으로 일부일 수 있다. 일 예에서, 프로세서(814 또는 908) 및 전자 데이터 저장 유닛(815 또는 909)은 각각 독립형 제어 유닛의 일부이거나 중앙 집중형 품질 제어 유닛 내에 있을 수 있다. 다중 프로세서들(814 또는 908) 또는 전자 데이터 저장 유닛들(815 또는 909)은 각각 사용될 수 있다.
프로세서(814 또는 908)는 실행상 하드웨어, 소프트웨어, 및 펌웨어의 임의의 조합에 의해 구현될 수 있다. 또한, 본원에서 설명된 바와 같은 프로세서의 기능들은 하나의 유닛에 의해 수행될 수 있거나, 상이한 구성요소들 간에 분담될 수 있으며, 차례로 이들 구성요소들 각각은 하드웨어, 소프트웨어, 및 펌웨어의 임의의 조합에 의해 구현될 수 있다. 프로세서(814 또는 908)가 다양한 방법들 및 기능들을 구현하기 위한 프로그램 코드 또는 명령어들은 판독 가능한 저장 매체들, 이를테면 각각 전자 데이터 저장 유닛(815 또는 909)에서의 메모리, 또는 다른 메모리에 저장될 수 있다.
시스템(800 또는 900)이 각각 하나보다 많은 프로세서(814), 또는 프로세서(908) 또는 컴퓨터 서브 시스템(902)을 포함한다면, 상이한 서브 시스템들은 이미지들, 데이터, 정보, 명령어들 등이 서브 시스템들 사이에서 송신될 수 있도록 서로 커플링될 수 있다. 예를 들어, 하나의 서브 시스템은 당업계에 공지된 임의의 적합한 유선 및/또는 무선 전송 매체들을 포함할 수 있는 임의의 적합한 전송 매체들에 의해 추가적인 서브 시스템(들)에 커플링될 수 있다. 이러한 서브 시스템들 중 둘 이상은 또한 컴퓨터가 판독 가능한 공유형 저장 매체(도시되지 않음)에 의해 효과적으로 커플링될 수 있다.
프로세서(814 또는 908)는 각각 시스템(800 또는 900)의 출력, 또는 다른 출력을 사용하여 다수의 기능들을 수행하도록 구성될 수 있다. 예를 들어, 프로세서(814 또는 908)는 출력을 각각 전자 데이터 저장 유닛(815 또는 909), 또는 또 다른 저장 매체에 송신하도록 구성될 수 있다. 프로세서(814 또는 908)는 또한 본 명세서에서 설명된 바와 같이 구성될 수 있다.
프로세서(814), 프로세서(908), 또는 컴퓨터 서브 시스템(902)은 결함 검토 시스템, 검사 시스템, 계측 시스템, 또는 몇몇 다른 유형의 시스템의 일부일 수 있다. 따라서, 본원에서 개시된 실시예들은 상이한 적용예들에 보다 더 적합하거나 덜 적합한 상이한 능력들을 갖는 시스템들에 대해 여러가지 방식들로 맞춰질 수 있는 몇몇 구성들을 설명한다.
시스템이 하나보다 많은 서브 시스템을 포함한다면, 상이한 서브 시스템들은 이미지들, 데이터, 정보, 명령어들 등이 서브 시스템들 사이에서 송신될 수 있도록 서로 커플링될 수 있다. 예를 들어, 하나의 서브 시스템은 당업계에 공지된 임의의 적합한 유선 및/또는 무선 전송 매체들을 포함할 수 있는 임의의 적합한 전송 매체들에 의해 추가적인 서브 시스템(들)에 커플링될 수 있다. 이러한 서브 시스템들 중 둘 이상은 또한 컴퓨터가 판독 가능한 공유형 저장 매체(도시되지 않음)에 의해 효과적으로 커플링될 수 있다.
프로세서(814 또는 908)는 본원에서 설명된 실시예들 중 임의의 실시예에 따라 구성될 수 있다. 프로세서(814 또는 908)는 또한 각각 시스템(800 또는 900)의 출력을 사용하거나, 다른 소스들로부터의 이미지들 또는 데이터를 사용하여 다른 기능들 또는 추가적인 단계들을 수행하도록 구성될 수 있다.
프로세서(814 또는 908)는 당업계에 공지된 임의의 방식으로 각각 시스템(800 또는 900)의 다양한 구성요소들 또는 서브 시스템들 중 임의의 것에 통신 가능하게 커플링될 수 있다. 더욱이, 프로세서(814 또는 908)는 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보를(예를 들어, 검사 시스템, 이를테면 검토 툴, 설계 데이터를 포함하는 원격 데이터베이스 등으로부터 검사 결과들을) 수신하고/하거나 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 각각 시스템(800 또는 900)의 다른 서브 시스템들, 또는 각각 시스템(800 또는 900) 외부의 시스템들 과 프로세서(814 또는 908) 사이의 데이터 링크로서 역할을 할 수 있다.
프로세서(814 또는 908)는 각각 검출기(809 또는 812), 또는 검출기(907)와 같은 웨이퍼 검사 툴과 전자 통신한다. 프로세서(814 또는 908)는 각각 검출기(809 또는 812), 또는 검출기(907)로부터의 측정치들을 사용하여 생성된 이미지들을 프로세싱하도록 구성될 수 있다. 예를 들어, 프로세서(814 또는 908)는 방법(500)의 실시예들을 수행하도록 구성될 수 있다.
추가적인 실시예는 본원에 개시된 바와 같이, 표본(802 또는 904)의 이미지드을 프로세싱하기 위한 컴퓨터 구현 방법을 수행하기 위해 제어기 상에서 실행 가능한 프로그램 명령어들을 저장하는 컴퓨터 판독 가능한 비일시적 매체에 관한 것이다. 특히, 도 8 또는 도 9에 도시된 바와 같이, 전자 데이터 저장 유닛(815 또는 909), 또는 다른 저장 매체는 각각 프로세서(814 또는 908) 상에서 실행 가능한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능한 비일시적 매체를 포함할 수 있다. 컴퓨터 구현 방법은 방법(500)을 비롯하여, 본원에서 설명된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다.
본원에서 설명된 것들과 같은 방법들을 구현하는 프로그램 명령어들은 컴퓨터 판독 가능한 매체 상에, 이를테면 전자 데이터 저장 유닛(815 또는 909), 또는 다른 저장 매체에 저장될 수 있다. 컴퓨터 판독 가능한 매체는 자기 또는 광학 디스크, 자기 테이프, 또는 당업계에 공지된 임의의 다른 적합한 컴퓨터 판독 가능한 비일시적 매체와 같은 저장 매체일 수 있다.
프로그램 명령어들은 특히, 절차 기반 기법들, 구성요소 기반 기법, 및/또는 객체 지향 기법들을 비롯하여, 임의의 다양한 방식들로 구현될 수 있다. 예를 들어, 프로그램 명령어들은 요구되는 바에 따라, ActiveX 제어, C++ 객체, 자바 빈(JavaBean), MFC(Microsoft Foundation Class), SSE(Streaming SIMD Extension), 또는 다른 기술들 또는 방법들을 사용하여 구현될 수 있다.
일 실시예에서, 프로세서(814) 또는 프로세서(908)는 복수의 다이들에 대한 복수의 결함들 및 웨이퍼소트 전기적 데이터를 수신하도록 구성될 수 있다. 각 결함은 복수의 다이들에서의 하나의 다이와 연관될 수 있다. 각 다이는 또한 웨이퍼소트 전기적 테스트에 합격한 것 또는 불합격한 것으로서 태깅될 수 있다. 프로세서(814 또는 908)는 또한, 결함 분류 모델을 사용하여, 각 결함을 DOI 또는 뉴슨스로서 분류하고, DOI로서 분류된 각 결함에 대한 관심 결함 신뢰도를 결정하고, DOI로서 분류된 적어도 하나의 결함을 포함하는 각 다이에 대한 다이 리턴 지수를 결정하며, 다이 리턴 지수 커트라인을 결정하도록 구성될 수 있다.
프로세서(814 또는 908)는 또한, 이어서, 고위험 불합격 다이 - 고위험 불합격 다이는 다이 리턴 지수 커트라인을 초과하는 다이 리턴 지수를 갖는 다이이고, 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅됨 - 를 갖는 웨이퍼를 나타내는 웨이퍼 맵 파일을 비롯한 잉킹 맵을 생성하도록 구성될 수 있다.
본원에서 개시된 시스템(800) 또는 시스템(900) 및 방법들의 다양한 단계들, 기능들, 및/또는 동작들은 전자 회로들, 로직 게이트들, 멀티플렉서들, 프로그래머블 로직 디바이스들, ASIC들, 아날로그 또는 디지털 제어기들/스위치들, 마이크로 제어기들, 또는 컴퓨팅 시스템들 중 하나 이상에 의해 수행된다. 본원에서 설명된 것들과 같은 방법들을 구현하는 프로그램 명령어들은 운반 매체를 통해 전송되거나 운반 매체 상에 저장될 수 있다. 운반 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광 디스크, 비휘발성 메모리, 고체 상태 메모리, 자기 테이프 등과 같은 저장 매체를 포함할 수 있다. 운반 매체는 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 포함할 수 있다. 예를 들어, 본 개시 전반에 걸쳐 설명된 다양한 단계들은 단일 프로세서(814) 또는 단일 프로세서(908)(또는 컴퓨터 서브 시스템(902)), 또는 대안적으로, 다중 프로세서들(814) 또는 다중 프로세서들(908)(또는 다중 컴퓨터 서브 시스템들(902))에 의해 수행될 수 있다. 더욱이, 시스템(800) 또는 시스템(900)의 상이한 서브 시스템들은 하나 이상의 컴퓨팅 또는 로직 시스템을 포함할 수 있다. 따라서, 상기한 설명은 본 개시에 대한 제한으로서가 아니라, 단지 예시로서 해석되어야 한다.
본원에서 개시된 다양한 실시예들 및 예들에서 설명된 방법의 단계들은 본 발명의 방법들을 수행하기에 충분하다. 따라서, 일 실시예에서, 본 발명의 방법은 기본적으로 본원에서 개시된 방법들의 단계들의 조합으로 이루어진다. 또 다른 실시예에서, 본 발명의 방법은 그러한 단계들로 이루어진다.
본 개시는 하나 이상의 특정 실시예에 대해 설명되었지만, 본 개시의 다른 실시예들이 본 개시의 범위로부터 벗어나지 않고 행해질 수 있음이 이해될 것이다.

Claims (20)

  1. 방법으로서,
    복수의 결함들을 수신하는 단계 - 상기 결함들 각각은 복수의 다이들에서의 하나의 다이와 연관됨 -;
    상기 복수의 다이들에 대한 웨이퍼소트(wafersort) 전기적 데이터를 수신하는 단계 - 상기 다이들 각각이 웨이퍼소트 전기적 테스트에 합격한 것 또는 상기 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅됨 -;
    결함 분류 모델을 사용하여, 상기 결함들 각각을 관심 결함 또는 뉴슨스(nuisance)로서 분류하는 단계 - 복수의 관심 결함들이 존재함 -;
    상기 관심 결함들 각각에 대한 관심 결함 신뢰도를 결정하는 단계;
    적어도 하나의 상기 관심 결함을 포함하는 상기 다이들 각각에 대한 다이 리턴 지수(die return index)를 결정하는 단계;
    다이 리턴 지수 커트라인을 결정하는 단계; 및
    고위험 불합격 다이를 갖는 웨이퍼를 나타내는 잉킹 맵(inking map)을 생성하는 단계 - 상기 고위험 불합격 다이는 상기 다이 리턴 지수 커트라인을 초과하는 다이 리턴 지수를 갖는 다이이고, 상기 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅됨 -
    를 포함하는, 방법.
  2. 제1항에 있어서, 오버킬(overkill)을 제공하는 단계를 더 포함하되, 상기 오버킬은 고위험 불합격 다이들의 수량 대 상기 웨이퍼소트 전기적 테스트에 합격한 것으로서 태깅된 다이들의 수량의 비인 것인, 방법.
  3. 제1항에 있어서, 상기 결함 분류 모델은 랜덤 포레스트(Random Forest) 또는 XGBoost를 사용하여 구축된 기계 학습 모델인 것인, 방법.
  4. 제1항에 있어서, 상기 다이 리턴 지수는 적어도 하나의 상기 관심 결함을 포함하는 상기 다이들 각각에 대해, 그 위에 포함된 상기 관심 결함들 각각의 상기 관심 결함 신뢰도들의 합을 포함하는 것인, 방법.
  5. 제1항에 있어서, 상기 다이 리턴 지수 커트라인은 상기 다이 리턴 지수들의 기하 평균을 포함하는 것인, 방법.
  6. 제1항에 있어서, 상기 잉킹 맵은 다이 잉킹 시스템으로 입력되도록 구성된 전자 파일을 구성하는 것인, 방법.
  7. 제6항에 있어서, 상기 전자 파일은 SINF 파일인 것인, 방법.
  8. 제6항에 있어서, 상기 전자 파일을 상기 다이 잉킹 시스템에 전자적으로 송신하는 단계를 더 포함하는, 방법.
  9. 시스템으로서,
    검사 툴;
    결함 분류 모델을 포함하는 방안을 저장하도록 구성된 전자 데이터 저장 유닛; 및
    상기 검사 툴 및 상기 전자 데이터 저장 유닛과 전자 통신하는 프로세서를 포함하며,
    상기 검사 툴은:
    입자 빔 내의 입자들을 방출하도록 구성된 입자 방출기;
    상기 입자 방출기에 의해 방출된 상기 입자 빔의 경로 내에 웨이퍼를 홀딩하도록 구성된 스테이지; 및
    상기 웨이퍼에 의해 반사된 상기 입자들의 일부를 검출하고 복수의 다이들을 갖는 웨이퍼 이미지를 생성하도록 구성된 검출기
    를 포함하고,
    상기 프로세서는, 상기 웨이퍼에 대해:
    복수의 결함들을 수신하도록 - 상기 결함들 각각은 상기 복수의 다이들에서의 하나의 다이와 연관됨 -;
    상기 복수의 다이들에 대한 웨이퍼소트 전기적 데이터를 수신하도록 - 상기 다이들 각각은 웨이퍼소트 전기적 테스트에 합격한 것 또는 상기 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅됨 -;
    결함 분류 모델을 사용하여, 상기 결함들 각각을 관심 결함 또는 뉴슨스로서 분류하도록 - 복수의 관심 결함들이 존재함 -;
    상기 관심 결함들 각각에 대한 관심 결함 신뢰도를 결정하도록;
    적어도 하나의 상기 관심 결함을 포함하는 상기 다이들 각각에 대한 다이 리턴 지수를 결정하도록;
    다이 리턴 지수 커트라인을 결정하도록; 그리고
    고위험 불합격 다이를 갖는 상기 웨이퍼를 나타내는 잉킹 맵을 생성하도록 - 상기 고위험 불합격 다이는 상기 다이 리턴 지수 커트라인을 초과하는 다이 리턴 지수를 갖는 다이이고, 상기 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅됨 -
    구성된 것인, 시스템.
  10. 제9항에 있어서, 상기 프로세서는 또한, 오버킬을 제공하도록 구성되며, 상기 오버킬은 고위험 불합격 다이들의 수량 대 상기 웨이퍼소트 전기적 테스트에 합격한 것으로서 태깅된 다이들의 수량의 비인 것인, 시스템.
  11. 제9항에 있어서, 상기 결함 분류 모델은 랜덤 포레스트 또는 XGBoost를 사용하여 구축된 기계 학습 모델인 것인, 시스템.
  12. 제9항에 있어서, 상기 다이 리턴 지수는 적어도 하나의 상기 관심 결함을 포함하는 상기 다이들 각각에 대해, 그 위에 포함된 상기 관심 결함들 각각의 상기 관심 결함 신뢰도들의 합을 포함하는 것인, 시스템.
  13. 제9항에 있어서, 상기 다이 리턴 지수 커트라인은 상기 다이 리턴 지수들의 기하 평균을 포함하는 것인, 시스템.
  14. 제9항에 있어서, 상기 잉킹 맵은 다이 잉킹 시스템으로 입력되도록 구성된 전자 파일을 구성하는 것인, 시스템.
  15. 제14항에 있어서, 상기 전자 파일은 SINF 파일인 것인, 시스템.
  16. 제14항에 있어서, 상기 프로세서는 또한, 상기 다이 잉킹 시스템과 통신하고, 상기 프로세서는 또한, 상기 전자 파일을 상기 다이 잉킹 시스템에 전자적으로 송신하도록 구성된 것인, 시스템.
  17. 컴퓨터 판독 가능한 비일시적 저장 매체로서, 하나 이상의 컴퓨팅 디바이스 상에서:
    복수의 결함들을 수신하는 단계 - 상기 결함들 각각은 복수의 다이들에서의 하나의 다이와 연관됨 -;
    상기 복수의 다이들에 대한 웨이퍼소트 전기적 데이터를 수신하는 단계 - 상기 다이들 각각이 웨이퍼소트 전기적 테스트에 합격한 것 또는 상기 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅됨 -;
    결함 분류 모델을 사용하여, 상기 결함들 각각을 관심 결함 또는 뉴슨스로서 분류하는 단계 - 복수의 관심 결함들이 존재함 -;
    상기 관심 결함들 각각에 대한 관심 결함 신뢰도를 결정하는 단계;
    적어도 하나의 상기 관심 결함을 포함하는 상기 다이들 각각에 대한 다이 리턴 지수를 결정하는 단계;
    다이 리턴 지수 커트라인을 결정하는 단계; 및
    고위험 불합격 다이를 갖는 웨이퍼를 나타내는 잉킹 맵을 생성하는 단계 - 상기 고위험 불합격 다이는 상기 다이 리턴 지수 커트라인을 초과하는 다이 리턴 지수를 갖는 다이이고, 상기 웨이퍼소트 전기적 테스트에 불합격한 것으로서 태깅됨 -
    를 실행하기 위한 하나 이상의 프로그램을 포함하는, 컴퓨터 판독 가능한 비일시적 저장 매체.
  18. 제17항에 있어서, 상기 하나 이상의 프로그램은 오버킬을 제공하되, 상기 오버킬은 고위험 불합격 다이들의 수량 대 상기 웨이퍼소트 전기적 테스트에 합격한 것으로서 태깅된 다이들의 수량의 비인 것인, 컴퓨터 판독 가능한 비일시적 저장 매체.
  19. 제17항에 있어서, 상기 결함 분류 모델은 랜덤 포레스트 또는 XGBoost를 사용하여 구축된 기계 학습 모델인 것인, 컴퓨터 판독 가능한 비일시적 저장 매체.
  20. 제17항에 있어서, 상기 다이 리턴 지수는 적어도 하나의 상기 관심 결함을 포함하는 상기 다이들 각각에 대해, 그 위에 포함된 상기 관심 결함들 각각의 상기 관심 결함 신뢰도들의 합을 포함하는 것인, 컴퓨터 판독 가능한 비일시적 저장 매체.
KR1020217035086A 2019-03-27 2020-03-26 인라인 결함 정보를 사용하는 다이 스크리닝 KR102557188B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962824900P 2019-03-27 2019-03-27
US62/824,900 2019-03-27
US16/439,465 2019-06-12
US16/439,465 US10930597B2 (en) 2019-03-27 2019-06-12 Die screening using inline defect information
PCT/US2020/024804 WO2020198405A1 (en) 2019-03-27 2020-03-26 Die screening using inline defect information

Publications (2)

Publication Number Publication Date
KR20210134077A true KR20210134077A (ko) 2021-11-08
KR102557188B1 KR102557188B1 (ko) 2023-07-18

Family

ID=72604813

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217035086A KR102557188B1 (ko) 2019-03-27 2020-03-26 인라인 결함 정보를 사용하는 다이 스크리닝

Country Status (6)

Country Link
US (1) US10930597B2 (ko)
KR (1) KR102557188B1 (ko)
CN (1) CN113574645B (ko)
SG (1) SG11202109938XA (ko)
TW (1) TWI807179B (ko)
WO (1) WO2020198405A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7404009B2 (ja) * 2019-09-19 2023-12-25 キオクシア株式会社 加工情報管理システム及び加工情報管理方法
US11967060B2 (en) * 2020-08-25 2024-04-23 Kla Corporation Wafer level spatial signature grouping using transfer learning
CN112542206A (zh) * 2020-12-11 2021-03-23 深圳佰维存储科技股份有限公司 Lpddr测试方法、装置、可读存储介质及电子设备
US11614480B2 (en) 2021-06-08 2023-03-28 Kla Corporation System and method for Z-PAT defect-guided statistical outlier detection of semiconductor reliability failures

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990066870A (ko) * 1998-01-14 1999-08-16 다니구찌 이찌로오, 기타오카 다카시 결함 해석 방법, 기록 매체 및 공정 관리 방법
JP2005057200A (ja) * 2003-08-07 2005-03-03 Trecenti Technologies Inc 半導体装置の製造方法
JP2005136113A (ja) * 2003-10-30 2005-05-26 Hitachi Ltd 検査データ解析プログラム
US20050136086A1 (en) * 2003-12-19 2005-06-23 Rafael Herruzo Efficacy enhancers for germicides
JP2007165930A (ja) * 2007-02-19 2007-06-28 Hitachi Ltd 電子デバイスの品質管理方法および電子デバイスの品質管理システム
KR20080003456A (ko) * 2003-06-27 2008-01-07 테스트 어드밴티지 인코포레이티드 데이터 분석 방법 및 장치
US20100297785A1 (en) * 2009-04-30 2010-11-25 Hans Guenter-Zimmer Manufacture of defect cards for semiconductor dies

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3492226B2 (ja) * 1999-02-03 2004-02-03 株式会社日立製作所 半導体不良原因絞込み方法
JP4276503B2 (ja) 2003-09-22 2009-06-10 株式会社日立製作所 半導体不良原因絞込み方法
US20060136086A1 (en) 2004-12-21 2006-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Inking process management systems and methods
US8103087B2 (en) * 2006-01-20 2012-01-24 Hitachi High-Technologies Corporation Fault inspection method
US7415387B2 (en) 2006-12-19 2008-08-19 Qimonda North America Corp. Die and wafer failure classification system and method
US20080172189A1 (en) * 2007-01-16 2008-07-17 Daniel Kadosh Determining Die Health by Expanding Electrical Test Data to Represent Untested Die
US9518934B2 (en) * 2014-11-04 2016-12-13 Kla-Tencor Corp. Wafer defect discovery
US10127651B2 (en) * 2016-01-15 2018-11-13 Kla-Tencor Corporation Defect sensitivity of semiconductor wafer inspectors using design data with wafer image data
US10872794B2 (en) * 2017-06-20 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic in-line inspection system
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
US10867877B2 (en) * 2018-03-20 2020-12-15 Kla Corporation Targeted recall of semiconductor devices based on manufacturing data

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990066870A (ko) * 1998-01-14 1999-08-16 다니구찌 이찌로오, 기타오카 다카시 결함 해석 방법, 기록 매체 및 공정 관리 방법
KR20080003456A (ko) * 2003-06-27 2008-01-07 테스트 어드밴티지 인코포레이티드 데이터 분석 방법 및 장치
JP2005057200A (ja) * 2003-08-07 2005-03-03 Trecenti Technologies Inc 半導体装置の製造方法
JP2005136113A (ja) * 2003-10-30 2005-05-26 Hitachi Ltd 検査データ解析プログラム
US20050136086A1 (en) * 2003-12-19 2005-06-23 Rafael Herruzo Efficacy enhancers for germicides
JP2007165930A (ja) * 2007-02-19 2007-06-28 Hitachi Ltd 電子デバイスの品質管理方法および電子デバイスの品質管理システム
US20100297785A1 (en) * 2009-04-30 2010-11-25 Hans Guenter-Zimmer Manufacture of defect cards for semiconductor dies

Also Published As

Publication number Publication date
US20200312778A1 (en) 2020-10-01
TWI807179B (zh) 2023-07-01
US10930597B2 (en) 2021-02-23
TW202041852A (zh) 2020-11-16
CN113574645A (zh) 2021-10-29
CN113574645B (zh) 2022-05-17
KR102557188B1 (ko) 2023-07-18
WO2020198405A9 (en) 2020-12-10
WO2020198405A1 (en) 2020-10-01
SG11202109938XA (en) 2021-10-28

Similar Documents

Publication Publication Date Title
KR102557188B1 (ko) 인라인 결함 정보를 사용하는 다이 스크리닝
JP7026719B2 (ja) 光学式検査及び光学式レビューからの欠陥属性に基づく電子ビームレビューのための欠陥サンプリング
JP6490211B2 (ja) ウェハ欠陥発見
US10267748B2 (en) Optimizing training sets used for setting up inspection-related algorithms
US9293298B2 (en) Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US10551827B2 (en) Hybrid inspection system for efficient process window discovery
KR102576881B1 (ko) 설계 및 잡음 기반 케어 영역들
KR102293789B1 (ko) 검사 관련 알고리즘을 설정하는데 사용되는 트레이닝 세트의 최적화
KR20170033875A (ko) 다중 모드들을 갖는 가상 검사 시스템
KR102472309B1 (ko) 검사를 위한 모드 선택
US9891538B2 (en) Adaptive sampling for process window determination
CN107407648A (zh) 自适应滋扰过滤器
KR102629852B1 (ko) 다중-모드 검사를 위한 통계적 학습-기반 모드 선택

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant