KR20200054962A - 에칭 층을 에칭하기 위한 방법 - Google Patents

에칭 층을 에칭하기 위한 방법 Download PDF

Info

Publication number
KR20200054962A
KR20200054962A KR1020207006763A KR20207006763A KR20200054962A KR 20200054962 A KR20200054962 A KR 20200054962A KR 1020207006763 A KR1020207006763 A KR 1020207006763A KR 20207006763 A KR20207006763 A KR 20207006763A KR 20200054962 A KR20200054962 A KR 20200054962A
Authority
KR
South Korea
Prior art keywords
stack
gas
reactant gas
plasma
exposing
Prior art date
Application number
KR1020207006763A
Other languages
English (en)
Other versions
KR102403856B1 (ko
Inventor
니킬 돌
타쿠미 야나가와
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200054962A publication Critical patent/KR20200054962A/ko
Application granted granted Critical
Publication of KR102403856B1 publication Critical patent/KR102403856B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 상의 유전체 재료를 포함하는 스택에 피처들을 에칭하는 방법이 제공된다. 단계 (a) 에서, 에칭 가스로부터 에칭 플라즈마가 생성되고, 스택을 에칭 플라즈마에 노출하는 단계, 및 스택에 피처들을 부분적으로 에칭한다. 단계 (b) 에서 단계 (a) 후에, 측벽들 상에 보호막을 증착하도록 원자 층 증착 (ALD: atomic layer deposition) 프로세스가 제공된다. 원자 층 증착 프로세스는 복수의 사이클들을 포함하고, 사이클 각각은, WF6을 포함하는 제 1 반응물질 가스에 스택을 노출하는 단계로서, 제 1 반응물질 가스는 스택 상에 흡착되는, 제 1 반응물질 가스에 노출하는 단계; 및 제 2 반응물질 가스로부터 형성된 플라즈마에 스택을 노출하는 단계로서, 제 2 반응물질 가스로부터 형성된 플라즈마는 스택 위에 보호막을 형성하도록 흡착된 제 1 반응물질 가스와 반응하는, 플라즈마에 노출하는 단계를 포함한다. 단계 (c) 에서, 단계 (a) 및 단계 (b) 가 적어도 1 회 반복된다.

Description

에칭 층을 에칭하기 위한 방법
관련 출원에 대한 교차 참조
본 출원은 모든 목적들을 위해 참조로서 본 명세서에 인용된, 2018년 11월 5일 출원된 미국 특허 출원번호 제 62/755,707 호의 우선권의 이익을 주장한다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시는 스택의 에칭 층에 리세스된 피처들을 에칭하는 것에 관한 것이다.
반도체 디바이스들의 형성시, 에칭 층들은 콘택트 홀들 또는 트렌치들을 형성하도록 에칭될 수도 있다. 일부 반도체 디바이스들은 실리콘 산화물 (SiO2) 기반 층들을 에칭함으로써 형성될 수도 있다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 기판 상의 유전체 재료를 포함하는 스택에 피처들을 에칭하는 방법이 제공된다. 단계 (a) 에서, 에칭 가스로부터 에칭 플라즈마가 생성되고, 스택을 에칭 플라즈마에 노출하고, 그리고 스택에 피처들을 부분적으로 에칭한다. 단계 (b) 에서 단계 (a) 후에, 측벽들 상에 보호막을 증착하도록 원자 층 증착 (ALD: atomic layer deposition) 프로세스가 제공된다. 원자 층 증착 프로세스는 복수의 사이클들을 포함하고, 사이클 각각은, WF6을 포함하는 제 1 반응물질 가스에 스택을 노출하는 단계로서, 제 1 반응물질 가스는 스택 상에 흡착되는, 제 1 반응물질 가스에 노출하는 단계, 및 제 2 반응물질 가스로부터 형성된 플라즈마에 스택을 노출하는 단계로서, 제 2 반응물질 가스로부터 형성된 플라즈마는 스택 위에 보호막을 형성하도록 흡착된 제 1 반응물질 가스와 반응하는, 플라즈마에 노출하는 단계를 포함한다. 단계 (c) 에서, 단계 (a) 및 단계 (b) 가 적어도 1 회 반복된다.
또 다른 현상에서, 스택에 피처들을 에칭하기 위한 장치가 제공된다. 프로세스 챔버가 제공된다. 기판 지지부가 프로세스 챔버 내에 있다. 가스 유입구가 프로세스 챔버 내로 가스를 제공한다. 가스 소스가 가스를 가스 유입구로 제공하고, 가스 소스는, 에칭 가스 소스, WF6 가스 소스, 및 반응물질 가스 소스를 포함한다. 프로세스 챔버로부터 가스를 펌핑하기 위한 배기 펌프가 제공된다. 전극이 프로세스 챔버에서 RF 전력을 제공한다. 적어도 하나의 전력 소스가 전력을 전극으로 제공한다. 제어기가 가스 소스 및 적어도 하나의 전력 소스에 제어가능하게 연결되고, 제어기는, 적어도 하나의 프로세서, 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는 제 1 복수의 사이클들을 통해 스택의 에칭을 수행하기 위한 컴퓨터 코드를 포함하고, 제 1 복수의 사이클들 각각은, 스택을 부분적으로 에칭하는 단계, 및 제 2 복수의 사이클들을 제공함으로써 원자 층 증착에 의해 스택 상에 층을 증착하는 단계를 포함한다. 제 2 복수의 사이클들의 사이클들 각각은 WF6 가스 소스로부터의 WF6 함유 가스를 흘리는 단계, WF6 함유 가스를 스택 상에 흡착시키는 단계, WF6 함유 가스의 플로우를 중단시키는 단계, 및 스택을 반응물질 가스 소스로부터의 반응물질 가스의 플라즈마에 노출하는 단계를 포함하고, 플라즈마는 흡착된 WF6 함유 가스를 ALD 층으로 변환한다.
본 개시의 이들 및 다른 특징들은 이하의 도면들과 함께 본 개시의 상세한 기술에 이하에 보다 상세히 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는, 첨부된 도면들의 도면들에서, 제한이 아닌 예로서 예시된다.
도 1은 일 실시예의 고레벨 플로우 차트이다.
도 2a 내지 도 2g는 일 실시예에 따라 프로세싱된 스택의 개략적인 예시들이다.
도 3은 일 실시예에서 사용될 수도 있는 에칭 챔버의 개략도이다.
도 4는 일 실시예를 실시하는데 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
본 개시는 이제 첨부된 도면들에 예시된 바와 같이, 몇몇 예시적인 실시예들을 참조하여 상세히 기술될 것이다. 이하의 기술에서, 본 개시의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 그러나, 본 개시는 이들 구체적인 상세들 일부 또는 전부가 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
고 종횡비 에칭은 최소 측방향 CD (critical dimension) 성장 (CD 보잉 (bowing)) 을 갖는 수직 프로파일을 유지할 것을 필요로 한다. 이에 더하여, 프로파일 트레이드-오프들, 예컨대 감소된 마스크 선택도, 감소된 에칭 레이트, 또는 피처들의 캡핑 (capping)/클로깅 (clogging) 이 방지되어야 한다. CD 보잉은 피처들의 측벽들의 에칭에 의해 유발된다. 패시베이션 층이 CD 보잉을 감소시키기 위해 측벽들 위에 배치될 수도 있다. 일부 방법들은 균일한 패시베이션을 제공하기 위해 250 ℃ 이상의 온도에서 측벽 패시베이션을 증착한다. 이러한 고온은 반도체 디바이스들에 손상을 줄 수도 있다.
일 실시예의 예에서, 도 1은 일 실시예의 고레벨 플로우 차트이다. 실시예는 도 2a에 예시된 바와 같이, 스택 (200) 을 프로세싱하도록 사용될 수도 있다. 도 2a는 마스크 (212) 아래에 배치된, 에칭 층 (208) 아래에 배치된 기판 (204) 을 갖는 스택 (200) 의 단면도이다. 이 예에서, 마스크 (212) 는 PECVD (plasma enhanced chemical vapor deposition) 비정질 탄소 마스크와 같은 하드마스크이다. 이 예에서, 에칭 층 (208) 은 실리콘 산화물 (SiO2) 와 같은 유전체 재료로 이루어진 유전체 층이다. 하나 이상의 층들 (미도시) 이 기판 (204) 과 에칭 층 (208) 사이에 배치될 수도 있다. 하나 이상의 층들 (미도시) 은 또한 에칭 층 (208) 과 마스크 (212) 사이에 배치될 수도 있다.
피처들은 에칭 층 (208) 내로 부분적으로 에칭된다 (단계 104). 피처들을 에칭 층 (208) 내로 부분적으로 에칭하기 위한 레시피의 일 예 (단계 104) 는 5 내지 50 mTorr의 압력을 제공한다. RF (radio frequency) 전력은 60 ㎒의 주파수의 500 W 내지 10 ㎾의 전력으로 그리고 400 ㎑의 주파수의 1 ㎾ 내지 30 ㎾의 전력으로 제공된다. RF 전력은 이들 전력 레벨들 사이에서 펄싱된다. 에칭 가스가 제공된다. 에칭 가스는 산소 (O2), 플루오로카본(류) 및/또는 하이드로플루오로카본(류)을 포함한다. 에칭 가스는 RF 전력에 의해 플라즈마로 형성된다. 플라즈마는 고 종횡비 에칭을 담당하는 라디칼 이온들을 제공한다. 이러한 플라즈마는 명세서 및 청구항들에서 에칭 플라즈마라고 한다. 부분적인 에칭이 완료되면, 에칭 가스의 플로우가 중단된다. RF 전력은 플라즈마가 생성되지 않도록 중단되거나 감소된다. 도 2b는 피처들 (216) 이 부분적으로 에칭된 후 스택 (200) 의 단면도이다.
부분적인 에칭 (단계 104) 후, 피처들 (216) 의 측벽들 상에 보호막을 증착하도록 원자 층 증착 프로세스가 제공된다 (단계 108). 원자 층 증착 프로세스 (단계 108) 는 복수의 사이클들을 갖는 순환적 프로세스를 포함한다. 원자 층 증착 프로세스 (단계 108) 의 일 사이클의 제 1 페이즈에서, 스택 (200) 은 텅스텐 헥사플루오라이드 (WF6) 를 포함하는 제 1 반응물질 가스에 노출된다 (단계 112). 0.5 내지 200 sccm의 WF6를 포함하는 가스의 플로우가 제공된다. 이 실시예에서, 제 1 반응물질 가스는 플라즈마로 변환되지 않는다. 그 결과, 이 단계는 무플라즈마 (plasmaless) 이다. 스택 온도가 40 ℃ 내지 80 ℃의 범위 내의 온도로 유지된다. 제 1 반응물질 가스는 스택 (200) 의 표면들 상으로 흡착된다. 3 초 후, 제 1 반응물질 가스의 플로우가 중단된다.
이론에 얽매이지 않고, WF6는 산화된 텅스텐 실리사이드 (SiOW) 층을 형성하도록 SiO2와 화학적으로 반응한다고 여겨진다. 도 2c는 SiOW (220) 층이 피처들 (216) 의 (측벽들을 포함하는) 표면들 상에 형성된 후 스택 (200) 의 단면도이다. SiOW (220) 층은 스케일대로 도시되지 않고, SiOW (220) 층을 보다 잘 예시하기 위해 훨씬 두껍게 도시되었다.
제 1 반응물질 가스가 흡착된 (단계 112) 후, 제 1 반응물질 가스를 퍼지하기 위해 제 1 퍼지가 제공된다 (단계 116). 이 예에서, 제 1 퍼지는 플라즈마 프로세싱 챔버 내로 O2를 흘림으로써 제공된다. 다른 실시예들은 순수 질소 (N2), 또는 N2 및 아르곤 (Ar) 의 혼합물, 또는 순수 Ar의 퍼지 가스를 가질 수도 있다. O2의 퍼지 가스는 플라즈마로 하여금 제 1 퍼지 직후에 스트라이킹되게 한다. 퍼지 가스의 플로우는 5 초 후에 중단된다. 제 1 퍼지는 플라즈마가 다음 단계에서 형성되기 전에 흡착되지 않은 텅스텐 (W) 을 완전히 제거한다.
제 1 퍼지가 완료된 (단계 116) 후, 스택 (200) 은 제 2 반응물질 가스로부터 형성된 플라즈마에 노출된다 (단계 120). 스택 (200) 및 챔버는 150 ℃ 이하의 온도로 유지된다. 제 2 반응물질 가스가 제공된다. 이 예에서, 제 2 반응물질 가스는 O2이다. 제 2 반응물질 가스는 60 ㎒의 주파수로 200 W 내지 20 ㎾의 범위의 전력의 여기 에너지를 제공함으로써 플라즈마로 형성된다. 바이어스 RF 신호가 100 ㎑ 내지 27 ㎒의 주파수로 200 W 내지 50 ㎾의 범위의 전력으로 제공된다. 3 초 후, 플라즈마가 소화된다.
스택 (200) 이 제 2 반응물질 가스로부터 형성된 플라즈마에 노출된 (단계 120) 후, 남아 있는 플라즈마 이온 라디칼들을 퍼지하기 위해 제 2 퍼지가 제공된다 (단계 124). 이 예에서, 제 2 퍼지는 플라즈마를 형성하기 위해 충분한 RF 전력을 사용하지 않고 플라즈마 프로세싱 챔버 내로 제 2 반응물질 가스를 흘림으로써 제공된다. 제 2 반응물질 가스는 남아 있는 플라즈마를 퍼지하도록 사용된다. 다른 실시예들은 다른 퍼지 가스들을 가질 수도 있다. 일부 실시예들은 RF 전력을 중단할 수도 있다. 퍼지 가스의 플로우는 5 초 후에 중단된다. 제 2 퍼지는 플라즈마 프로세싱 챔버로부터 플라즈마 이온 라디칼들을 완전히 제거한다. 이어서 원자 층 증착 사이클은 반복된다. 이 예에서, 원자 층 증착 프로세스 (단계 108) 는 3 내지 100 사이클들 동안 수행된다.
도 2d는 원자 층 증착 프로세스 (단계 108) 의 복수의 사이클들이 피처들 (216) 의 측벽들 상에 보호막 (224) 을 형성하기 위해 제공된 후 스택 (200) 의 단면도이다. 이 예에서, 보호막 (224) 은 텅스텐 산화물을 포함한다. 보호막 (224) 은 스케일대로 도시되지 않았다. 원자 층 증착 프로세스 (단계 108) 가 플라즈마 프리 (free) 열적 프로세스 대신 플라즈마를 사용하기 때문에, 보호막 (224) 은 플라즈마 프리 열적 프로세스를 사용하여 증착된 막만큼 컨포멀 (conformal) 하지 않다. 이에 더하여, 보호막 (224) 은 플라즈마 프리 열적 프로세스를 사용하여 증착된 막만큼 고품질이 아닐 수도 있다. 보호막 (224) 이 컨포멀하지 않기 때문에, 이 실시예에서, 보호막 (224) 은 피처들 (216) 의 하단부로 연장되지 않는다.
원자 층 증착 프로세스 (단계 108) 가 완료된 후, 피처들 (216) 은 더 에칭된다 (단계 128). 피처들을 에칭 층 (208) 내로 더 에칭하기 위한 레시피의 일 예는 5 내지 50 mTorr의 압력을 제공한다. RF 전력은 60 ㎒의 주파수의 2 ㎾ 내지 8 ㎾의 전력으로 제공되고 400 ㎑ 주파수의 4 ㎾ 내지 25 ㎾ 전력으로 제공된다. RF 전력은 이들 전력 레벨들 사이에서 펄싱된다. 에칭 가스가 제공된다. 에칭 가스는 O2, 플루오로카본(류) 및/또는 하이드로플루오로카본(류)을 포함한다. 에칭 가스는 RF 전력에 의해 에칭 플라즈마로 형성된다. 도 2e는 피처들 (216) 이 더 에칭된 후 스택 (200) 의 단면도이다.
피처들의 에칭이 완료되지 않으면 (단계 132) (즉, 피처들이 최종 깊이로 에칭되지 않으면), 프로세스는 원자 층 증착 프로세스 (단계 108) 로 돌아간다. 원자 층 증착 프로세스 (단계 108) 가 반복된다. 도 2f는 원자 층 증착 프로세스 (단계 108) 가 반복되고 새로운 보호막 (228) 이 형성된 후 스택 (200) 의 단면도이다. 새로운 보호막 (228) 이 플라즈마를 사용하여 형성되기 때문에, 새로운 보호막은 컨포멀하지 않다.
피처들 (216) 은 더 에칭된다 (단계 128). 피처들 (216) 의 에칭이 완료될 때까지 원자 층 증착 프로세스 (단계 108) 및 추가 에칭 (단계 128) 의 사이클들이 반복된다 (단계 132). 도 2g는 피처들 (216) 의 에칭이 최종 깊이로 에칭된 후 스택 (200) 의 단면도이다.
상기 실시예는 원자 층 증착 프로세스 (단계 108) 동안 플라즈마를 사용함으로써, 피처 보잉을 방지하거나 감소시키는 측벽 패시베이션을 제공한다. 텅스텐을 증착하기 위해 열적 원자 층 증착 프로세스가 사용되면, 250 ℃ 이상의 스택 또는 챔버 온도가 사용될 것이다. 250 ℃ 이상의 온도가 형성될 반도체 디바이스들을 손상시킬 수도 있다. 텅스텐 함유 보호막을 증착하기 위해 플라즈마를 사용하는, 원자 층 증착 프로세스 (단계 108) 는 보다 덜 컨포멀하고 보다 저품질 보호막을 제공한다. 그러나, 텅스텐 함유 컨포멀하지 않은 (nonconformal) 보호막이 측벽 보잉을 방지하거나 감소시키기 충분하다는 것을 알게 되었다.
다양한 실시예들에서, 원자 층 증착 프로세스 (단계 108) 는 100 ℃ 미만의 스택 또는 챔버 온도로 수행된다. 다양한 실시예들에서, 제 2 반응물질 가스에 의해 형성된 플라즈마는 산화 또는 질화를 제공한다. 제 2 반응물질 가스로부터 플라즈마가 산화를 제공하면, 다양한 실시예들에서, 제 2 반응물질 가스는 산소 (O2), 오존 (O3), 카르보닐 설파이드 (COS), 이산화 탄소 (CO2), 이산화 황 (SO2), 또는 일산화 탄소 (CO) 중 적어도 하나와 같은 산소 함유 컴포넌트를 포함한다. 이에 더하여, 아르곤 (Ar) 또는 크립톤 (Kr) 은 캐리어 가스로서 사용될 수도 있다. 제 2 반응물질 가스로부터의 플라즈마가 질화를 제공하면, 제 2 반응물질 가스는 질소 (N2) 또는 암모니아 (NH3) 중 적어도 하나와 같은 질소 함유 컴포넌트를 포함한다. 이에 더하여, Ar 또는 Kr은 캐리어 가스로서 사용될 수도 있다. 제 2 반응물질 가스가 N2를 포함하면, 제 2 반응물질 가스는 H2를 더 포함할 수도 있다.
다양한 실시예들에서, 하드마스크는 비정질 탄소, 붕소 도핑된 탄소, 붕소 도핑된 실리콘, 금속 도핑된 탄소, 또는 폴리실리콘으로부터 형성될 수도 있다. 다양한 실시예들에서, 에칭 층 (208) 은 실리콘 산화물 기반 유전체 층이다. 다양한 실시예들에서, 에칭 층 (208) 은 상이한 재료의 층들의 스택이다. 다양한 실시예들에서, 에칭 층 (208) 중 적어도 일 층은 유전체 재료의 층이다. 다양한 실시예들에서, 원자 층 증착 프로세스 (단계 108) 는 컨포멀하지 않고 피처들 (216) 의 하단부들에 도달하지 않는 보호막 (228) 을 제공한다. 다양한 실시예들에서, RF 전력은 연속파일 수도 있다. 다른 실시예들에서, RF 전력은 펄싱된 전력일 수도 있다. 다양한 실시예들에서, 펄싱된 RF 전력은 100 ㎐ 내지 5 ㎑의 펄스 반복 레이트를 가질 수도 있다. 다양한 실시예들에서, 펄싱된 RF 전력은 5 % 내지 95 %의 듀티 사이클을 가질 수도 있다.
이에 더하여, 원자 층 증착 프로세스 (단계 108) 는 원자 층 증착 프로세스 (단계 108) 가 열적 프로세스대신 플라즈마를 사용하기 때문에, 에칭 프로세스 (단계 128) 와 동일한 플라즈마 프로세싱 챔버에서 인시츄로 수행될 수도 있다. 인시츄 원자 층 증착 프로세스 (단계 108) 를 제공함으로써, 모든 단계들이 동일한 플라즈마 프로세싱 챔버에서 수행되기 때문에, 쓰루풋은 훨씬 보다 높다.
일 예시적인 실시예에서, 도 3은 일 실시예에서 사용될 수도 있는 에칭 반응기의 개략도이다. 하나 이상의 실시예들에서, 플라즈마 프로세싱 챔버 (300) 가 챔버 벽 (352) 으로 둘러싸인, 에칭 챔버 (349) 내에 가스 유입구를 제공하는 가스 분배 플레이트 (306) 및 ESC (electrostatic chuck) (308) 를 포함한다. 에칭 챔버 (349) 내에서, 스택 (200) 이 ESC (308) 위에 위치된다. ESC (308) 는 또한 기판 지지부이다. 에지 링 (309) 이 ESC (308) 를 둘러싼다. 가스 소스 (310) 가 가스 분배 플레이트 (306) 를 통해 에칭 챔버 (349) 에 연결된다. 이 예에서, 가스 소스 (310) 는 에천트 가스 소스 (312), WF6 가스 소스 (316), 및 반응물질 가스 소스 (318) 를 포함한다. ESC 온도 제어기 (350) 가 냉각 장치 (chiller) (314) 에 연결된다. 이 실시예에서, 냉각 장치 (314) 는 ESC (308) 를 냉각하기 위해 ESC (308) 내 또는 근방의 채널들 (315) 로 냉각제를 제공한다. RF 소스 (330) 가 하부 전극에 RF 전력을 제공한다. 이 실시예에서, ESC (308) 는 하부 전극이다. 예시적인 실시예에서, 400 ㎑ 전력 소스 및 60 ㎒ 전력 소스가 RF 소스 (330) 를 형성한다. 이 실시예에서, 상부 전극, 가스 분배 플레이트 (306) 는 접지된다. 이 실시예에서, 일 생성기가 주파수 각각에 대해 제공된다. 다른 배열들의 RF 소스들 및 전극들이 다른 실시예들에서 사용될 수도 있다. 제어기 (335) 는 RF 소스 (330), 배기 펌프 (320), 및 가스 소스 (310) 에 제어가능하게 연결된다. 이러한 에칭 챔버의 예는 CA, Fremont 소재의 Lam Research Corporation에 의해 제작된 Flex® 에칭 시스템이다. 프로세스 챔버는 CCP (capacitive coupled plasma) 반응기 또는 ICP (inductive coupled plasma) 반응기일 수 있다.
도 4는 실시예들에서 사용된 제어기 (335) 를 구현하기에 적합한 컴퓨터 시스템 (400) 을 도시하는 고레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지의 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서들 (402) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (404), 메인 메모리 (406) (예를 들어, RAM (random access memory)), 저장 디바이스 (408) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (410) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스 (412) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (414) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (400) 과 외부 디바이스들 사이에서 이송되도록 한다. 시스템은 또한 전술한 디바이스들/모듈들이 접속된 통신 인프라스트럭처 (416) (예를 들어, 통신 버스, 크로스오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (414) 를 통해 이송된 정보는 신호들을 반송하고, 유선 또는 케이블, 광 섬유, 전화선, 셀룰러 전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해 통신 인터페이스 (414) 에 의해 수신될 수 있는 전자, 전자기, 광학 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 하나 이상의 프로세서들 (402) 이 네트워크로부터 정보를 수신할 수도 있고, 또는 상기 기술된 방법 단계들을 수행하는 동안 네트워크로 정보를 출력할 수도 있다고 생각된다. 게다가, 방법 실시예들은 프로세서들 상에서만 실행될 수도 있고 또는 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크 상에서 실행될 수도 있다.
용어 "비일시적인 컴퓨터 판독가능 매체 (non-transient computer readable medium)" 는 일반적으로 메인 메모리, 2차 메모리, 이동식 저장장치, 및 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM, 및 지속적인 메모리의 다른 형태들과 같은 저장 디바이스들과 같은 매체를 지칭하는데 사용되고, 반송파 또는 신호들과 같은 임시적인 소재를 커버하는 것으로 해석되지 않아야 한다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체는 또한 반송파 내에 포함되고 프로세서에 의해 실행가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 데이터 신호에 의해 송신된 컴퓨터 코드일 수도 있다.
본 개시가 몇몇 예시적인 실시예들에 관하여 기술되었지만, 본 개시의 범위 내의 대체, 수정, 치환, 및 다양한 대용 등가물들이 있다. 또한 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서 이하의 첨부된 청구항들이 본 개시의 진정한 정신 및 범위 내에 있는 모든 이러한 대체, 수정, 치환, 및 다양한 대용 등가물들을 포함하는 것으로 해석되도록 의도된다.

Claims (18)

  1. 기판 상의 유전체 재료를 포함하는 스택에 피처들을 에칭하는 방법에 있어서,
    (a) 에칭 가스로부터 에칭 플라즈마를 생성하는 단계, 스택을 상기 에칭 플라즈마에 노출하는 단계, 및 상기 스택에 피처들을 부분적으로 에칭하는 단계;
    (b) 상기 단계 (a) 후에, 측벽들 상에 보호막을 증착하도록 원자 층 증착 (ALD: atomic layer deposition) 프로세스를 제공하는 단계로서, 상기 원자 층 증착 프로세스는 복수의 사이클들을 포함하고, 사이클 각각은,
    (i) WF6을 포함하는 제 1 반응물질 가스에 상기 스택을 노출하는 단계로서, 상기 제 1 반응물질 가스는 상기 스택 상에 흡착되는, 상기 제 1 반응물질 가스에 노출하는 단계; 및
    (ii) 제 2 반응물질 가스로부터 형성된 플라즈마에 상기 스택을 노출하는 단계로서, 상기 제 2 반응물질 가스로부터 형성된 상기 플라즈마는 상기 스택 위에 상기 보호막을 형성하도록 흡착된 제 1 반응물질 가스와 반응하는, 상기 플라즈마에 노출하는 단계를 포함하는, 상기 ALD 프로세스를 제공하는 단계; 및
    (c) 상기 단계 (a) 및 상기 단계 (b) 를 적어도 1 회 반복하는 단계를 포함하는, 피처 에칭 방법.
  2. 제 1 항에 있어서,
    상기 원자 층 증착 프로세스를 제공하는 단계는 스택 온도를 150 ℃ 이하로 유지하는 단계를 더 포함하는, 피처 에칭 방법.
  3. 제 1 항에 있어서,
    상기 제 2 반응물질 가스는 산소 함유 컴포넌트 또는 질소 함유 컴포넌트 중 적어도 하나를 포함하는, 피처 에칭 방법.
  4. 제 1 항에 있어서,
    상기 제 2 반응물질 가스는 COS, CO2, CO, SO2, O2, N2, NH3, 또는 O3 중 적어도 하나를 포함하는, 피처 에칭 방법.
  5. 제 1 항에 있어서,
    상기 스택은 SiO2를 포함하는, 피처 에칭 방법.
  6. 제 5 항에 있어서,
    상기 스택은 하드마스크를 더 포함하는, 피처 에칭 방법.
  7. 제 6 항에 있어서,
    상기 하드마스크는 비정질 탄소, 붕소 도핑된 탄소, 붕소 도핑된 실리콘, 금속 도핑된 탄소, 또는 폴리실리콘 중 하나 이상을 포함하는, 피처 에칭 방법.
  8. 제 1 항에 있어서,
    상기 원자 층 증착 프로세스를 제공하는 단계는 2 내지 100 사이클들로 수행되는, 피처 에칭 방법.
  9. 제 1 항에 있어서, 사이클 각각은,
    상기 스택을 상기 제 1 반응물질 가스에 노출하는 단계 후 그리고 상기 스택을 상기 제 2 반응물질 가스에 의해 형성된 상기 플라즈마에 노출하는 단계 전에 상기 제 1 반응물질 가스를 퍼지하는 단계; 및
    상기 스택을 상기 제 2 반응물질 가스로부터 형성된 상기 플라즈마에 노출하는 단계 후에 상기 제 2 반응물질 가스로부터 형성된 상기 플라즈마를 퍼지하는 단계를 더 포함하는, 피처 에칭 방법.
  10. 제 1 항에 있어서,
    상기 스택을 상기 제 1 반응물질 가스에 노출하는 단계는 무플라즈마 (plasmaless) 단계인, 피처 에칭 방법.
  11. 제 1 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (c) 는 인시츄 (in-situ) 로 수행되는, 피처 에칭 방법.
  12. 스택에 피처들을 에칭하기 위한 장치에 있어서,
    프로세스 챔버;
    상기 프로세스 챔버 내의 기판 지지부;
    상기 프로세스 챔버 내로 가스를 제공하기 위한 가스 유입구;
    상기 가스를 상기 가스 유입구로 제공하기 위한 가스 소스로서, 상기 가스 소스는,
    에칭 가스 소스;
    WF6 가스 소스; 및
    반응물질 가스 소스를 포함하는, 상기 가스 소스;
    상기 프로세스 챔버로부터 가스를 펌핑하기 위한 배기 펌프;
    상기 프로세스 챔버에서 RF 전력을 제공하기 위한 전극;
    전력을 상기 전극으로 제공하기 위한 적어도 하나의 전력 소스; 및
    상기 가스 소스 및 상기 적어도 하나의 전력 소스에 제어가능하게 연결된 제어기를 포함하고,
    상기 제어기는,
    적어도 하나의 프로세서; 및
    제 1 복수의 사이클들을 통해 스택에 에칭을 수행하기 위한 컴퓨터 코드를 포함하는 컴퓨터 판독가능 매체를 포함하고,
    상기 제 1 복수의 사이클들 각각은,
    상기 스택을 부분적으로 에칭하는 단계;
    제 2 복수의 사이클들을 제공함으로써 원자 층 증착에 의해 상기 스택 상에 층을 증착하는 단계를 포함하고,
    상기 제 2 복수의 사이클들의 상기 사이클들 각각은,
    상기 WF6 가스 소스로부터의 WF6 함유 가스를 흘리는 단계;
    상기 WF6 함유 가스를 상기 스택 상에 흡착시키는 단계;
    상기 WF6 함유 가스의 상기 플로우를 중단시키는 단계; 및
    상기 스택을 상기 반응물질 가스 소스로부터의 반응물질 가스의 플라즈마에 노출하는 단계로서, 상기 플라즈마는 상기 흡착된 WF6 함유 가스를 ALD 층으로 변환하는, 상기 플라즈마에 노출하는 단계를 포함하는, 피처 에칭 장치.
  13. 제 12 항에 있어서,
    상기 기판 지지부를 냉각하기 위한 냉각 장치를 더 포함하는, 피처 에칭 장치.
  14. 제 12 항에 있어서,
    상기 컴퓨터 판독가능 매체는 150 ℃ 이하의 온도로 상기 기판 지지부를 냉각하기 위한 컴퓨터 코드를 더 포함하는, 피처 에칭 장치.
  15. 제 12 항에 있어서,
    상기 WF6 함유 가스를 흘리는 단계는 무플라즈마 단계인, 피처 에칭 장치.
  16. 제 12 항에 있어서,
    상기 반응물질 가스 소스는 COS, CO2, CO, SO2, O2, N2, NH3, 또는 O3 중 적어도 하나의 소스인, 피처 에칭 장치.
  17. 제 12 항에 있어서,
    상기 원자 층 증착 프로세스를 제공하는 단계는 2 내지 100 사이클들로 수행되는, 피처 에칭 장치.
  18. 제 12 항에 있어서,
    상기 제 2 복수의 사이클들의 사이클 각각은,
    상기 WF6 함유 가스의 상기 플로우를 중단시키는 단계 후 그리고 상기 스택을 상기 제 2 반응물질 가스에 의해 형성된 상기 플라즈마에 노출하는 단계 전에 상기 제 1 반응물질 가스를 퍼지하는 단계; 및
    상기 스택을 상기 제 2 반응물질 가스로부터 형성된 상기 플라즈마에 노출하는 단계 후 상기 제 2 반응물질 가스로부터 형성된 상기 플라즈마를 퍼지하는 단계를 더 포함하는, 방법.
KR1020207006763A 2018-11-05 2019-10-29 에칭 층을 에칭하기 위한 방법 KR102403856B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862755707P 2018-11-05 2018-11-05
US62/755,707 2018-11-05
PCT/US2019/058487 WO2020096808A1 (en) 2018-11-05 2019-10-29 Method for etching an etch layer

Publications (2)

Publication Number Publication Date
KR20200054962A true KR20200054962A (ko) 2020-05-20
KR102403856B1 KR102403856B1 (ko) 2022-05-30

Family

ID=70611159

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207006763A KR102403856B1 (ko) 2018-11-05 2019-10-29 에칭 층을 에칭하기 위한 방법

Country Status (5)

Country Link
JP (1) JP2022506456A (ko)
KR (1) KR102403856B1 (ko)
CN (1) CN112997282A (ko)
TW (1) TW202117835A (ko)
WO (1) WO2020096808A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024044218A1 (en) * 2022-08-25 2024-02-29 Lam Research Corporation High aspect ratio etch with a liner

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7365895B2 (ja) * 2019-12-25 2023-10-20 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7099675B1 (ja) 2021-07-27 2022-07-12 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
KR20240033271A (ko) * 2021-07-27 2024-03-12 도쿄엘렉트론가부시키가이샤 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160068002A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
KR20160113014A (ko) * 2015-03-20 2016-09-28 램 리써치 코포레이션 초박 원자층 증착 막 정확도 두께 제어
KR20170028259A (ko) * 2015-09-01 2017-03-13 램 리써치 코포레이션 고종횡비 유전체 에칭을 위한 마스크 축소층
KR20170106927A (ko) * 2016-03-14 2017-09-22 램 리써치 코포레이션 유전체 층들 내 피처들을 에칭하기 위한 방법
KR20180022572A (ko) * 2016-08-23 2018-03-06 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
KR20180040084A (ko) * 2016-10-11 2018-04-19 램 리써치 코포레이션 유기 마스크에 대해 실리콘 옥사이드를 선택적으로 에칭하는 방법

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9136180B2 (en) * 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9842734B2 (en) * 2015-12-21 2017-12-12 Imec Vzw Method of forming a feature of a target material on a substrate
JP6514138B2 (ja) * 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
TWI805162B (zh) * 2017-04-18 2023-06-11 日商東京威力科創股份有限公司 被處理體之處理裝置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160068002A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
KR20160113014A (ko) * 2015-03-20 2016-09-28 램 리써치 코포레이션 초박 원자층 증착 막 정확도 두께 제어
KR20170028259A (ko) * 2015-09-01 2017-03-13 램 리써치 코포레이션 고종횡비 유전체 에칭을 위한 마스크 축소층
KR20170106927A (ko) * 2016-03-14 2017-09-22 램 리써치 코포레이션 유전체 층들 내 피처들을 에칭하기 위한 방법
KR20180022572A (ko) * 2016-08-23 2018-03-06 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
KR20180040084A (ko) * 2016-10-11 2018-04-19 램 리써치 코포레이션 유기 마스크에 대해 실리콘 옥사이드를 선택적으로 에칭하는 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024044218A1 (en) * 2022-08-25 2024-02-29 Lam Research Corporation High aspect ratio etch with a liner

Also Published As

Publication number Publication date
US20210335624A1 (en) 2021-10-28
JP2022506456A (ja) 2022-01-17
WO2020096808A1 (en) 2020-05-14
KR102403856B1 (ko) 2022-05-30
TW202117835A (zh) 2021-05-01
CN112997282A (zh) 2021-06-18

Similar Documents

Publication Publication Date Title
TWI758404B (zh) 氫活化原子層蝕刻
US10079154B1 (en) Atomic layer etching of silicon nitride
KR102403856B1 (ko) 에칭 층을 에칭하기 위한 방법
USRE47650E1 (en) Method of tungsten etching
US9673058B1 (en) Method for etching features in dielectric layers
KR102547487B1 (ko) 유전체 컨택트 에칭
US20220028696A1 (en) Method for etching an etch layer
US11521860B2 (en) Selectively etching for nanowires
US10658194B2 (en) Silicon-based deposition for semiconductor processing
KR102615854B1 (ko) 다공성 로우-k (low-k) 유전체 에칭
US12020944B2 (en) Method for etching an etch layer
KR20220025891A (ko) 선택적 패시베이션을 위해 타깃 증착 (targeted deposition) 을 사용하여 피처들을 에칭하기 위한 방법
US8906248B2 (en) Silicon on insulator etch
US10600648B2 (en) Silicon-based deposition for semiconductor processing
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant