KR20190040313A - 유사 원자층 에칭 방법 - Google Patents

유사 원자층 에칭 방법 Download PDF

Info

Publication number
KR20190040313A
KR20190040313A KR1020197008407A KR20197008407A KR20190040313A KR 20190040313 A KR20190040313 A KR 20190040313A KR 1020197008407 A KR1020197008407 A KR 1020197008407A KR 20197008407 A KR20197008407 A KR 20197008407A KR 20190040313 A KR20190040313 A KR 20190040313A
Authority
KR
South Korea
Prior art keywords
substrate
conformal film
deposition process
base layer
plasma
Prior art date
Application number
KR1020197008407A
Other languages
English (en)
Other versions
KR102489215B1 (ko
Inventor
홍윤 코틀
앤드류 더블유. 메츠
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190040313A publication Critical patent/KR20190040313A/ko
Application granted granted Critical
Publication of KR102489215B1 publication Critical patent/KR102489215B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본원에서의 기술들은 원자층 에칭(ALE)의 단층 에칭과 유사하게, 점진적으로 재료층을 에칭하는 에칭 프로세스를 포함하지만, ALE의 자가 제한, 단층 액션을 반드시 포함하는 것은 아니다. 그러한 기술들은 유사 원자층 에칭(Q-ALE)으로 간주될 수 있다. 본원에서의 기술들은 가령 소프트마스크 오픈 동안의 정확한 에칭 응용들에 이롭다. 본원에서의 기술들은 기저층에의 주어진 마스크 패턴의 정확한 전사를 가능하게 한다. 폴리머 퇴적을 폴리머 지원 에칭과 관련하여 시간적 사이클을 통해 세심하게 제어함으로써, 컨포멀 폴리머의 매우 얇은 층이 정확한 에칭 및 원하는 패턴들의 전사를 위해 활성화되고 사용될 수 있다.

Description

유사 원자층 에칭 방법
본 출원은 그 전체가 참조로서 본원에 포함되는, “유사 원자층 에칭 방법”으로 명칭되고, 2016년 9월 6일에 출원된 미국 특허 가출원 제 62/384,161 호의 이익을 주장한다.
본 개시는 웨이퍼들과 같은 기판들의 에칭을 포함하는 반도체 제조에 관한 것이다.
반도체 산업에서의 집적 회로(integrated circuit; IC)들의 제조는 일반적으로, 플라즈마 프로세싱 챔버 내에서 기판에 재료를 퇴적하고 기판으로부터 재료를 제거하는데 필수적인, 표면 화학물(surface chemistry)을 생성하고 지원하기 위한 플라즈마 프로세싱을 이용한다. 플라즈마 프로세싱 장치의 예시들은, 기판 상에 박막을 퇴적하도록 구성되는 플라즈마 CVD(Chemical Vapor Deposition, 화학적 기상 증착) 장치, 및 재료를 제거하기 위한 위치들을 규정하는 에칭 마스크를 사용하는 것을 포함할 수 있는, 기판으로부터 재료를 제거하도록 구성되는 플라즈마 에칭 장치를 포함한다. 일반적으로, 그러한 플라즈마 프로세싱 시스템들은, 진공 상태들 하에서 프로세싱 챔버 내에 프로세스 가스를 유동시키고, 이온화 충돌(ionizing collision)들을 지속시키기에 충분한 에너지들로 전자들을 가열함으로써 플라즈마를 형성한다. 또한, 가열된 전자들은 해리적 충돌(dissociative collision)들을 지속시키기에 충분한 에너지를 가질 수 있고, 따라서, 미리 결정된 상태들[예를 들어, 챔버 압력, 가스 유동 레이트(flow rate) 등] 하의 특정 세트의 가스들이 선택되어, 하전된(charged) 종들 및/또는 챔버 내에서 수행되고 있는 특정 프로세스(예를 들어, 기판으로부터 재료들이 제거되는 에칭 프로세스들 또는 기판에 재료들이 추가되는 퇴적 프로세스들)에 적합한 화학적 반응성 종들의 개체군(population)을 생성한다.
지속적인 피치 스케일링은, 다양한 응용들 및 제품 요건들을 충족시키기 위해 진보된 회로 설계들을 요한다. 복잡한 회로 설계들은, 회로들 및 디바이스들이 제대로 기능하도록 최종 제품에 정확히 전사(transfer)될 필요가 있다. 종래의 건식 플라즈마 에칭은, 패턴 전사에 사용될 때, 릴리프(relief) 패턴들로 다양한 피처들의 CD들을 유지하는데 있어서 도전과제들을 갖는다. 에칭 동안 CD들을 유지하는 것은, 그러한 에칭 프로세스들이 마이크로 로딩(micro-loading) 및 애스펙트비(aspect ratio) 의존성들뿐만 아니라 반응성 및 하전된 종들의 이동(transport)이 변화하는 패턴 밀도 의존적 에칭을 겪는 경향이 있기 때문에 어렵다. 패턴 밀도 및 피치 스케일링이 증가함에 따라, 등밀도(iso-dense) 로딩 및 패턴 밀도 효과들을 제거하기 위한 에칭 프로세스들에 대한 강한 필요성이 있다.
본원에서의 기술들은 소프트마스크 오픈 동안 유사 원자층 에칭(quasi-atomic layer etching; Q-ALE)을 이용하는 신규한 에칭 프로세스를 포함한다. 마스크 재료들은 탄소, SiOC, SiON 및 다른 마스크 재료들을 포함할 수 있지만, 이들에 제한되는 것은 아니다. 본원에서의 기술들은 기저(underlying)층에의 주어진 마스크 패턴의 정확한 전사를 가능하게 한다. 본원에서의 실시예들은, 타원형 접촉(elliptical contact) 마이너 대 메이저(minor vs major) 축 임계 치수(critical dimension; CD) 비율, 쇼트 바 단부 길이(short bar end length), 및 T 바 벤드 곡률(T- bar bend curvature)들과 같은 복잡한 마스크 설계들에 대한 중요한 피처 치수들을 유지하는 것을 포함한다.
본원에서의 Q-ALE 프로세스는 시간적 사이클(temporal cycle)을 통해 폴리머 지원(polymer-assisted) 에칭과 관련된 폴리머 퇴적을 세심하게 제어한다. 예를 들어, 기저층을 정확히 에칭하고 기저층에 원하는 릴리프 패턴을 전사하기 위해 컨포멀(conformal) 폴리머의 비교적 얇은 층(nm 두께 범위)이 사용될 수 있다. 프로세스 시간적 사이클, 플라즈마 화학물 및 프로세스 온도의 정확한 제어를 통해, 임계 피처들이 제품 요건들에 기반한 넓은 범위의 CD 조정 능력으로 기판에 전사될 수 있다.
물론, 명확성을 위해, 본원에서 설명되는 바와 같은 상이한 단계들의 논의의 순서가 제시된다. 일반적으로, 이 단계들은 임의의 적절한 순서로 수행될 수 있다. 추가적으로, 본원의 상이한 피처들, 기술들, 구성들 등 각각이 본 개시의 상이한 개소들에서 논의될 수 있지만, 개념들 각각이 서로 독립적으로 또는 서로 조합하여 실행될 수 있는 것이 의도된다. 따라서, 본 발명은 많은 상이한 방식들로 구현되고 보여질 수 있다.
이 발명의 내용 부분이 본 개시 또는 청구된 발명의 모든 실시예 및/또는 점증적으로 신규한 양태를 특정하는 것은 아니라는 점을 유념한다. 대신, 이 발명의 내용은 종래의 기술들에 대해 상이한 실시예들 및 대응하는 포인트들의 신규성의 예비적 논의만을 제공한다. 본 발명 및 실시예들의 추가적인 상세사항들 및/또는 가능한 관점들에 대해, 읽는이는 아래에서 더욱 논의되는 바와 같은 본 개시의 상세한 설명 부분 및 대응하는 도면들로 안내된다.
본 발명의 다양한 실시예들 및 그 수반되는 많은 장점들의 보다 완벽한 이해는 첨부된 도면들과 관련하여 고려되는 다음의 상세한 설명을 참조하면 쉽게 명백해질 것이다. 도면들은 실척도일 필요는 없으며, 대신 피처들, 원리들, 및 개념들을 예시하는데 역점을 둔다.
도 1은 본원에서 개시되는 실시예들에 따른 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면 개략도이다.
도 2는 본원에서 개시되는 실시예들에 따른 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면 개략도이다.
도 3은 본원에서 개시되는 실시예들에 따른 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면 개략도이다.
도 4는 본원에서 개시되는 실시예들에 따른 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면 개략도이다.
도 5는 본원에서 개시되는 실시예들에 따른 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면 개략도이다.
도 6은 본원에서 개시되는 실시예들에 따른 프로세스 흐름을 도시하는 예시적인 기판 세그먼트의 단면 개략도이다.
도 7은 본원에서 개시되는 실시예들에 따라 프로세싱된 기판 세그먼트들의 확대된 이미지들의 표이다.
도 8은 본원에서 개시되는 실시예들에 따라 프로세싱된 기판 세그먼트들의 확대된 이미지들의 표이다.
본원에서의 기술들은 원자층 에칭(atomic layer etching; ALE)의 단층(mono-layer) 에칭과 유사하게, 점진적으로 재료층을 에칭하는 에칭 프로세스를 포함하지만, ALE의 자가 제한(self-limiting), 단층 액션을 반드시 포함하는 것은 아니다. 그러한 기술들은 유사 원자층 에칭(Q-ALE)으로 간주될 수 있다. 본원에서의 기술들은 가령 소프트마스크 오픈 동안의 정확한 에칭 응용들에 이롭다. 본원에서의 기술들은 기저층에의 주어진 마스크 패턴의 정확한 전사를 가능하게 한다. 폴리머 퇴적을 폴리머 지원 에칭과 관련하여 시간적 사이클을 통해 세심하게 제어함으로써, 컨포멀 폴리머의 매우 얇은 층이 정확한 에칭 및 원하는 패턴들의 전사를 위해 활성화되고 사용될 수 있다.
본원에서의 유사 원자층 에칭(Q-ALE) 프로세스는 폴리머 퇴적을 폴리머 지원 에칭과 관련하여 시간적 사이클을 통해 세심하게 제어한다. 예를 들어, 기저층을 정확히 에칭하고 기저층에 원하는 릴리프 패턴을 전사하기 위해 컨포멀 폴리머의 비교적 얇은(얇은은 한 자리 수 나노미터들과 같은 나노미터 두께 범위 내를 의미함) 층이 사용될 수 있다. 프로세스 시간적 사이클, 플라즈마 화학물 및 프로세스 온도의 정확한 제어를 통해, 임계 피처들이 제품 요건들에 기반한 넓은 범위의 CD 조정 능력들로 기판에 전사될 수 있다.
본원에서의 기술들은 소프트마스크 오픈 동안 유사 원자층 에칭(quasi-atomic layer etching; Q-ALE)을 이용하는 신규한 에칭 프로세스를 포함한다. 그러한 소프트마스크 재료들은 탄소, SiOC, SiON 및 다른 마스크 재료들을 포함할 수 있지만, 이들에 제한되는 것은 아니다. 본원에서의 기술들은 기저층에의 주어진 마스크 패턴의 정확한 전사를 가능하게 한다. 본원에서의 실시예들은, 타원형 접촉 마이너 대 메이저 축 임계 치수(CD) 비율, 쇼트 바 단부 길이, 및 T 바 벤드 곡률들과 같은 복잡한 마스크 설계들에 대한 중요한 피처 치수들을 유지하는 것을 포함한다.
폴리머의 균일하고, 얇은 층을 생성하기 위해 본원에서의 Q-ALE 프로세스에서 아르곤 및 산소 함유 화학물들과 함께 CxHyFz 화학물들이 이용된다. 이 폴리머의 얇은 층은 소프트마스크 재료를 에칭하기 위해 사용된다. 컨포멀 폴리머 퇴적은 에칭 마스크로서 사용되고 있는 주어진 릴리프 패턴의 패턴 피델리티(fidelity)를 유지하는데 이롭다. 본원에서의 정확한 퇴적 에칭(depo-etch) 사이클을 통해, 퇴적된 폴리머만이 에칭 동안 소모된다. 유입된(incoming) 포토레지스트는 프로세스가 자신의 퇴적 에칭 평형(equilibrium)에 도달한 후 터치되지 않는다. 결론적으로, 포토레지스트의 에칭 선택비(selectivity)가 종래의 연속파(continuous wave) 플라즈마 에칭과 비교하여 매우 향상된다. EUV 레지스트에 대해, EUV 레지스트들이 일반적으로 매우 얇고 쉽게 에칭되어 나갈 수 있기 때문에 대량 제조에서의 EUV 리소그래피 구현에 중요한 주어진 선택비가 2배 내지 3배 향상된다. 본원에서의 포토레지스트 선택비 향상은 또한, 193nm 레지스트와 같은 다른 종래의 화학적으로 증폭된 레지스트들까지 연장될 수 있는 이점을 제공한다.
프로세스 시간적 사이클, 플라즈마 화학물 및 프로세스 온도의 정확한 제어를 통해, 임계 피처들이 포토 CD 바이어스에 대한 조정가능한 에칭으로 기저층에 전사될 수 있다. 프로세스는, 유입된 CD의 50%에 가까운 CD 감소(축소) 또는 제로 CD 바이어스, 포지티브 CD 성장이 주어진 CD 애스펙트비를 여전히 유지하면서 달성될 수 있는 넓은 범위의 CD 바이어스를 제공할 수 있다.
직류 중첩(direct current superposition; DCS) 기술을 사용함으로써, 화학적으로 증폭된 레지스트(chemically amplified resist; CAR) 포토레지스트(EUV 또는 193nm)가 에칭 저항, 접촉 에지 거칠기(contact edge roughness; CER), 라인 에지 거칠기(line edge roughness; LER), 및 라인 폭 거칠기(line width roughness; LWR)를 향상시키도록 처리될 수 있다. DCS로, 네거티브 직류가 상부 전극에 인가되어 탄도(ballistic) 전자들의 플럭스가 아래에 유지된 기판을 향해 지향되게 한다. DCS은 또한 주어진 기판 상에 실리콘의 스퍼터링을 유발할 수 있다.
본원에서의 기술들은 기판들을 프로세싱하기 위한 방법들을 포함할 수 있다. 이제 도 1을 참조하면, 플라즈마 프로세싱 시스템과 같은 프로세싱 시스템에서 기판(105)이 수용될 수 있다. 종래의 플라즈마 프로세싱 시스템들은 알려져 있다. 기판(105)은 작업 표면을 갖는다. 작업 표면은 기저층(113) 상에 기저층의 일부분들이 커버되지 않도록 형성된 릴리프 패턴(114)을 갖는다. 포토레지스트의 릴리프 패턴은, 기판을 탄도 전자들에 노출시키기 위해 직류 중첩을 포함한 다양한 큐어링 기술들을 사용하여 선택적으로 큐어링되거나 경화될 수 있다. 환언하면, (자체가 에칭 마스크로 될 수 있는) 주어진 층 상에 에칭 마스크가 형성된다. 기저층은 소프트마스크 재료로 구성될 수 있다. EUV과 같은 일부 포토리소그래피 프로세스들로는, EUV 포토레지스트가 너무 얇거나 또는 후속하는 종래의 패터닝 에칭들에 대해 충분히 저항력이 없고, 따라서 초기 EUV 릴리프 패턴이 타겟층들을 더 에칭하기 전에 소프트마스크층으로 전사될 수 있다. 본원에서의 기술들이 EUV에 제한되지 않고 프론트 엔드 오브 라인 마이크로 제조(front-end-of-line micro fabrication)를 포함한 대량 제조에 실제로 사용되는 마이크로제조 기술들에 또한 적용가능하다는 점을 유념한다. 기판(105)은 하나 이상의 기본층(107)뿐만 아니라 평탄화층들, 에칭 저지층들, 반사 방지 코팅들 등과 같은 다른 층들을 포함할 수 있다. 릴리프 패턴(114)은 EUV 포토레지스트 재료들을 포함한 포토레지스트 재료로 구성될 수 있다.
이제 도 2를 참조하면, 기판(105) 상에 폴리머막(121-1)을 컨포멀하게(conformally) 퇴적하는 컨포멀막 퇴적 프로세스가 실행된다. 컨포멀 퇴적으로, 재료가 모든 표면들(수평 또는 수직) 상에 동일한 두께로 어느 정도 퇴적된다. 이 퇴적에서, 폴리머는 3나노미터보다 작은 두께를 포함하여 한 자리 수 나노미터 두께로 퇴적된다.
다음으로, 기판의 작업 표면에 평행한 기저층(113)의 수평 표면들로부터 컨포멀막이 제거될 때까지 컨포멀막(121-1)을 사용하여 기저층(113)을 에칭하는 활성화 에칭 프로세스가 실행된다. 그러한 에칭 기술은 컨포멀하게 퇴적된 폴리머를 활성화하여 기저층의 재료와 반응시켜 기저층(113)의 일부분을 제거한다. 제거된 일부분은 단분자층(mono layer of molecules)보다 클 수 있지만 다분자층들(many layers of molecules)을 수 나노미터들까지 에칭할 수도 있다. 폴리머막이 또한 릴리프 패턴(114)으로부터 제거될 수 있지만, 릴리프 패턴으로부터 재료를 제거하지 않거나 또는 많은 양의 재료를 제거하지 않는다. 도 3에 예시적인 결과가 예시된다. 릴리프 패턴(114)이 초기 컨포멀막(121-1)이 제거된 상태에서 온전히 남아있다는 점을 유념한다. 또한, 기저층(113)은 릴리프 패턴(114)에 의해 커버되지 않은 곳에 재료의 일부분이 제거된 점을 유념한다.
얇은 폴리머막을 컨포멀하게 퇴적하는 단계, 및 폴리머막을 활성화함으로써 기저층을 에칭하는 단계는, (릴리프 패턴에 의해 커버되지 않은 구역들/영역들에서) 미리 결정된 양의 기저층을 제거할 때까지 사이클링/반복된다. 예를 들어, 도 4는 기판 상에 퇴적된 (제 2 또는 후속 컨포멀막으로서의) 컨포멀막(121-2)을 예시한다. 컨포멀막(121-2)은 이전에 퇴적된 바와 같이 릴리프 패턴(114)을 커버하고, 또한 기저층(113)을 커버하여 개구부들 내로 더 깊이 연장된다. 활성화 에칭이 다시 실행되고, 이는 결국 기저층(113)으로부터 더 많은 재료를 제거한다. 도 5는 예시적인 결과를 예시한다. 그러한 사이클링은 미리 결정된 깊이에 도달할 때까지, 가령 더 낮은 곳에 있는 층들을 커버하지 않을 때까지 지속될 수 있다. 도 6은 릴리프 패턴(114)이 기저층(113)에 어떻게 완전히 전사되었는지를 예시한다. 이어서 릴리프 패턴이 제거될 수 있고, 종래의 에칭을 위한 소프트마스크 에칭 마스크를 사용하는 것과 같은 후속 프로세싱이 지속된다.
컨포멀막 퇴적 프로세스를 실행하는 것은 플라즈마를 제 1 이온 에너지로 유지하는 것을 포함할 수 있는 한편, 활성화 에칭 프로세스는 플라즈마를 제 2 이온 에너지로 유지하는 것을 포함하며, 제 2 이온 에너지는 제 1 이온 에너지보다 크다. 대안적인 실시예들에서, 활성화 에칭 동안 사용되는 플라즈마는 퇴적 단계와 비교하여 더 큰 플라즈마 밀도를 가질 수 있다. 프로세싱은 동일한 플라즈마 프로세싱 챔버 내에서 실행될 수 있고, 따라서 챔버 파라미터들이 프로세스 간 전환시 수정될 수 있다. 컨포멀막 퇴적을 실행하는 것은 CxFy 프로세스 가스를 사용하는 것을 포함할 수 있다. 활성화 에칭 프로세스를 실행하는 것은 CxFy 프로세스 가스의 유동을 중단시키는 것을 포함할 수 있다. 컨포멀막 퇴적 프로세스를 실행하는 것은 등방성(isotropic) 퇴적 프로세스를 사용하는 것을 포함할 수 있다. 따라서, 이온들 및 중성자들이 방향성 없이 기판을 향해 유동할 수 있다. 그러나, 활성화 에칭 프로세스를 실행하는 것은, 기판의 작업 표면에 법선인 각도로 기판을 향해 이온들을 가속하기 위해 이방성(anisotropic) 에칭 프로세스를 사용하는 것을 포함할 수 있다. 컨포멀막 퇴적 프로세스를 실행하는 것은, 기판의 작업 표면의 등밀도 특성들에 기반하여 연속파 플라즈마 또는 펄스형(pulsed) 플라즈마를 선택적으로 사용함으로써 컨포멀도(degree of conformality)를 제어하는 것을 포함할 수 있다. 예를 들어, 퇴적 또는 에칭 래그(lag)를 보상하기 위해, 펄싱(pulsing) 또는 연속파 플라즈마가 사용될 수 있다. 주어진 기판은 상이한 공간 밀도들을 갖는 그 위에 형성된 피처들을 가질 수 있다. 환언하면, 일부 구역들이 주변 구조물들로부터 격리될 수 있는 한편(오픈 구역들), 다른 구역들이 라인들 또는 핀들의 어레이와 같은 구조물들의 밀도를 갖는다. 따라서, 플라즈마 퇴적뿐만 아니라 폴리머 에칭은 기판 상의 격리된 그리고 밀집된 구역들 둘 다의 정확한 에칭을 초래하기 위해 상이한 플라즈마 특성들을 선택적으로 사용할 수 있다.
컨포멀 퇴적 프로세스 동안, 이온 에너지는, 폴리머막의 활성화되는 에칭을 유발하는 문턱 활성화 에너지 아래로 유지된다. 이온 에너지는 활성화 에칭 프로세스 동안 이 문턱 활성화 에너지 이상이다. 퇴적 단계 동안 이온 에너지가 너무 높으면, 이때 일부 에칭이 발생할 수 있다. 에칭을 활성화하기에 충분한 문턱 에너지는, 선택된 특정 폴리머뿐만 아니라 사용되고 있는 특정 이온에 따라 다르다. 비제한적인 예시로서, 대략 15 eV의 이온 에너지가 불소 플라즈마의 존재시 실리콘 산화물의 활성화 에칭을 유발할 수 있다. 또한, 본원에서 개시되는 바와 같이, 활성화되는 에칭은 이온 질량의 선택에 의해 또한 제어될 수 있다. 예를 들어, 아르곤, 헬륨, 및 제논은 상이한 질량들을 갖는다. 따라서, 활성화되는 에칭의 스퍼터 레이트(sputter rate)가 이온 플럭스를 제어하기 위해 사용되는 특정 이온에 기반하여 제어될 수 있다. 활성화 에칭 프로세스를 실행하는 것은, 기판을 프로세싱하기 위해 사용되는 플라즈마 프로세싱 챔버에 저주파수 바이어스 전력을 커플링하는 것을 포함할 수 있다. 컨포멀막 퇴적 프로세스를 실행하는 것은 폴리머막을 1초 내지 9초 동안 퇴적하는 것을 포함하는 한편, 활성화 에칭 프로세스를 실행하는 것은 기저층을 3초 내지 9초 동안 이방성으로 에칭하는 것을 포함한다.
따라서, 본원에서의 마이크로제조 기술들로, 얇고, 컨포멀한 폴리머막을 퇴적하는 제 1 플라즈마 기반 퇴적 단계가 실행된다. 이 퇴적 단계에 이어지는 단계는 에칭 단계 또는 활성화 단계이다. 활성화 단계는 릴리프 패턴을 제거하지 않고 (소프트마스크와 같은) 기저 재료를 제거한다. 이 활성화 단계 동안, 얇은 폴리머막이 제거/소모될 수 있지만, 얇은 컨포멀 폴리머가 포토레지스트 릴리프 패턴을 보호한다. 얇은 컨포멀막을 퇴적하고 활성화 에칭을 실행하는 이 단계들은 기저층이 관통 에칭될 때까지 또는 미리 결정된 깊이의 기저층이 제거될 때까지 반복된다. 대부분의 실시예들이 (원자층 에칭과 같이) 자가 제한적이지 않지만, 본원에서의 기술들은 비교적 얇은 막들을 퇴적하고 이 막들을 사용하여 이방성 에칭과 같이 기저 재료를 제거하기 위해 제어될 수 있다. 본원에서의 기술들의 한가지 이점은 퇴적 및 에칭 프로세스 둘 다가 인시츄로(in situ) 완료될 수 있다는 점이다.
컨포멀막 퇴적 프로세스는 (C4F8 또는 C4F6 등과 같은) 탄화불소를 포함하는 프로세스 가스 혼합물뿐만 아니라 아르곤과 같은 캐리어 가스를 유동시키는 것을 포함할 수 있다. 제 1 프로세스 가스 혼합물에 산소가 또한 포함될 수 있다. 플라즈마는 저주파수 (바이어스) 전력 없이 고주파수 전력을 사용하여 유지될 수 있다. 플라즈마 산물들의 유동은 등방성이다. 이 컨포멀막 퇴적 프로세스는 3초 내지 6초를 포함하여, 1초 내지 9초 동안 지속될 수 있다. 이 컨포멀막 퇴적 프로세스는, 기판 상에 얇은 폴리머막이 퇴적될 때까지 지속된다. 막은 3나노미터 이하이다.
컨포멀 박막 퇴적이 완료된 후, 컨포멀막이 기저층의 수평 표면들로부터 제거될 때까지 컨포멀막을 사용하여 기저층을 에칭하는 활성화 에칭 프로세스가 실행된다. 이 활성화 에칭 프로세스에 대해, 더 큰 이온 에너지가 사용된다. 퇴적 단계로부터의 특정 탄화불소의 유동이 중지되고, 제 2 프로세스 가스 혼합물은 아르곤과 같은 캐리어 가스만을 포함할 수 있다. 선택적으로, 산소가 포함될 수 있다. 활성화 에칭 단계는 제 2 프로세스 가스 혼합물을 활성화하고 기판을 향해 이온들을 드로우(draw)하기 위해 기판 홀더에 저주파수 전력을 인가한다. 이온들 내의 에너지가 퇴적된 폴리머에 전사되고 이는 결국 기저층의 일부분을 제거한다. 이 에칭 단계는 3초 내지 9초 동안 또는 기저층 상의 컨포멀막이 제거될 때까지 지속될 수 있고, 이는 기저층의 일부를 제거한다.
이 2개의 프로세스 단계들 - 얇은 컨포멀막의 퇴적 및 에칭 활성화 - 은 원하는 양의 기저층이 제거될 때까지 반복/사이클링된다. 플라즈마는 프로세스 단계가 사이클링되는 동안 프로세싱 챔버 내에 남아있을 수 있다. 컨포멀막이 릴리프 패턴의 수평 표면들로부터 또한 제거될 것이라는 점, 및 릴리프 패턴이 부분적으로 에칭될 수 있다는 점을 유념한다. 컨포멀막의 측벽 퇴적부들이 또한 제거될 수 있다. 선택적으로, 에칭 활성화 단계는 모든 폴리머 퇴적부들(수평 및 수직 표면들)이 제거될 때까지, 또는 수평 표면 퇴적부들만이 제거되어 측벽 퇴적부들을 남길 때까지 지속될 수 있다. 그러한 기술은 주어진 개구부가 균일하게 축소될 필요가 있는 축소 응용들에 대해 이로울 수 있다. 이는 장기적 퇴적으로 y축이 x축보다 더 축소될 수 있기 때문에 긴 축 및 짧은 축을 갖는 슬롯 개구부들에 대해 어려울 수 있다. 그러나, 본원에서의 기술들로, (조금씩 에치백될 수 있는) 단지 수 나노미터의 연속적인 작은 퇴적부들이 서로에 대해 추가되어 균일한 축소 비율 기술을 산출한다. 제 2 프로세스 가스 혼합물에 더 많은 산소를 첨가하는 것이 완전한 폴리머 제거를 지원할 수 있는 한편, 제 2 프로세스 가스 혼합물로부터 산소를 감소시키거나 제거하는 것은 측벽 퇴적부들을 남기는 것을 가능하게 한다.
본원에서의 기술들은 많은 이로운 응용들을 갖는다. 한가지 응용은 EUV 레지스트들의 패턴 전사이다. EUV 레지스트들은 일반적으로 종래의 포토레지스트들만큼 강하지 않다. EUV 레지스트의 감응도(sensitivity)를 증가시키기 위해, 금속들이 첨가되고 있지만, 그러한 금속 첨가제들은 에칭 장비에 오염 위험을 가져온다. 본원에서의 기술들은, 본원에서의 기술들이 층별(layer-by-layer) 제거 프로세스에서 EUV 레지스트들을 파괴하지 않고 패턴들을 세심히 전사할 수 있으므로 금속이 없는 EUV 레지스트들의 패턴 전사를 가능하게 할 수 있다. 다른 이점은 종래의 포토레지스트들을 사용하여 접촉 개구부들을 전사하기 위한 패턴 전사의 축소 제어이다. 타원형 및 슬롯 개구부들은 이들의 비율들을 유지하면서 전사될 수 있고, 개구부들은 개구부들의 치수 비율들을 여전히 유지하면서 축소될 수 있다.
도 7 및 도 8은 본원에서 실현된 기술들의 예시적인 결과들 및 그 이점들을 도시하는 기판 세그먼트들의 확대된 이미지들이다.
따라서, 본원에서의 기술들은 소프트마스크 오픈 동안 Q-ALE 기술을 이용하는 특별한 에칭 프로세스를 제시하고, 건식 플라즈마 퇴적된 컨포멀 폴리머가 마스크층을 에칭하기 위해 사용된다. 퇴적 에칭 평형에 도달한 후 균일하게 퇴적된 얇은 폴리머층만을 소모함으로써, 이 프로세스는 넓은 범위의 에칭 CD 바이어스를 제공하면서 복잡한 패턴 피델리티를 유지할 수 있다.
이전의 설명에서, 프로세싱 시스템의 특정 기하구조 및 그 내부에서 사용되는 다양한 컴포넌트들 및 프로세스들의 설명들과 같은 특정 상세사항들이 제시되었다. 그러나, 본원의 기술들이 이 특정 상세사항들로부터 벗어난 다른 실시예들에서 실시될 수 있고, 그러한 상세사항들이 설명의 목적을 위한 것이며 제한적이지 않다는 점이 이해되어야 한다. 본원에 개시된 실시예들은 첨부된 도면들을 참조하여 설명되었다. 유사하게, 설명의 목적을 위해, 특정 숫자들, 물질들, 및 구성들이 철저한 이해를 제공하도록 제시되었다. 그럼에도 불구하고, 실시예들은 그러한 특정 상세사항들 없이 실시될 수 있다. 실질적으로 동일한 기능적 구성들을 갖는 컴포넌트들은 동일한 참조 특성들에 의해 나타내어지고, 따라서 임의의 불필요한 설명들이 생략될 수 있다.
다양한 실시예들을 이해하는 것을 지원하도록 다양한 기술들이 다수의 개별적 동작들로 설명되었다. 설명의 순서는 이러한 동작들이 필수적인 종속적 순서임을 암시하는 것으로 해석되지 않아야 한다. 실제로, 이러한 동작들은 제시의 순서대로 수행될 필요가 없다. 설명되는 동작들은 설명되는 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명되는 동작들이 추가적인 실시예들에서 생략될 수 있다.
본원에서 사용되는 바와 같은 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 객체를 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예를 들어 반도체 웨이퍼, 레티클과 같은 기본 기판 구조물, 또는 박막과 같은, 기본 기판 구조물 상의 또는 기본 기판 구조물 위에 있는 층일 수 있다. 따라서, 기판은 임의의 특정한 기본 구조물, 아래에 있는 층 또는 위에 있는 층, 패터닝되거나 또는 패터닝되지 않은 것에 제한되지 않고, 이보다는, 임의의 그러한 층 또는 기본 구조물, 및 층들 및/또는 기본 구조물들의 임의의 조합을 포함하는 것으로 고려된다. 설명은 특정한 유형들의 기판들을 참조할 수 있지만, 이는 예시적인 목적만을 위한 것이다.
당업자는 또한 본 발명의 동일한 목표를 여전히 달성하면서, 위에서 설명된 기술들의 동작들에 대해 이루어지는 많은 변형예들이 있을 수 있다는 점을 이해할 것이다. 그러한 변형예들은 본 개시의 범위에 의해 커버되도록 의도된다. 그와 같은, 본 발명의 실시예들의 이전의 설명들은 제한적으로 의도되지 않는다. 이보다는, 본 발명의 실시예들의 임의의 제한들은 다음의 청구항들에 나타내어진다.

Claims (17)

  1. 기판을 프로세싱하는 방법에 있어서,
    작업 표면을 갖는 기판을 수용하는 단계로서, 상기 작업 표면은 기저(underlying)층 상에 상기 기저층의 일부분들이 커버되지 않도록 형성된 릴리프(relief) 패턴을 갖는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 폴리머막을 컨포멀하게(conformally) 퇴적하는 컨포멀막 퇴적 프로세스를 실행하는 단계로서, 상기 폴리머막은 3나노미터보다 작은 두께를 갖는 것인, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계;
    상기 작업 표면에 평행한 상기 기저층의 수평 표면들로부터 상기 컨포멀막이 제거될 때까지 상기 컨포멀막을 사용하여 상기 기저층을 에칭하는 활성화 에칭 프로세스를 실행하는 단계; 및
    상기 릴리프 패턴에 의해 커버되지 않은 미리 결정된 양의 상기 기저층을 제거할 때까지의 상기 컨포멀막 퇴적 프로세스 및 상기 활성화 에칭 프로세스의 사이클링 단계들을 포함하는, 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서, 상기 릴리프 패턴은 포토레지스트 재료로 구성되는 것인, 기판을 프로세싱하는 방법.
  3. 제 2 항에 있어서, 상기 포토레지스트 재료는 EUV 포토레지스트인 것인, 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서, 상기 기저층은 소프트마스크(soft-mask) 재료인 것인, 기판을 프로세싱하는 방법.
  5. 제 1 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는 플라즈마를 제 1 이온 에너지로 유지하는 것을 포함하고, 상기 활성화 에칭 프로세스를 실행하는 단계는 플라즈마를 제 2 이온 에너지로 유지하는 것을 포함하며, 상기 제 2 이온 에너지는 상기 제 1 이온 에너지보다 큰 것인, 기판을 프로세싱하는 방법.
  6. 제 5 항에 있어서, 상기 제 1 이온 에너지는, 특정 퇴적 재료 및 이온 조합의 에칭을 활성화하기에 충분한 문턱 에너지 아래에 있는 것인, 기판을 프로세싱하는 방법.
  7. 제 1 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는 CxFy 프로세스 가스를 사용하는 것을 포함하고, 상기 활성화 에칭 프로세스를 실행하는 단계는 상기 CxFy 프로세스 가스의 유동(flow)을 중단시키는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
  8. 제 1 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는 등방성(isotropic) 퇴적 프로세스를 사용하는 것을 포함하고, 상기 활성화 에칭 프로세스를 실행하는 단계는 이방성(anisotropic) 에칭 프로세스를 사용하는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
  9. 제 1 항에 있어서, 상기 활성화 에칭 프로세스를 실행하는 단계는, 저주파수 바이어스 전력을 플라즈마 프로세싱 챔버에 커플링하는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
  10. 제 1 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는 상기 폴리머막을 1초 내지 9초 동안 퇴적하는 것을 포함하고, 상기 활성화 에칭 프로세스를 실행하는 단계는 상기 기저층을 3초 내지 9초 동안 이방성으로 에칭하는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
  11. 제 1 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는, 상기 기판의 작업 표면의 등밀도(iso-dense) 특성들에 기반하여 연속파(continuous wave) 플라즈마 또는 펄스형(pulsed) 플라즈마를 선택적으로 사용함으로써 컨포멀도(degree of conformality)를 제어하는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
  12. 기판을 프로세싱하는 방법에 있어서,
    작업 표면을 갖는 기판을 수용하는 단계로서, 상기 작업 표면은 기저층 상에 상기 기저층의 일부분들이 커버되지 않도록 형성된 릴리프 패턴을 갖는 것인, 상기 기판을 수용하는 단계;
    상기 기판 상에 폴리머막을 컨포멀하게 퇴적하는 컨포멀막 퇴적 프로세스를 실행하는 단계로서, 상기 컨포멀막 퇴적 프로세스는 1초 내지 9초 동안 실행되는 것인, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계;
    상기 기저층을 상기 컨포멀막을 사용하여 3초 내지 9초 동안 에칭하는 활성화 에칭 프로세스를 실행하는 단계; 및
    미리 결정된 양의 상기 기저층을 제거할 때까지의 상기 컨포멀막 퇴적 프로세스 및 상기 활성화 에칭 프로세스의 사이클링 단계들을 포함하는, 기판을 프로세싱하는 방법.
  13. 제 12 항에 있어서, 상기 릴리프 패턴은 소프트마스크 재료인 것인, 기판을 프로세싱하는 방법.
  14. 제 12 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는 플라즈마를 제 1 플라즈마 밀도로 유지하는 것을 포함하고, 상기 활성화 에칭 프로세스를 실행하는 단계는 플라즈마를 제 2 플라즈마 밀도로 유지하는 것을 포함하며, 상기 제 1 플라즈마 밀도는 상기 제 2 플라즈마 밀도보다 큰 것인, 기판을 프로세싱하는 방법.
  15. 제 12 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는 CxFy 프로세스 가스를 사용하는 것을 포함하고, 상기 활성화 에칭 프로세스를 실행하는 단계는 상기 CxFy 프로세스 가스의 유동을 중단시키는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
  16. 제 12 항에 있어서, 상기 컨포멀막 퇴적 프로세스를 실행하는 단계는 등방성 퇴적 프로세스를 사용하는 것을 포함하고, 상기 활성화 에칭 프로세스를 실행하는 단계는 이방성 에칭 프로세스를 사용하는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
  17. 제 12 항에 있어서, 상기 활성화 에칭 프로세스를 실행하는 단계는, 저주파수 바이어스 전력을 플라즈마 프로세싱 챔버에 커플링하는 것을 포함하는 것인, 기판을 프로세싱하는 방법.
KR1020197008407A 2016-09-06 2017-09-06 유사 원자층 에칭 방법 KR102489215B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662384161P 2016-09-06 2016-09-06
US62/384,161 2016-09-06
PCT/US2017/050310 WO2018048925A1 (en) 2016-09-06 2017-09-06 Method of quasi atomic layer etching

Publications (2)

Publication Number Publication Date
KR20190040313A true KR20190040313A (ko) 2019-04-17
KR102489215B1 KR102489215B1 (ko) 2023-01-16

Family

ID=61280929

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197008407A KR102489215B1 (ko) 2016-09-06 2017-09-06 유사 원자층 에칭 방법

Country Status (5)

Country Link
US (1) US10438797B2 (ko)
KR (1) KR102489215B1 (ko)
CN (1) CN109804459B (ko)
TW (1) TWI757334B (ko)
WO (1) WO2018048925A1 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11170981B2 (en) * 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11295937B2 (en) * 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
JP7478059B2 (ja) * 2020-08-05 2024-05-02 株式会社アルバック シリコンのドライエッチング方法
JP2023542919A (ja) * 2020-09-25 2023-10-12 ラム リサーチ コーポレーション 堅牢なアッシング可能ハードマスク
US11079682B1 (en) * 2020-11-13 2021-08-03 Tokyo Electron Limited Methods for extreme ultraviolet (EUV) resist patterning development
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230326755A1 (en) * 2022-04-08 2023-10-12 Tokyo Electron Limited Patterning Features with Metal Based Resists
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024024919A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000323454A (ja) * 1999-04-21 2000-11-24 Alcatel 基板の異方性エッチング方法
JP2005123550A (ja) * 2003-10-14 2005-05-12 Nexso Inc 異方性エッチング方法
KR20050118267A (ko) * 2002-10-11 2005-12-16 램 리써치 코포레이션 플라즈마 에칭 성능 강화를 위한 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU1626194A1 (ru) * 1988-11-09 1991-02-07 Горьковский Политехнический Институт Устройство дл измерени комплексного коэффициента отражени двухполюсника СВЧ
TW594487B (en) * 2003-01-30 2004-06-21 Via Tech Inc System chip and related method of data access
WO2008153674A1 (en) * 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20090017401A1 (en) * 2007-07-10 2009-01-15 Shinichi Ito Method of forming micropattern
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
CN101571905A (zh) * 2009-05-12 2009-11-04 中兴软件技术(杭州)有限公司 Rfid中间件应用接口转换***和方法
US8426309B2 (en) * 2009-09-10 2013-04-23 Lockheed Martin Corporation Graphene nanoelectric device fabrication
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
EP2492750A1 (en) 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
KR101295368B1 (ko) 2011-07-18 2013-08-09 한국 한의학 연구원 허니부쉬 추출물 또는 이의 발효액을 유효성분으로 함유하는 피부 주름 개선용 조성물
US8916054B2 (en) * 2011-10-26 2014-12-23 International Business Machines Corporation High fidelity patterning employing a fluorohydrocarbon-containing polymer
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US9514953B2 (en) * 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9859138B2 (en) * 2014-10-20 2018-01-02 Lam Research Corporation Integrated substrate defect detection using precision coating
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
WO2016130795A1 (en) * 2015-02-12 2016-08-18 Massachusetts Institute Of Technology Methods and apparatus for variable selectivity atomic layer etching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000323454A (ja) * 1999-04-21 2000-11-24 Alcatel 基板の異方性エッチング方法
KR20050118267A (ko) * 2002-10-11 2005-12-16 램 리써치 코포레이션 플라즈마 에칭 성능 강화를 위한 방법
JP2005123550A (ja) * 2003-10-14 2005-05-12 Nexso Inc 異方性エッチング方法

Also Published As

Publication number Publication date
US10438797B2 (en) 2019-10-08
KR102489215B1 (ko) 2023-01-16
CN109804459A (zh) 2019-05-24
TW201820391A (zh) 2018-06-01
CN109804459B (zh) 2023-08-04
US20180068852A1 (en) 2018-03-08
WO2018048925A1 (en) 2018-03-15
TWI757334B (zh) 2022-03-11

Similar Documents

Publication Publication Date Title
KR102489215B1 (ko) 유사 원자층 에칭 방법
TWI527117B (zh) 橫向修整硬遮罩的方法
JP4886513B2 (ja) フィーチャ微小寸法の低減
US10763123B2 (en) Method for processing workpiece
US20200381263A1 (en) Method of processing target object
US20180158684A1 (en) Method of processing target object
KR102386268B1 (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
WO2008157018A1 (en) Minimization of mask undercut on deep silicon etch
KR102362462B1 (ko) 피처리체를 처리하는 방법
US10707088B2 (en) Method of processing target object
KR20100004891A (ko) 플라즈마 에칭 방법, 제어 프로그램 및 컴퓨터 기억 매체
Posseme Plasma Etching Processes for CMOS Devices Realization
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
US8361564B2 (en) Protective layer for implant photoresist
KR102310841B1 (ko) 레지스트 리플로우 온도 향상을 위한 직류 중첩 경화
TW201729285A (zh) 蝕刻方法
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant