KR20160123357A - 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층 - Google Patents

감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층 Download PDF

Info

Publication number
KR20160123357A
KR20160123357A KR1020167025418A KR20167025418A KR20160123357A KR 20160123357 A KR20160123357 A KR 20160123357A KR 1020167025418 A KR1020167025418 A KR 1020167025418A KR 20167025418 A KR20167025418 A KR 20167025418A KR 20160123357 A KR20160123357 A KR 20160123357A
Authority
KR
South Korea
Prior art keywords
layer
silicon oxy
forming
silicon
gas
Prior art date
Application number
KR1020167025418A
Other languages
English (en)
Other versions
KR102322809B1 (ko
Inventor
태완 김
강 섭 임
알렉산드로스 티. 데모스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160123357A publication Critical patent/KR20160123357A/ko
Application granted granted Critical
Publication of KR102322809B1 publication Critical patent/KR102322809B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

본 발명의 실시예들은 일반적으로, 집적 회로 내에 저-k 유전체 다공성 실리콘 옥시카본 층을 형성하기 위한 방법 및 장치를 제공한다. 일 실시예에서, 포로겐 및 벌크 층 함유 실리콘 옥시카본 층을 증착하고, 벌크 층을 동시에 가교시키지 않으면서, 형성된 층으로부터 포로겐들을 선택적으로 제거하고, 그 후에, 벌크 층 재료를 가교시키기 위한 방법이 제공된다. 다른 실시예들에서, 다수의 실리콘 옥시카본 하위층들을 증착하고, 하위-층의 벌크 재료를 동시에 가교시키지 않으면서, 각각의 하위-층으로부터 포로겐들을 선택적으로 제거하고, 별개로 하위-층들을 가교시키기 위한 방법들이 제공된다.

Description

감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-K 유전체 층{LOW-K DIELECTRIC LAYER WITH REDUCED DIELECTRIC CONSTANT AND STRENGTHENED MECHANICAL PROPERTIES}
[0001] 본 개시의 실시예들은 일반적으로, 기판들 상에 집적 회로들을 제작하는 프로세스에 관한 것이다. 더 구체적으로, 본 개시의 실시예들은, 집적 회로 디바이스들을 제조하기 위해 기판들 상에 저-k 유전체 층들을 증착하기 위한 프로세스들 및 관련된 장치를 설명한다.
[0002] 수십 년 동안, 반도체 산업은, 이전에 형성된 IC들보다 더 작고, 더 빠르고, 더 많은 디바이스들을 포함하는 집적 회로들(IC들)을 생성하는 것을 향하여 작업해 왔다. 저-k 절연성 재료들에서의 유전 상수들의 감소는 그러한 노력의 일부이다. 저-k 재료들은 전형적으로, 4 미만의 유전 상수 또는 k를 갖는 것들로 고려된다. 상수 k는, 진공의 유전율에 비한 재료의 유전율의 비율로서 정의된다. k를 감소시키기 위한 상이한 접근법들은, 유기 폴리머 재료들을 사용하는 것, 실리콘 이산화물에 불소 또는 탄소 또는 다른 재료들을 부가하는 것, 및 유전체 층들 내에 세공(pore)들을 포함시키는 것을 포함하였다.
[0003] 2개의 k-감소 전략들, 실리콘 산화물 함유 탄소 및 나노미터-스케일 세공들을 조합하는 재료의 일 예는, 어플라이드 머티어리얼스의 Black Diamond 3TM 막이다. 시작 층이 Black Diamond 3TM PECVD 챔버에서 증착될 수 있고, 그 후에, 증착된 층에 형성된 포로겐(porogen)들을 제거하는 경화 처리에 의해, 세공들이 생성될 수 있다. 세공들은 30 %만큼 막의 k 값을 감소시킬 수 있다. 전형적인 경화 처리는, 어닐링 및 자외선(UV) 복사에, 증착된 막을 노출시키는 것으로 구성된다. 경화 프로세스는 빈 세공들을 형성하기 위해 포로겐 재료를 제거하면서, 동시에, 벌크(bulk) 층을 가교(cross-linking)시키지만, 남아있는 포로겐 재료의 일부가 벌크 층 재료에 트래핑될(trapped) 수 있다. 가교 프로세스 동안에, 실리콘-산소-실리콘 체인들이 형성되고, 이는, 벌크-층 결합 구조, 따라서, 기계적으로 강한 층을 생성한다. 경화된 Black Diamond 3TM 층의 세공들은 고유 사이즈 분포를 갖고, 재료가 충분히 강하게 유지되도록 물리적으로 격리된다.
[0004] 증착된 층에 형성된 포로겐들은 형성된 층에서 볼륨을 점유하고, 그러한 볼륨은, 그렇지 않으면, 벌크 층 재료에 의해 점유될 것이다. 포로겐들은 전형적으로, 벌크 층 재료에 공극 또는 세공을 형성하기 위해, 통상적인 경화 프로세스에 의해 제거될 수 있는 부산물들로 분해될 수 있는 재료들로부터 선택된다. 바람직하게, 분해된 포로겐 재료는, 층 밖으로 완전히 확산되고, 휘발되고, 벌크 층 위에 형성된 프로세싱 구역으로부터 제거된다.
[0005] 경화는, 동시에, 벌크 층을 가교시키거나 또는 치밀화하고, 세공들 내의 재료 또는 포로겐들을 분해한다. 세공들을 형성하기 위해 포로겐들이 제거됨에 따라, 형성된 층의 k 값이 감소되고, 실리콘 결합들이 가교됨에 따라, 재료가 더 강하게 된다. 그러나, 가교는 또한, 증착된 층으로부터의 포로게닉(porogenic) 재료들의 확산의 레이트를 감소시킨다. 따라서, 가교 프로세스가 완료됨에 따라, 임의의 남아있는 포로겐들이 층 내에 트래핑된다. 따라서, 더 긴 경화 시간들은, 부가적인 세공들을 형성하기 위해 포로겐들을 제거하는 것에서 효과적이지 않게 된다.
[0006] 경화 프로세스의 끝 근처에서 벌크 층 재료가 완전히 가교되는 경우에, 남아있는 포로겐들은 벌크 층 내에 트래핑된다. 남아있는 포로겐들은, 경화 프로세스의 끝에서, 포로겐들을 함유하지 않은 막과 비교하여, 막의 유전 상수를 증가시킬 수 있는 것으로 생각된다. 또한, 남아있는 포로겐들은 막의 기계적인 강도를 감소시킬 수 있는 것으로 생각된다.
[0007] 다공성(porous) 유전체 층의 k 값은, 단순히, 층 내에 더 큰 부피 퍼센트의 세공들을 포함시킴으로써 감소될 수 있다. 그러나, 더 높은 다공도는 기계적인 강도를 감소시킨다. 따라서, 층의 저-k 특성들과 층의 기계적인 강도 사이에 트레이드오프가 존재한다. 예컨대, 몇몇 저-k 막들은, IC 디바이스들을 포함하는 기판들에 대해 전형적으로 수행되는 화학-기계 폴리싱(CMP) 프로세스들과 같은 프로세스들을 견디기 위해 충분한 기계적인 강도를 요구한다. CMP 머신들은 기판의 표면 층들에 큰 힘들을 가할 수 있고, 이는 기계적으로 약한 층을 손상시킬 수 있다.
[0008] 따라서, 감소된 k 값을 가질 수 있고 바람직한 기계적인 강도를 가질 수 있는 유전체 층들을 형성하는 방법들에 대한 필요성이 존재한다.
[0009] 본 개시는 일반적으로, 집적 회로들에서 사용되는 유전체 층들에 관련된다. 더 구체적으로, 본 개시는, 개선된 유전체 특성들(더 낮은 k)을 나타내고, 개선된 기계적인 특성들(더 큰 경도, 개선된 기계적인 강도, 및 탄성의 더 큰 영률)을 갖는 저-k 유전체 층들을 형성하기 위한 프로세스 및 관련된 장치를 설명한다.
[0010] 본 개시의 몇몇 실시예들에서, 포로게닉 유전체 층을 처리하기 위한 신규한 방법이 수행된다. 이러한 처리는, 증착된 층에 대해 가교 프로세스가 수행되기 전에, 포로겐들을 선택적으로 제거하기 위해 사용될 수 있다. 포로겐들을 먼저 제거함으로써, 원래 증착된 층에 형성된 세공들에 포로겐들을 트래핑하지 않으면서, 벌크 막을 별개로 가교시키는 것이 가능하다. 세공들을 더 완전히 비움으로써, 본원에서 설명되는 프로세스들을 수행한 후에, 더 낮은 k 재료가 결국 형성된다. 형성된 층으로부터의 포로게닉 재료들의 제거는 또한, 기계적으로 더 강한 층을 형성하는 것으로 생각된다. 출원인들은, 증착된 포로게닉 유전체 층들을 경화시키기 위한 통상적인 방법들과 비교하여, 층의 k 값을 감소시킬 수 있고, 층의 기계적인 강도를 증가시킬 수 있는 다수의 실시예들을 실시하도록 정리하였다.
[0011] 본 개시의 실시예들은 저-k 층을 형성하기 위한 방법을 포함할 수 있으며, 그러한 방법은, 벌크 재료 및 포로겐 재료를 포함하는 실리콘 옥시카본(silicon oxycarbon) 층을 형성하는 단계, 라디칼들을 포함하는 가스에, 형성된 실리콘 옥시카본 층을 노출시키는 단계, 및 라디칼들에, 형성된 실리콘 옥시카본 층을 노출시킨 후에, 에너지의 양에, 형성된 실리콘 옥시카본 층을 노출시키는 단계를 포함하며, 여기에서, 에너지의 양은, 형성된 실리콘 옥시카본 층을 경화시킨다.
[0012] 본 개시의 실시예들은 저-k 층을 형성하기 위한 방법을 더 포함할 수 있으며, 그러한 방법은, 벌크 재료 및 포로겐 재료를 포함하는 제 1 실리콘 옥시카본 층을 형성하는 단계, 라디칼들을 포함하는 제 1 가스에, 형성된 제 1 실리콘 옥시카본 층을 노출시키는 단계, 제 1 실리콘 옥시카본 층 상에, 벌크 재료 및 포로겐 재료를 포함하는 제 2 실리콘 옥시카본 층을 형성하는 단계, 라디칼들을 포함하는 제 2 가스에, 형성된 제 2 실리콘 옥시카본 층을 노출시키는 단계, 및 에너지의 양에, 형성된 제 1 및 제 2 실리콘 옥시카본 층들을 노출시키는 단계를 포함하며, 여기에서, 에너지의 양은, 형성된 제 1 및 제 2 실리콘 옥시카본 층을 경화시킨다.
[0013] 본 개시의 실시예들은 저-k 층을 형성하기 위한 방법을 더 포함할 수 있으며, 그러한 방법은, 벌크 재료 및 포로겐 재료를 포함하는 제 1 실리콘 옥시카본 층을 형성하는 단계, 라디칼들을 포함하는 제 1 가스에, 형성된 제 1 실리콘 옥시카본 층을 노출시키는 단계, 라디칼들에, 형성된 제 1 실리콘 옥시카본 층을 노출시킨 후에, 에너지의 양에, 형성된 제 1 실리콘 옥시카본 층을 노출시키는 단계 ― 에너지의 양은, 형성된 제 1 실리콘 옥시카본 층을 경화시킴 ―, 에너지의 양에, 형성된 제 1 실리콘 옥시카본 층을 노출시킨 후에, 제 1 실리콘 옥시카본 층 상에, 벌크 재료 및 포로겐 재료를 포함하는 제 2 실리콘 옥시카본 층을 형성하는 단계, 라디칼들을 포함하는 제 2 가스에, 형성된 제 2 실리콘 옥시카본 층을 노출시키는 단계, 및 에너지의 양에, 형성된 제 2 실리콘 옥시카본 층을 노출시키는 단계를 포함하며, 여기에서, 에너지의 양은, 형성된 제 2 실리콘 옥시카본 층을 경화시킨다.
[0014] 본 개시의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 개시의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 개시의 전형적인 실시예들을 도시하는 것이므로 본 개시의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시가 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0015] 도 1a는, 본 발명의 실시예에 따른, 저-k 유전체 층들이 내부에 형성된 집적 회로(IC) 기판의 단면이다.
[0016] 도 1b는, 본 발명의 실시예에 따른, 저-k 유전체 층이 내부에 형성된 IC 기판의 단면이다.
[0017] 도 2는, 본 발명의 실시예에 따른, 저-k 유전체 층을 형성하기 위한 클러스터 툴의 개략적인 상면도이다.
[0018] 도 3은, 본 발명의 실시예에 따른 유전체 증착 챔버의 단면도이다.
[0019] 도 4는, 본 발명의 실시예에 따른 UV 및 열 경화 챔버의 단면도이다.
[0020] 도 5는, 본 발명의 실시예에 따른, 저-k 유전체 층들을 형성하기 위한 프로세스의 일 실시예를 예시하는 흐름도이다.
[0021] 도 6a는, 본 발명의 실시예에 따른, 포로겐들을 포함하는 증착 직후의 저-k SiOXCY 층의 단면이다.
[0022] 도 6b는, 본 발명의 실시예에 따른, 층에 세공들을 형성하기 위해 포로겐들이 제거된 다공성 저-k SiOXCY 층의 단면이다.
[0023] 도 6c는, 본 발명의 실시예에 따른 가교된 다공성 저-k SiOXCY 층의 단면이다.
[0024] 도 7은, 본 발명의 실시예에 따른, 감소된-k 유전체 막들을 형성하기 위한 프로세스를 예시하는 흐름도이다.
[0025] 도 8은, 본 발명의 실시예에 따른, 감소된-k 유전체 막들을 형성하기 위한 프로세스의 제 2 실시예를 예시하는 흐름도이다.
[0026] 본 개시는 일반적으로, 집적 회로(IC) 디바이스 내에 저-k 유전체 층을 형성하기 위한 방법들을 제공한다. 그러나, 본원에서 개시되는 실시예들이, 본 개시의 예시적인 예들에서 도시된 것들 이외의 시스템 구성들에서 유용성을 가질 수 있고, 이러한 실시예들이 또한, 예컨대, 마이크로-전자-기계 시스템들(MEMS), 디스플레이들, 및 솔라 패널들과 같은, IC들에 관련되지 않은 애플리케이션들을 발견할 수 있다는 것이 이해되어야 한다. 본원에서 제공되는 예들은 일반적으로, 저-k 실리콘-탄소 산화물 막들의 화학 기상 증착 및 처리를 설명하지만, 본원에서 설명되는 처리 프로세스들은 또한, 다른 재료들을 포함하거나 또는 SOG(spin-on glass)와 같은 대안적인 수단에 의해 생성된 다공성 저-k 유전체 층들에 대해 적용될 수 있다.
[0027] 본원에서 설명되는 저-k 층들을 사용하여 형성될 수 있는 대머신(damascene) 구조의 예가 도 1a 및 도 1b에서 도시된다. 기판과 후속하여 증착되는 재료들 사이의 레벨간(interlevel) 확산을 제거하기 위해, 기판 표면 상에 유전체 배리어 층(110)이 형성된다. 유전체 배리어 층(110)은 산소 도핑된 실리콘 탄화물 또는 도핑된 실리콘 질화물과 같은 재료들을 포함할 수 있다. 기판 표면은 유전체 재료(105)에 형성된, 구리 피처(feature)들과 같은 금속 피처들(107)을 포함할 수 있다. 유전체 배리어 층(110) 상에 제 1 저-k 유전체 층(112)이 형성된다. 도 1b는 기판 재료들(105-107) 상의 패터닝되지 않은 층들(110 및 112)을 도시하는 한편, 도 1a는 추가적으로 프로세싱된 그러한 층들을 예시한다. 유전체 층(112)은 본원에서 설명되는 바와 같은 다공성 저-k 실리콘 옥시카본(SiOXCY) 층일 수 있다.
[0028] 그 후에, 제 1 유전체 층(112) 상에, 산소 도핑된 실리콘 탄화물 및/또는 실리콘 질화물과 같은 실리콘 유전체 재료의 유전체 에칭 정지부(114)가 형성된다. 그 후에. 에칭 정지부(114)는, 인터커넥트(interconnect)들 또는 콘택(contact)들/비아(via)들의 개구들(116)을 정의하기 위해, 통상적인 기법들을 사용하여, 패턴 에칭된다. 그 후에, 패터닝된 에칭 정지 층 위에 제 2 저-k 유전체 층(118)이 형성된다. 그 후에, 레지스트가, 콘택들/비아들의 개구들(116)을 정의하기 위해, 본 기술분야에 알려져 있는 통상적인 수단에 의해, 증착되고 패터닝된다. 그 후에, 단일 에칭 프로세스가, 에칭 정지부까지 아래로 콘택들/비아들의 개구들(116)을 정의하기 위해, 그리고 콘택들/비아들의 개구들(116)을 정의하도록, 패터닝된 에칭 정지부에 의해 노출된 보호되지 않는 유전체를 에칭하기 위해 수행된다. 도시되지 않았지만, 제 2 저-k 유전체 층(118) 상에, 층들(110 및 114)과 유사한 선택적인 유전체 캐핑(capping) 층이 형성될 수 있다. 저-k 유전체 층(118)이 또한, 본원에서 설명되는 바와 같은 다공성 저-k SiOXCY 층일 수 있다. 설명된 바와 같은 리소그래피 패터닝 및 에칭을 위한 방법들은 본 기술분야에서 통상적인 프로세스들을 활용할 수 있다.
[0029] 그 후에, 형성된 콘택들/바이들의 개구들(116)을 충전하기 위해, 구리와 같은 하나 또는 그 초과의 전도성 재료들(120)이 증착된다. 전도성 재료들의 블랭킷(blanket) 층이 증착될 수 있고, 개구들(116)에, 본 기술분야에서 통상적인 얇은 전도성 라이너-배리어 층을 갖는 구리와 같은 재료들만을 남기는 평탄화 프로세스에 의해 선택적으로 제거될 수 있다. 본 기술분야에서 통상적인 평탄화 프로세스 또는 화학-기계 폴리싱(CMP)은, 형성된 층들이 약한 경우에 이들을 손상시킬 수 있는 거대한 힘들에 저-k 유전체 층들(112 및 118)을 노출시킬 수 있다. 따라서, IC 설계자들은, 저-k 유전체 층들(112 및 118)이 CMP 프로세싱을 견디기에 충분한 높은 강도를 갖는 것을 요구할 수 있다. 도 1에서 도시된 층들은, 금속화 층들을 상호연결하는 통합된 스택을 형성하기 위해 반복될 수 있다. 예컨대, 상호연결된 전도성 경로들의 9개 초과의 층들을 갖는 디바이스들이 일반적이다. 설명된 대머신 시퀀스와 유사한 다른 방법들이, 인터커넥트 층들을 형성하기 위해 활용될 수 있지만, 이는 또한, 본원에서 설명되는 바와 같은 저-k 층들을 활용할 수 있다.
[0030] 본 개시에서, 저-k 실리콘 옥시카본(SiOXCY) 막은 비정질 SiOXCY의 층들을 지칭하며, 여기에서, 층은 실리콘(Si), 산소(O), 탄소(C)를 포함하고, X는 전형적으로, 0 내지 2이고, Y는 전형적으로, 0 내지 1이다. 그러나, 일 실시예에서, 저-k 실리콘 옥시카본(SiOXCY)은, 수소화 비정질 SiOXCY 또는 비정질 SiOXCY:H일 수 있고, 여기에서, 층은 실리콘(Si), 산소(O), 탄소(C), 수소(H)를 포함하고, X는 전형적으로 0 내지 2이고, Y는 전형적으로 0 내지 1이다. (SiOXCY) 막은 비정질 SiOXCY의 하나 또는 그 초과의 층들을 지칭하고, 여기에서, 층은 실리콘(Si), 산소(O), 탄소(C)를 포함하고, X는 전형적으로, 0 내지 2이고, Y는 전형적으로 0 내지 1이다. 그러나, 몇몇 경우들에서, 저-k 실리콘 옥시카본(SiOXCY)은, 수소화 비정질 SiOXCY 또는 비정질 SiOXCY:H일 수 있고, 여기에서, 층은 실리콘(Si), 산소(O), 탄소(C), 수소(H)를 포함하고, X는 전형적으로, 0 내지 2이고, Y는 전형적으로, 0 내지 1이다. 증착 직후의 층은 또한, 형성된 층의 프로세싱 상태에 따라, 포로겐들 및/또는 세공들을 포함할 것이다. 포로겐들은 전형적으로, 전구체들 및 전구체 부산물들을 포함하지만, 다른 재료들을 포함할 수 있다. 실리콘 옥시카본(SiOXCY) 타입 재료들은, 유기실리콘 재료들, 탄소 도핑된 실리콘 산화물, 산소-도핑된 실리콘 탄화물, 및 실리콘, 산소, 및 탄소를 포함하는 재료들을 포함한다. 형성된 저-k 막은 또한, k를 감소시킬 수 있거나 또는 다른 바람직한 막 특성들을 제공할 수 있는, 불소(F)와 같은 다른 재료들을 포함할 수 있다. 실리콘 옥시카본 막은 별개의 도핑 레벨들 또는 조성들을 갖는 부분들을 포함할 수 있고, 예컨대, 막 스택의 질소-함유 하부 부분은 확산 배리어로서 기능할 수 있다. 몇몇 경우들에서, 실리콘 옥시카본 층은, 비정질일 수 있거나, 또는 결정질일 수 있거나, 또는 결정질 컴포넌트들을 갖는 비정질일 수 있다. 층의 표면은, Si-CH3와 같은 특정한 종단(terminating) 결합 구조를 포함할 수 있거나 또는 포함하지 않을 수 있다. 저-k SiOXCY 막은 또한, 화학 기상 증착으로부터의 것들과 같은, 프로세싱으로부터의 불순물들을 포함할 수 있다. 본 개시에서, "층" 및 "막"이라는 용어들은 교환가능하게 사용되고, "부분적인 층" 또는 "하위층(sublayer)"은 층의 부분을 교환가능하게 지칭한다. "기판"이라는 용어는, 실리콘 웨이퍼 또는 게르마늄 웨이퍼와 같은 블랭크 또는 시작 재료와 같은 재료들을 지칭할 수 있다. 생산 세팅에서, "기판"은 전형적으로, 부분적으로 형성된 집적 회로들을 운반하는 프로세싱된 웨이퍼이다.
실리콘 옥시카본 층 형성 장치
[0031] 도 2 내지 도 4는 저-k SiOXCY 층을 형성하기 위한 장치를 예시한다. 도 2는, 본 발명의 실시예들이 포함될 수 있는 반도체 프로세싱 시스템(200)의 평면도를 도시한다. 시스템(200)은, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능한 ProducerTM 프로세싱 시스템의 일 실시예를 예시한다. 프로세싱 시스템(200)은 메인프레임 구조(201) 상에 지지된 필요한 프로세싱 유틸리티들(utilities)을 갖는 자립형 시스템이다. 프로세싱 시스템(200)은 일반적으로, 기판 카세트들(209)이 지지되고 기판들이 로드락 챔버(212) 내로 로딩되고 로드락 챔버(212)로부터 언로딩되는 전단부 스테이징 영역(202), 기판 핸들러(213)를 하우징(housing)하는 이송 챔버(211), 이송 챔버(211) 상에 탑재된 일련의 탠덤(tandem) 프로세스 챔버들(206, 216, 및 226), 및 전력 분배 패널(205) 및 가스 패널(203)과 같은, 시스템(200)의 동작을 위해 요구되는 지원 유틸리티들을 하우징하는 후단부(238)를 포함한다. 제어기(290)는, 컴퓨터, 및 태스크들의 자동화를 위한 다른 회로를 포함한다.
[0032] 탠덤 프로세스 챔버들(206, 216, 및 226) 각각은 기판들을 프로세싱하기 위한 2개의 프로세싱 구역들을 포함한다(도 3 및 도 4 참조). 2개의 프로세싱 구역들은, 가스들의 공통 공급, 공통 압력 제어, 및 공통 프로세스 가스 배기/펌핑 시스템을 공유한다. 챔버들의 배열 및 조합은 특정한 프로세스 단계들을 수행하는 목적들을 위해 변경될 수 있다. 탠덤 프로세스 챔버들(206, 216, 및 226) 중 임의의 것은, 아래에서 설명되는 바와 같은, 본 발명의 양상들에 따른 프로세싱 하드웨어를 포함할 수 있으며, 그러한 프로세싱 하드웨어는, 포로겐-함유 저-k 유전체 SiOXCY 층을 화학 기상 증착하기 위한 장치, 층들로부터 포로게닉 재료들을 선택적으로 제거하기 위해, 라디칼들 및/또는 플라즈마에 SiOXCY 층들을 노출시키기 위한 장치, 및 챔버 세정 프로세스에서의 그리고/또는 기판 상에서의 저 k 유전체 재료의 가교 프로세스에서 사용하기 위한 하나 또는 그 초과의 자외선(UV) 램프들을 포함하는 장치를 포함한다.
[0033] 일반적으로, 시스템 제어기(290)는 기판 프로세싱 시스템(200)에서 발견되는 하나 또는 그 초과의 컴포넌트들을 제어하기 위해 사용될 수 있다. 시스템 제어기(290)는 일반적으로, 프로세싱 시스템(200)의 제어 및 자동화를 용이하게 하도록 설계되고, 전형적으로, 중앙 프로세싱 유닛(CPU)(292), 메모리(294), 및 지원 회로들(296)을 포함한다. CPU(292)는, 다양한 시스템 기능들, 기판 이동, 챔버 프로세스들, 및 지원 하드웨어(예컨대, 센서들, 로봇들, 모터들, 램프들 등)를 제어하기 위해 산업 현장들에서 사용되고 프로세스들(예컨대, 기판 지지부 온도, 전력 소스 변수들, 가스 유동들, 챔버 압력, 챔버 프로세스 시간, I/O 신호들 등)을 모니터링하는 임의의 형태의 컴퓨터 프로세서들 중 하나일 수 있다. 메모리(294)는 CPU(292)에 연결되고, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 국부적인 또는 원격의 임의의 다른 형태의 디지털 스토리지와 같은 쉽게 이용가능한 타입의 메모리 중 하나 또는 그 초과일 수 있다. 소프트웨어 명령들 및 데이터는, CPU(292)에 명령하기 위해, 메모리(294) 내에 저장될 수 있고 코딩될 수 있다. 지원 회로들(296)이 또한, 통상적인 방식으로 프로세서를 지원하기 위해, CPU(292)에 연결될 수 있다. 지원 회로들(296)은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함할 수 있다. 시스템 제어기(290)에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)은, 어떤 태스크들이 기판에 대해 수행가능한지를 결정한다. 바람직하게, 프로그램은, 프로세싱 시스템(200)에서 수행되고 있는 다양한 챔버 프로세스 레시피 단계들 및 다양한 프로세스 레시피 태스크들과 함께, 기판의 이동, 지지, 및/또는 포지셔닝의 모니터링, 실행, 및 제어에 관련된 태스크들을 수행하기 위한 코드를 포함하는, 시스템 제어기(290)에 의해 판독가능한 소프트웨어이다. 일 실시예에서, 시스템 제어기(290)는 또한, 프로세싱 시스템(200)에서의 하나 또는 그 초과의 모듈들을 국부적으로 제어하기 위해 사용되는 복수의 프로그래머블 로직 제어기들(PLC들), 및 완전한 기판 프로세싱 시스템(200)의 더 높은 레벨의 전략적인(strategic) 이동, 스케줄링, 및 러닝을 다루는 재료 핸들링 시스템 제어기(예컨대, PLC 또는 표준 컴퓨터)를 포함한다.
[0034] 일 실시예에서, 유전체 막들의 인-시튜 PECVD 증착, 라디칼들에 대한 노출, 및 UV/열 경화를 위한 프로세싱 챔버들로 구성된, 도 2에서 도시된 것과 같은 클러스터 툴에 기판이 제공될 수 있다. 이러한 예에서, 클러스터 툴은, 2개의 기판들을 동시에 운반하고 프로세싱하도록 구성된다. 유사하게, 어플라이드 머티어리얼스에 의해 제조된 Endura® 또는 Centura® 시스템들과 같은 단일-웨이퍼 클러스터 툴들이, 시스템들 상에 설치된 다수의 프로세싱 챔버들 중 임의의 것 내에서 단일 기판을 운반하고 프로세싱하기 위해 활용될 수 있다. 일 실시예에서, 챔버들(206 및 216)은, 프리-프로세싱(pre-processing), 포로게닉 저-k 유전체 층들의 PECVD 증착, 및 플라즈마 및 라디칼들에 노출시키는 것에 의한 포로겐들의 제거를 위해 구성되는 한편, 챔버들(226)은, 기판에 열 및/또는 UV 파장 에너지를 전달하는 것을 포함할 수 있는 가교 프로세스를 수행하도록 구성된다.
[0035] 도 3은 화학 기상 프로세싱 챔버 바디(300)를 도시하고, 그러한 화학 기상 프로세싱 챔버 바디(300)는, 챔버 바디(300)에 커플링된 가스 분배 시스템(304)을 포함한다. 도 3에서 도시된 실시예에서, 탠덤 챔버 바디(300)는 제 1 프로세싱 구역(312) 및 제 2 프로세싱 구역(314)을 포함한다. 본 발명으로부터 이익을 얻도록 적응될 수 있는 하나의 프로세싱 챔버는, 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 PRODUCERTM 화학 기상 증착 시스템이다.
[0036] 챔버들 내의 가스의 균일한 분배를 제공하기 위해, 챔버 바디(300)의 각각의 프로세싱 구역(312, 314) 위에 샤워헤드(320)가 각각 배치된다. 샤워헤드(320)는 유전체 막들의 인-시튜 증착을 가능하게 한다. 샤워헤드(320)는 또한, 플라즈마 또는 라디칼들로 유전체 막을 인-시튜 처리하는 것과 같은, 균일한 가스 분배가 요구되는 다른 프로세스들에서 유용하다.
[0037] 예시적인 챔버 바디(300)는 일반적으로, 덮개(306), 바닥(308), 및 측벽들(310)을 포함한다. 적어도 하나의 내부 벽(316)이, 제 2 프로세싱 구역(314)으로부터 제 1 프로세싱 구역(312)을 분리시키기 위해, 챔버 바디(300)의 바닥(308)과 덮개(306) 사이에 배치된다. 챔버 바디(300)에 배치된 배기 포트들(346)은 일반적으로, 진공 펌프(330)에 프로세싱 구역들(312, 314)을 커플링시킨다. 스로틀 밸브(미도시)가 일반적으로, 각각의 배기 포트(346)와 펌프(330) 사이에 배치되고, 프로세싱 구역들(312, 314)에서의 압력을 조절하기 위해 활용된다.
[0038] 각각의 프로세싱 구역(312 및 314)은 기판 지지부(354)를 포함한다. 기판 지지부(354)는 프로세싱 동안에 기판(324)을 지지한다. 기판 지지부(354)는, 정전기 인력, 진공, 또는 기계적인 클램핑을 포함하는 다양한 방법들에 의해, 기판(324)을 보유할 수 있다. 각각의 기판 지지부(354)는, 샤워헤드(320)에 관하여 기판 지지부(354)의 높이를 제어하는 리프트 메커니즘(352)에 커플링된다. 기판 지지부(354)는, 측벽들(310)에 배치된 기판 액세스 포트들(미도시)을 통하는 기판 이송을 용이하게 하기 위해, 리프트 메커니즘(352)에 의해 하강될 수 있다. 역으로, 기판 지지부(354)는, 샤워헤드(320)와 기판(324) 사이에 갭(또는 간격)(348)을 세팅하기 위해, 샤워헤드(320)를 향하여 상승될 수 있다. 벨로즈(350)가, 진공 누설을 방지하기 위해, 챔버 바닥(308)과 리프트 메커니즘(352) 사이에 커플링된다.
[0039] 기판 지지부(354)는, 기판(324)의 온도를 열적으로 제어하기 위해 활용되는 가열 엘리먼트(344)를 포함한다. 가열 엘리먼트(344)는, 다른 온도 제어 디바이스들 중에서, 저항성 가열기, 열 전달 유체를 유동시키기 위한 유체 도관, 또는 열전기 디바이스일 수 있다. 도시된 실시예에서, 가열 엘리먼트(344)는, 약 200 ℃ 내지 약 450 ℃의 온도로, 기판(324)을 가열할 수 있고 유지할 수 있는 저항성 가열기이다.
[0040] 가스 박스들(340)이, 프로세싱 구역(312, 314)에 배치된 기판 지지부(354) 위에서 챔버 바디(300)의 덮개(306)에 배치된다. 가스 박스(340)는, 가스 박스(340)의 열 제어를 용이하게 하기 위해, 가스 박스(340)에 적어도 부분적으로 형성된 하나 또는 그 초과의 통로들(342)을 포함할 수 있다. 각각의 가스 박스(340)는 가스 분배 시스템(304)에 커플링된다. 가스 분배 시스템(304)은, 적어도, 제 1 가스 공급 회로(332) 및 제 2 가스 공급 회로(334)를 포함한다. 제 1 가스 공급 회로(332)는, 적어도, 제 1 프로세스 가스를 각각의 프로세싱 구역(312, 314)에 제공한다. 제 1 가스 공급 회로(332)는, 각각, 챔버 바디(300)의 덮개(306)에 배치된 제 1 및 제 2 혼합 블록들(326A, 326B)에 커플링된다. 제 2 가스 공급 회로(334)는 일반적으로, 제 1 및 제 2 혼합 블록들(326A, 326B)에 커플링되고, 제 1 및 제 2 혼합 블록들(326A, 326B)에 제 2 프로세스 가스를 제공한다. 가스 소스(328)가 가스 분배 시스템(304)에 직접적으로 커플링된다. 가스 소스(328)는, 산소(O2), 질소(N2), 또는 수소(H2)와 같은 고 순도 가스들의 보틀(bottle) 또는 보틀들일 수 있다. 가스 소스(328)는 또한, 거대-분자 전구체 소스 또는 버블러(bubbler)를 포함할 수 있고, 여기에서, 전구체는 실온에서 액체이고, 기판들(324)로의 신뢰가능한 유동을 위해 "푸시(push)" 가스 및 가열된 라인을 요구한다. 가스 소스(328)는 또한, 공통 가스 소스로부터 개별적인 프로세싱 시스템들로의 고 순도 가스들의 전달을 제공하도록 구성된 공통 팩토리 빌딩 설비에 대한 연결들의 네트워크일 수 있다. 제 2 가스 소스(398)는 가스 소스(328)와 유사하지만, 원격 플라즈마 소스(RPS)(394)에 커플링된다. RPS(394)는, RPS를 통해 유동하는 가스들의 분자 종을, 에너지 소스(미도시)(예컨대, 마이크로파, RF, 또는 고 전압 소스)의 사용에 의해 이러한 유동 가스들에 에너지를 전달함으로써, 해리시키도록 구성된다. RPS의 하나의 예는, 기판 표면들에 라디칼들을 전달하기 위해 챔버들에 커플링될 수 있는 어플라이드 머티어리얼스의 원격 플라즈마 소스 하드웨어이다. RPS(394)는 기판들(324)로의 라디칼들의 전달을 제공하기 위해 가스 분배 시스템(304)에 커플링된다.
[0041] 저-k 실리콘 옥시카본 층을 처리하기 위해 라디칼들을 전달하는 일 실시예가 아래에서 설명된다. 이러한 실시예에서, 주위의 층을 가교시키거나 또는 치밀화하지 않으면서, 세공들로부터 포로게닉 재료들 또는 포로겐 함유 재료들을 제거하기 위해, 라디칼들에 층이 노출된다. 일 실시예에서, 라디칼들에 대한 노출은 챔버들(300)과 같은 PECVD 챔버에서 수행된다.
[0042] 블로커 플레이트(336)가 챔버 바디(300)의 덮개(306)에 커플링되고, 각각의 혼합 블록(326A, 326B) 아래에서 그와 함께 제 1 플리넘을 형성한다. 블로커 플레이트(336)는 일반적으로, 방사상으로 각각의 혼합 블록(326A, 326B) 밖으로 유동하는 가스들을 분배하도록 천공된다.
[0043] 샤워헤드(320)는 일반적으로, 기판 지지부(354)와 각각의 블로커 플레이트(336) 사이에서 챔버 바디(300)의 덮개(306)에 커플링된다. 샤워헤드(320)는 일반적으로, 증착 균일성을 강화하기 위해, 프로세싱 구역들(312, 314)에 균일하게 프로세스 및 다른 가스들을 분배한다. 무선 주파수(RF) 전력 소스(322)가 샤워헤드(320)에 커플링된다. 프로세싱 동안에 샤워헤드(320)에 인가되는 RF 전력은 전형적으로, 각각의 프로세싱 구역들(312, 314) 내에서, 혼합된 프로세스 가스(들) 및/또는 다른 가스들의 플라즈마를 점화시키고 지속시키며, 이는 일반적으로, 증착 레이트들을 증가시키면서, 더 낮은 프로세싱 온도들을 용이하게 한다. 챔버 바디(300)의 덮개(306)와 샤워헤드(320) 사이에 배치된 유전체 아이솔레이터(isolator)(338)는 챔버 바디(300)로부터 RF 핫 샤워헤드(320)를 전기적으로 격리시키기 위해 사용된다. 일 실시예에서, 광섬유를 통해 챔버들(300)에 광학적으로 커플링된 분광계와 같은 엔드포인트 검출 하드웨어는, 형성된 층으로부터 포로겐들을 제거하기 위해 사용되는 플라즈마 처리 동안에 플라즈마에서의 포로겐 부산물들의 존재 또는 부재를 검출할 수 있다.
[0044] 도 4는, 하우징들(404) 및 전력 소스들(미도시)을 갖는, SiOXCY 막을 가교시키기 위한 탠덤 프로세스 챔버(400)의 부분적인 단면도를 도시한다. 하우징들(404) 각각은, 바디(470) 내에 정의된 2개의 프로세싱 구역들(450) 위에 각각 배치된 2개의 UV 램프 벌브들(402) 각각을 덮는다. 프로세싱 구역들(450) 각각은, 프로세싱 구역들(450) 내에서 기판(408)을 지지하기 위한 가열 기판 지지부(406)를 포함한다. 기판 지지부들(406)은 세라믹 또는 금속, 예컨대 알루미늄으로 제조될 수 있다. 바람직하게, 기판 지지부들(406)은, 바디(470)의 바닥을 통해 연장되는 스템들(410)에 커플링되고, 프로세싱 구역들(450)에서 UV 램프 벌브들(402)을 향하여 그리고 UV 램프 벌브들(402)로부터 멀어지게 기판 지지부들(406)을 이동시키기 위한 구동 시스템들(412)에 의해 동작된다. 구동 시스템들(412)은 또한, 기판 조명의 균일성을 추가로 강화하기 위해, 경화 동안에, 기판 지지부들(406)을 회전시킬 수 있고, 그리고/또는 병진이동(translate)시킬 수 있다. 기판 지지부들(406)의 조정가능한 포지셔닝은, 휘발성 경화 부산물의 생성의 제어, 및 퍼지 및 세정 가스 유동 패턴들 및 체류 시간들의 제어를 가능하게 할 수 있는 한편, 또한 가능하게는, 광 전달 시스템의 성질에 따라, 기판(408) 상의 입사 UV 복사조도(irradiance) 레벨들을 미세 튜닝할 수 있다. 일 실시예에서, 프로세싱 구역들(450)에서 플라즈마를 형성하기 위해, RF 전력이 전력 소스(미도시)로부터 기판 지지부들(406)로 공급된다.
[0045] UV 램프 벌브들(402)로부터 방출되는 UV 광은, 덮개(402)에서의 구멍들에 배치된 윈도우들(414)을 통과함으로써, 프로세싱 구역들(450)에 진입한다. 윈도우들(414)은 바람직하게, 합성 석영 유리로 제조되고, 크래킹 없이 진공을 유지하기에 충분한 두께를 갖는다. 추가로, 윈도우들(414)은 바람직하게, 대략 150 nm에 이르는 UV 광을 투과시키는 용융 실리카이다. 덮개(402)가 바디(470)에 대해 밀봉되고, 윈도우들(414)이 덮개(402)에 대해 밀봉되기 때문에, 프로세싱 구역들(450)은, 대략 1 Torr 내지 대략 650 Torr의 압력들을 유지할 수 있는 볼륨들을 제공한다. 프로세싱 또는 세정 가스들은, 2개의 유입구 통로들(416) 각각을 통해, 프로세싱 구역들(450)에 진입한다. 그 후에, 프로세싱 또는 세정 가스들은, 공통 배출구 포트(418)를 통해, 프로세싱 구역들(450)에서 빠져나간다.
[0046] 유입구 통로들(416)에 진입하는 프로세싱 가스들은 가스들 및 라디칼들 양자 모두를 포함할 수 있다. 가스 소스(428)가 유입구 통로들(416)에 직접적으로 커플링된다. 가스 소스(428)는, 산소(O2), 질소(N2), 암모니아(NH3), 또는 수소(H2)와 같은 고 순도 가스들의 보틀 또는 보틀들일 수 있다. 가스 소스(428)는 또한, 공통 가스 소스로부터 복수의 프로세싱 시스템들로의 고 순도 가스들의 전달을 제공하도록 구성된 팩토리 빌딩 설비에 대한 연결들을 포함할 수 있다. 제 2 가스 소스(498)는 설명되는 가스 소스(428)와 유사하지만, 원격 플라즈마 소스(RPS)(494)에 커플링된다. RPS(494)는, RPS를 통해 유동하는 가스들의 분자 종을 해리시키도록 구성된다. RPS(494)는 기판들(408)로의 라디칼들의 전달을 제공하기 위해 가스 유입구(416)에 커플링된다.
[0047] 실시예에서, RPS(494)로부터의 라디칼들, 및 가스 소스(428)로부터의 가스들이 프로세싱 구역들(450)에 진입하고, 그에 따라, 경화 프로세스를 수행하기 전에, 라디칼들 및/또는 플라즈마가, 증착된 저-k 유전체 층에서의 세공들 내부에 함유된 포로게닉 재료들과 반응할 수 있다. 일 실시예에서, 라디칼들 및/또는 플라즈마에 대한 노출이 별개의 경화 단계에 선행한다. 하나의 그러한 실시예에서, 라디칼들 및/또는 플라즈마에 대한 노출은, 경화 프로세스를 수행하도록 구성된 챔버에서 수행된다. 라디칼들의 유동에 기판의 표면을 선택적으로 노출시킨 후에, UV 광 및 열이 층을 경화시키기 위해 적용될 수 있고, 여기에서, 실리콘 원자들이 산소 원자들 및 층에서의 다른 원자들과 결합하고, 그에 따라, 층이 가교되고 그리고/또는 치밀화된다.
실리콘 옥시카본 층 형성 및 프로세싱 예들
[0048] 도 5, 도 7, 및 도 8은 저-k SiOXCY 층을 형성하기 위한 프로세스 시퀀스들을 예시하는 한편, 도 6a 내지 도 6c는, 도 5에서 예시된 프로세스 시퀀스(500)의 부분들과 같은, 층 형성 시퀀스의 상이한 부분들 동안의 기판을 예시한다. 프로세스 시퀀스들(500, 700, 또는 800) 각각은, 포로게닉 층을 증착하고, 벌크 층에 형성된 세공들로부터 포로겐들을 선택적으로 제거하고, 남은 다공성 벌크 층을 가교시키기 위한 단계들을 설명한다.
[0049] 도 5는, 집적 회로의 부분을 형성하기 위해 사용될 수 있는, 저-k SiOXCY 층을 형성하기 위해 사용되는 프로세스 시퀀스(500)의 프로세스 흐름도이다. 단계들의 순서가 변화될 수 있지만, 도 5에서 도시된 바와 같은 단계들의 순서는, 프리-프로세스 단계(510), 저-k 층 증착 단계(520), 처리 단계(540), 가교 단계(570), 및 선택적인 냉각 단계(580)를 포함한다. 단계들(540 및 570)은, 아래에서 설명되는 하나 또는 그 초과의 하위-단계들을 포함할 수 있다.
[0050] 프로세스 시퀀스(500)의 시작에서, 기판이 로드락을 통해 클러스터 툴 시스템의 진공 환경으로 도입된다. 다음으로, 프리-프로세스 단계(510) 동안에, 기판은, 프로세싱 시스템(예컨대, 프로세싱 시스템(200))에서의 챔버에서 플라즈마를 사용하여, 가열, 아웃개싱, 및/또는 사전세정될 수 있다. 프리-프로세싱 단계는 화학 기상 증착 프로세스를 위해 기판을 준비시키기 위해 사용될 수 있다. 특히, 가열은, 대기의 습기에 대한 기판의 노출로부터 흡착된 수증기를 제거하는 것을 돕는다. 가열은 또한, 기판의 온도를 요구되는 화학 기상 증착 온도로 상승시킬 수 있다. 몇몇 경우들에서, 사전세정 단계는 플라즈마에 기판의 표면을 노출시킴으로써 수행될 수 있다.
[0051] 다음으로, 단계(520)에서, 층(603)(도 6a)과 같은 포로겐 함유 저-k 유전체 층이 증착된다. 포로게닉 저-k 유전체 층을 증착하기 위한 상이한 방법들은, CVD, PECVD, 물리 기상 증착(PVD), 원자 층 증착 (ALD), 및 SOG(spin-on glass) 프로세스들을 포함할 수 있다. 도 6a는, 저-k 층 증착 단계(520) 동안에 포로겐 함유 SiOXCY 또는 유기실리콘 층과 같은 층(603)이 위에 형성된 기판(602)을 도시한다. 기판(602)은, 특히, 금속, 플라스틱, 유기 재료, 실리콘, 유리, 석영, 또는 폴리머 재료들의 얇은 시트일 수 있다. 일 실시예에서, 기판(602)은, 실리콘-함유 층이 위에 증착될 실리콘 함유 기판이다. 다른 실시예들에서, 기판(602)은, 도핑되지 않은, 도핑된, 또는 그렇지 않으면, 변형된 유리 기판일 수 있다. 전형적인 IC 기판은 또한, 다수의 패터닝된 층들, 및 IC들의 형성된 또는 부분적으로 형성된 부분들을 포함할 것이다.
[0052] 일 실시예에서, 증착된 층(603)은, 포로겐(608) 함유 벌크 층(604)을 형성하기 위해, 플라즈마 강화 화학 기상 증착(PECVD) 프로세스의 사용에 의해 형성된다. 포로겐들은, 증착된 막 내에 트래핑된(trapped) 반응물들 및 전구체들로부터 층 내에 형성될 수 있다. 포로겐들은 거대-분자 전구체들 및 이들의 부산물들로부터 형성될 수 있다. 본 기술분야에 알려져 있는 저-k SiOXCY 층들의 예들은, 어플라이드 머티어리얼스의 Black Diamond 2TM 및 Black Diamond 3TM 막들을 포함한다. 저-k 유전체 막들은, 위에서 설명된 것(도 3)과 같은 PECVD 챔버들에서, 또는 기판 지지부, 샤워헤드, 및 유도성 코일에 별개로 전력공급하기 위해 3개의 상이한 RF 전력 소스들을 사용하는 어플라이드 머티어리얼스의 HDP-CVD 챔버와 같은 챔버들에서 증착될 수 있다. 전형적인 PECVD 증착 단계(520)에서, 유기 실란(실리콘 함유) 타입 가스가 비-실란 가스들과 함께 프로세스 챔버 내로 유동된다. 다수의 그러한 실란 가스들이 본 기술분야에 알려져 있다. 실란 가스 또는 실란 가스들의 혼합물의 농도, 증착 온도, 증착 프로세스 압력, 및 RF 전력 레벨이, 증착되는 막에서의 요구되는 세공 사이즈를 형성하기 위해 조정될 수 있다. 일반적으로, 더 큰 실란 전구체 분자들은 막 내에 더 큰 포로겐들을 형성한다.
[0053] PECVD 실리콘-함유 전구체들은, 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리-이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란 및 테트라에톡시실란, 트리메틸실란, 테트라메틸실란, 메틸트리아세톡시실란, 메틸디아세톡시실란, 메틸에톡시디-실록산, 테트라메틸시클로테트라실록산, 옥타메틸시클로-테트라실록산, 디메틸디아세톡시실란, 비스(트리메톡시실릴)메탄, 비스(디메톡시실릴)-메탄, 테트라에톡시실란, 트리에톡시실란, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는 하나 또는 그 초과의 전구체들을 포함할 수 있다.
[0054] 위에서 기재된 바와 같이, 벌크 층(604)은 실리콘, 산소, 및/또는 탄소 원자들을 포함할 수 있다. 일 예에서, 벌크 층(604)은 SiOXCY 함유 층이고, 여기에서, X는 전형적으로, 0 내지 2이고, Y는 0 내지 1의 수이다. 몇몇 경우들에서, SiOXCY 함유 층은 또한 수소를 포함할 수 있다. 일 실시예에서, SiOXCY 층은 불소를 더 포함할 수 있다. SiOXCY 층은 일반적으로, PECVD와 같은 CVD에 의해 증착된다. 그러나, 층을 증착하기 위해 사용되는 증착 기법은 제한적이도록 의도되지 않는다. 증착된 벌크 층(604)에서의 탄소, 실리콘, 산소, 불소, 및 수소 원자들의 비율 및 구조 배열은, 선택되는 전구체들, 산화제, 및 RF 전력, 가스 유동, 체류 시간, 및 기판 온도와 같은 CVD 프로세스 조건들에 의존한다.
[0055] 도 6a에서 예시된 바와 같이, 포로겐들(608)은, 포로겐들(608)에 의해 점유되지 않는 경우에, 벌크 층(604)에 의해 점유될, 층(603)에서의 볼륨을 점유한다. 세공들(612)(도 6b)은, 형성된 층(603)으로부터 포로겐들(608)을 제거함으로써 형성된다. 포로겐들(608)은 전형적으로, 벌크 층 내에 랜덤하게 임베딩(embed)되지만, 이러한 배열은 제한적이도록 의도되지 않는다. 예컨대, SiOXCY 층은 상이한 재료 특성들을 갖는 하위층들을 포함할 수 있고, 포로겐 밀도는, 막의 상단 및 바닥과 비교하여 층의 중간에서 더 높을 수 있다. 몇몇 경우들에서, 포로겐(608)은 벌크 층의 별개의 컴포넌트일 수 있거나, 또는 벌크 층(604)을 형성하는 분자의 부분, 예컨대, 실리콘 원자에 부착된 -CH2 기일 수 있다. 포로겐(608)은 전형적으로, 층 성장 동안에, 화학 기상 증착된 SiOXCY 층에 임베딩된 전구체 가스(또는 가스들)의 분자 또는 분자 부분이다.
[0056] 포로겐들(608)은, 위에서 설명된 바와 같이, 실란 유동들에 비-실리콘-함유 거대-분자 유기 전구체들의 유동을 부가함으로써, 층에 형성될 수 있다. 비-실리콘-함유 전구체들의 예들은, 비닐-1,4-다이옥시닐(dioxinyl) 에테르, 비닐 푸릴 에테르, 비닐-1,4-다이옥신, 비닐 푸란, 메틸 푸로에이트(furoate), 푸릴 포르메이트, 푸릴 아세테이트, 푸르알데히드, 디푸릴 케톤, 디푸릴 에테르, 디푸르푸릴 에테르, 푸란, 1,4-다이옥신, 이들의 플루오르화 유도체들, 및 이들의 조합들을 포함한다.
[0057] 다음으로, 단계(540) 동안에, 포로겐들(608)은, 이러한 단계 동안의 이들의 화학 분해로 인해, 형성된 층(603)으로부터 제거되고, 그에 따라, 분해된 포로겐 재료는 층에 형성된 세공(612) 밖으로, 이상적으로는, 층의 표면 밖으로 확산될 수 있다. 단계(540)의 일 실시예에서, 포로겐들(608)은, 증착된 층을 라디칼들 또는 라디칼 함유 가스에 노출시킴으로써 분해된다. 다른 실시예에서, 포로겐들은, 증착된 층의 표면 위에 형성된 플라즈마에 기판의 표면을 노출시킴으로써 분해된다. 단계(540)는 산화 가스 또는 환원 가스의 사용을 포함할 수 있다.
[0058] 단계(540)의 일 실시예에서, 단계(570)를 수행하기 전에, 거의 모든 분해가능한 포로겐들(608)이 세공들(612)로부터 제거된다. 도 6b는, 단계(540)의 완료 시에 형성되는 처리된 SiOXCY 층 또는 처리된 층(605)을 예시한다. 단계(540)의 일 실시예에서, 형성된 층(605)은 포로겐 분해 및 포로겐 확산 프로세스 동안에 가교되지 않고, 그에 따라, 세공들(612)을 완전히 비우는 것이 달성될 수 있고, 실질적으로 벌크 층(604)만이 남아있게 된다. 다른 실시예에서, 포로게닉 층 처리 단계(540)는, 포로겐들(608)의 세공들(612)을 비울 뿐만 아니라, 또한, 층 내에서 그리고 그 표면들 상에서 -CH3 표면 종단 기들을 온전하게 남기면서, 비-CH3 표면 종단 기들을 선택적으로 제거한다. 따라서, 형성된 포로게닉 층은, 가교 프로세스(예컨대, 단계(570)) 전에 포로겐 재료를 선택적으로 제거하는 프로세스를 통해, 더 다공성이게 될 수 있다.
[0059] 일 예에서, 처리 단계(540)는, 라디칼들에 대한 노출 단계(542), 및/또는 플라즈마에 대한 노출 단계(544)를 포함한다. 라디칼들 및/또는 플라즈마에 포로게닉 층을 노출시키는 것은, 증착된 저-k SiOXCY 층으로부터의 포로게닉 재료들의 제거 및 분해를 야기할 수 있다. 분해 및 제거 프로세스는, 가교시키지 않으면서, 또는 벌크 층(604) 내에서 발견되는 인접한 원자들 또는 분자들에 실리콘 원자들을 결합시키지 않으면서 수행될 수 있다. 형성된 층 내의 세공들로부터의 포로게닉 부산물들의 확산이, 가교 단계가 동시에 발생하지 않는 경우에, 훨씬 더 바람직한 레이트로 진행될 수 있는 것으로 생각된다. 따라서, 본원에서 설명되는 바와 같은, 가교시키지 않으면서, 형성된 층으로부터의 포로겐들의 확산 및 분해를 야기할 수 있는 프로세스들은, 가교시키면서 포로겐들을 동시에 제거하는 프로세스들보다 더 큰 비율의 포로게닉 재료를 제거할 수 있다. 처리 단계 또는 단계(540)는, 각각 별개로 수행될 수 있는 하나 초과의 처리 단계들을 포함할 수 있다.
[0060] 일 실시예에서, 포로겐(608) 함유 유전체 층이, 가교시키지 않으면서, 세공들(612)을 비우기 위해, 라디칼들 및/또는 플라즈마에 노출되고, 그 후에, 별개로 벌크 층(604)이 가교된다. 다른 실시예에서, 라디칼들 및/또는 플라즈마에 포로겐 함유 층(603)을 노출시키는 것은, -CH3 표면 종단 기들을 제거하지 않으면서, 표면 종단 기들 -CH1, -CH2, 및 다른 탄화수소 기들을 선택적으로 제거한다. 출원인들은, k 값에서의 관찰된 감소들 및 기계적인 강도에서의 증가들이, 포로겐들(608)의 세공들(612)을 비우는 개선된 능력으로 인한 것으로 생각한다. 세공들(612)을 비우는 것은 또한, 최종 SiOXCY 층 내의 비-CH3 탄소 기들의 감소된 레벨들을 야기할 수 있다. 그러나, 본원에서 설명되는 관찰들은 다수의 상이한 방식들로 설명될 수 있고, 따라서, 본원에서 선택된 언어 및 이론들은 제한적인 것으로 고려되지 않아야 한다.
[0061] 포로겐들(608)을 제거하기 위해 활용되는 가스들은, 산화 가스들, 예컨대, 산소(O2), 오존(O3), 아산화 질소(N2O), 및 수증기(H2O)를 포함할 수 있고, 부가적으로, 수소(H2), 메탄(CH4), 탄소 이산화물(CO2), 및 비활성 가스들, 예컨대, 질소(N2), 아르곤(Ar), 및 헬륨(He)을 포함할 수 있다. 포로겐 제거 가스들은, 라디칼들, 비-라디칼 가스 혼합물들, 및 플라즈마의 조합으로 전달될 수 있다. 단계(540) 동안의 전형적인 챔버 압력들은 0.01 Torr 내지 10 Torr의 범위에 있다. 단계(540) 동안의 전형적인 기판 온도들은 150 ℃ 내지 400 ℃의 범위에 있을 수 있다. 단계(540)에서 수행되는 프로세스를 강화하기위해 사용되는, RPS에 의해 프로세스 가스들에 전달되는 전력은 3 W 내지 2000 W의 범위에 있을 수 있다. RPS 전력은, RPS 하드웨어의 타입, RPS 및 챔버 구성, 기판의 표면에 전달되는 가스들의 재결합 레이트, 노블 가스들의 유동(이러한 경우에, 라디칼은 비-라디칼 가스 원자와 동일함), 및 기판의 면적에 따라, 변화될 수 있다. 일 예에서, 단계(540)는, 2개의 프로세스 단계들, 예컨대, 기판 홀더가 300 ℃의 온도로 유지되면서, 2 Torr의 프로세스 압력으로 유지되는 프로세스 챔버의 프로세싱 구역 내로 전달되는 유동 가스에 50 W의 RF 전력을 제공하는 RPS를 통하는 아르곤 및 산소의 90 초 가스 유동을 포함하는 제 1 프로세스 단계를 포함한다. 그 후에, 제 2 단계는, 프로세싱 챔버 내에 200 W의 RF 전력을 전달함으로써, 프로세싱 구역 내에 플라즈마가 또한 형성되면서, 2 Torr의 압력으로 유지되는 프로세스 챔버의 프로세싱 구역 내로 전달되는 유동 가스에 50 W의 RF 전력을 제공하는 RPS를 통하는 수소 및 헬륨의 40 초 가스 유동을 포함할 수 있다. 대안적으로, 일 실시예에서, 저-k 층의 표면에서의 가스 라디칼들의 형성을 보조하기 위해, 낮은 레벨의 UV 조명이 사용된다.
[0062] 단계(540)는, 층(605)이 PECVD 챔버에, 전용 세공-형성 챔버에, 또는 가교 챔버, 예컨대, 어플라이드 머티어리얼스에 의해 제조된 NanocureTM 3 챔버에 배치된 동안에, 층(605)에 대해 수행될 수 있다. 일 실시예에서, 단계(540)는 단계(520) 후에 인-시튜로 수행된다.
[0063] 다음으로, 단계(570)에서, 가교 프로세스가 벌크 층(604)에 대해 수행된다. 일 예에서, 단계(570)는, 어닐링 단계(572), 및/또는 UV 노출 단계(574)를 포함할 수 있다. 일 실시예에서, 어닐링 및 UV 노출 단계들은, 포로겐들(608)이 층으로부터 선택적으로 제거된 후에, 벌크 층(604)을 가교시키기 위해 활용된다. 단계(570)에서, 처리된 벌크 층(614)에서 발견된 반복되는 Si-O 세그먼트들로부터 Si-O-Si 체인들이 형성된다. 일반적으로, 본원에서 설명되는 프로세스들은, Si-C 결합들의 형성을 최소화하고, 본원에서 설명되는 프로세스들 동안에, 원하지 않는 포로겐 재료 및 다른 탄화수소 재료들을 제거하는 경향이 있을 것으로 생각된다. 몇몇 경우들에서, Si-CH3 결합들(및 다른 원자들 또는 기들에 대한 Si 결합들)이 동시에 형성될 수 있다. 단계(540)를 수행한 후에, 처리된 벌크 층(614)에서 발견되는 포로겐 재료의 낮은 레벨이, 층 내의 개선된 가교를 야기할 것이고, 따라서, 또한 기계적으로 더 강한 더 낮은 k 재료가 형성될 것으로 생각된다. 처리된 벌크 층(614)은 또한, 전형적으로, 비-CH3 기 재료들에 결합된 더 적은 실리콘 원자들을 갖는 층을 포함할 것이다. 가교는 단일-챔버(또는 탠덤 챔버) 프로세스에서 수행될 수 있다. 가교를 위해 사용되는, 본 기술분야에 알려져 있는 하드웨어의 일 예는, 어플라이드 머티어리얼스의 NanocureTM 3 챔버이다. 가교 프로세스들은 Black Diamond 2 및 3TM 막들에 대한 통상적인 경화 프로세스들과 유사할 수 있다.
[0064] 도 6c는, 복수의 세공들(612)이 내부에 형성된 처리된 벌크 층(614)을 갖는 기판(602)을 도시한다. 단계(570)에서 수행된 가교 처리는, 통상적으로 경화된 막에 비해 개선된 기계적인 강도를 갖는 것으로 생각되는 처리된 벌크 층(614)을 생성한다. 어플라이드 머티어리얼스의 NanocureTM 3 챔버에서 Black Diamond 3TM 막들을 가교시키고 경화시키기 위해 UV 및 어닐링의 조합을 활용하는 것들과 같은 몇몇 가교 처리들이 본 기술분야에서 통상적이다.
[0065] 단계(580)는 선택적인 냉각 단계이고, 여기에서, 기판은, 프로세싱 시스템으로부터 운반되기 전에, 냉각된다. 일 실시예에서, 프로세스 시퀀스(500)는, PECVD 프로세싱, 라디칼들에 대한 노출, 및 경화를 위해 구성된 프로세싱 시스템의 사용에 의해 인-시튜로 수행된다.
[0066] 다양한 실험들에서, 가교 전에 포로겐들이 제거되는 프로세스 시퀀스(500)는, 포로겐 제거 및 가교가 동시에 수행되는 프로세스들과 비교하여, 더 낮은 k 및 증가된 기계적인 강도 양자 모두를 갖는 저-k 층을 형성하기 위해 사용된다. 일 예에서, Black Diamond® 막은, 0.05 더 작은 유전 상수 k 및 20 % 더 높은 영률을 나타내었다. 유사한 개선된 막 특성들이, 다른 평가된 타입들의 SiOXCY 막들에 대해 관찰되었다.
[0067] 저-k 유전체 층들은, 제거 두께, 또는 프로세스 단계(540)와 같은 프로세스에 의해 포로게닉 재료들이 제거될 수 있는 두께를 초과할 수 있다. 제거 두께는, 유전체 벌크 재료 특성들, 다공도, 포로게닉 재료 특성들, 및 프로세스 단계(540)에서의 프로세스 조건들과 같은 인자들에 따를 수 있다. 저-k 유전체 층들은 전형적으로, 두께가 200 nm 내지 1000 nm인 반면에, 지금까지 측정된 제거 두께들은 40 nm 내지 150 nm의 범위에 있다. 따라서, 프로세스 시퀀스(500)를 사용하여 증착된 두꺼운 층들은 제거 깊이보다 더 깊은 깊이들에서 포로겐들을 함유할 가능성이 있다. 그러나, 두께들이 제거 두께 미만이거나 또는 제거 두께와 동등한 얇은 하위-층들의 시퀀스로부터 포로겐들을 별개로 제거하고 증착함으로써, 두꺼운 무-포로겐 저-k 층들이 형성될 수 있다.
[0068] 도 7은, 다수의 부분적인 층들 또는 하위-층들을 형성함으로써, 저-k SiOXCY 층을 형성하기 위한 다-단계 프로세스 시퀀스(700)를 예시한다. 프로세스 시퀀스(700)에서, 위에서 설명된 단계(510)와 유사할 수 있는 단계(710)의 사용에 의해, 기판이 프리-프로세싱될 수 있다. 포로겐 함유 SiOXCY 층의 완전한 층을 증착하기 위해 사용되는, 위에서 설명된 단계(520)와 유사할 수 있는 단계(720)에서, 포로겐 함유 SiOXCY의 부분적인 층이 증착될 수 있다. 그 후에, 형성된 부분적인 층은, 증착된 막을 라디칼들에 노출시키고(단계(542)), 그리고/또는 층을 플라즈마에 노출시킴으로써(단계(544)), 위에서 설명된 단계(540)와 유사할 수 있는, 형성된 포로겐들을 제거하기 위한 단계(740)에서 처리될 수 있다. 단계(750)에서, 형성된 층이 바람직한 두께인 경우에, 전체 층은, 위에서 설명된 단계(570)와 유사할 수 있는 단계(770)에서 처리된다. 그러나, 층이 요구되는 두께가 아닌 경우에, 프로세스 단계들(720 내지 750)이 반복되고, 여기에서, 다른 부분적인 층이 증착되고, 포로겐들(608)을 제거하도록 처리되고, 단계(750)는 도 7에서 도시된 바와 같이 반복된다.
[0069] 더 낮은 유전 상수 k를 달성하기 위한 프로세스 시퀀스(700)는, 증착되는 막 내로의 라디칼들 및 플라즈마 활성 충격 종에 대한 확산 길이들이, 요구되는 막 두께(예컨대, 완전한 저-k 막 층 두께)보다 더 짧고, 그리고/또는 포로게닉 부산물들에 대한 확산 길이들이, 요구되는 막 층의 두께보다 더 짧은 경우에, 유용할 수 있다. 완전한 요구되는 두께 미만을 갖는 하위-층들을 증착하고 처리함으로써, 증착-직후의 막(예컨대, 증착된 층(603))에서 발견되는 포로겐 재료의 더 큰 비율이, 그러한 하위-층들 각각으로부터 제거될 수 있다. 하나의 실험에서, 출원인들은, 층의 최고 500 옹스트롬(Å)으로부터 포로겐 부산물들의 실질적인 퍼센티지를 제거할 수 있었지만, 증착된 층에서의 더 깊은 곳보다 훨씬 적었다. 따라서, 프로세스 시퀀스(700)의 일 예에서, 가교 단계(770)를 수행하기 전에, 각각 500 Å의 두께를 갖는 20개의 하위-층들을 증착하고 처리함으로써, 1 μm의 저-k 유전체 층이 형성된다. 바람직한 실시예에서, 증착 단계(720) 및 처리 단계(740)는, 더 높은 프로세싱 처리량을 위해, 단일 PECVD 챔버 내에서 수행된다. 냉각 단계(780)는, 위에서 설명된 냉각 단계(580)와 유사하다.
[0070] 도 8은, 부분적인 층들 또는 하위-층들을 사용하여 저-k 유전체 층을 증착하기 위한 프로세스 시퀀스(800)를 예시한다. 프로세스 시퀀스(800)에서, 위에서 설명된 단계들(510 및 710)과 유사한 단계(810)에서, 기판이 프리-프로세싱된다. 다음으로, 단계(820)에서, 부분적인 층이 증착되고(단계(720)와 설명이 유사함), 그 후에, 증착된 층이, 포로겐들을 제거하기 위해 처리되고(단계(540)와 설명이 유사한 단계(840)), (위에서 설명된 단계(570)와 설명이 유사한) 단계(870)에서 층이 가교된다. 단계들(820-840-870)은, 완전한 층이 증착될 때까지 반복된다. 단계(850)에서, 층이 바람직한 두께인 경우에, 단계들(820-840-870)은 반복되지 않는다. 그 후에, 단계(580)와 설명이 유사한 선택적인 냉각 단계(880)가 수행될 수 있다. 프로세스 시퀀스들(700 및 800) 사이의 차이는 가교 단계의 타이밍에 있다. 프로세스 시퀀스(700)에서, 가교 단계(770)는 완전한 층에 대해 한번만 수행된다. 프로세스 시퀀스(800)에서, 가교는, 포로겐들을 제거하는 단계 후에, 각각의 부분적인 층에 대해 수행되고, 그에 따라, 다음의 부분적인 층(또는 하위-층)은, 저 포로겐 함유 가교된 하위-층 상에 증착된다. 프로세스 시퀀스(700)에 비한 프로세스 시퀀스(800)의 하나의 이점은, 순차적인 증착, 포로겐들을 제거하기 위한 처리, 및 가교가, 프로세싱 동안에, 후속 하위-층들로부터의 포로겐 부산물들이 쉽게 확산되지 않을 수 있는 하위-층들을 생성하는 것이다. 따라서, 프로세스 시퀀스(800)는 더 낮은-k의 더 높은 강도의 층을 생성할 수 있다. 프로세스 시퀀스(800)를 수행하기 위한 하나의 바람직한 실시예는, 프로세스 처리량을 개선할 수 있는, 포로겐들의 제거 및 가교 양자 모두를 위해 구성된 PECVD 챔버이다.
[0071] 본 발명의 교시들을 포함하는 다수의 실시예들이 도시되었고 상세히 설명되었지만, 당업자는, 여전히 그러한 교시들을 포함하는 다수의 다른 다양한 실시예들을 쉽게 고안할 수 있다.

Claims (15)

  1. 저-k 층을 형성하기 위한 방법으로서,
    벌크(bulk) 재료 및 포로겐(porogen) 재료를 포함하는 실리콘 옥시카본(silicon oxycarbon) 층을 형성하는 단계;
    라디칼들을 포함하는 가스에, 형성된 실리콘 옥시카본 층을 노출시키는 단계; 및
    상기 라디칼들에 상기 형성된 실리콘 옥시카본 층을 노출시킨 후에, 에너지의 양에 상기 형성된 실리콘 옥시카본 층을 노출시키는 단계
    를 포함하며,
    상기 에너지의 양은 상기 형성된 실리콘 옥시카본 층을 경화시키는,
    저-k 층을 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 옥시카본 층을 형성하는 단계는, 화학 기상 증착 프로세스를 사용하여, 상기 실리콘 옥시카본 층을 증착하는 단계를 포함하는,
    저-k 층을 형성하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 가스에 실리콘 옥시카본 층을 노출시키는 것은, 원격 플라즈마 소스를 통해 가스 혼합물을 유동시키는 것을 더 포함하며,
    상기 가스 혼합물은, 산소(O2), 오존(O3), 아산화 질소(N2O), 탄소 이산화물(CO2), 헬륨(He), 질소(N2), 아르곤(Ar), 암모니아(NH3), 수소(H2), 수증기(H2O), 및 메탄(CH4)으로 구성된 그룹으로부터 선택되는 가스를 포함하는,
    저-k 층을 형성하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 가스는, 산소(O2), 오존(O3), 아산화 질소(N2O), 탄소 이산화물(CO2), 헬륨(He), 질소(N2), 아르곤(Ar), 암모니아(NH3), 수소(H2), 수증기(H2O), 및 메탄(CH4)으로 구성된 그룹으로부터 선택되는 가스를 포함하는,
    저-k 층을 형성하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 가스에, 형성된 실리콘 옥시카본 층을 노출시키는 단계는, 상기 형성된 실리콘 옥시카본 층 내의 실리콘 원자들의 가교(cross-linking)를 실질적으로 발생시키지 않는,
    저-k 층을 형성하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 가스에, 형성된 실리콘 옥시카본 층을 노출시키는 단계는, 상기 에너지의 양에 상기 형성된 실리콘 옥시카본 층을 노출시키는 단계와 비교하여, 상기 형성된 실리콘 옥시카본 층 내의 실리콘 원자들의 가교를 실질적으로 발생시키지 않는,
    저-k 층을 형성하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 가스에, 형성된 실리콘 옥시카본 층을 노출시키는 단계는, 상기 실리콘 옥시카본 층에서의 실리콘 원자들 상에 형성된 CH3 결합들의 실질적인 제거를 발생시키지 않는,
    저-k 층을 형성하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 에너지의 양에 상기 형성된 실리콘 옥시카본 층을 노출시키는 단계는,
    자외선 복사에 상기 실리콘 옥시카본 층을 노출시키는 단계,
    가시 광에 상기 실리콘 옥시카본 층을 노출시키는 단계,
    상기 실리콘 옥시카본 층을 가열하는 단계, 또는
    전자 충격에 상기 실리콘 옥시카본 층을 노출시키는 단계
    를 더 포함하며,
    상기 에너지의 양은, Si-O-Si 결합들을 형성하기 위해, 상기 층의 실리콘 원자들의 인접한 산소 원자들에 대한 가교를 발생시키는,
    저-k 층을 형성하기 위한 방법.
  9. 저-k 층을 형성하기 위한 방법으로서,
    벌크 재료 및 포로겐 재료를 포함하는 제 1 실리콘 옥시카본 층을 형성하는 단계;
    라디칼들을 포함하는 제 1 가스에, 형성된 제 1 실리콘 옥시카본 층을 노출시키는 단계;
    상기 제 1 실리콘 옥시 카본 층 상에, 상기 벌크 재료 및 상기 포로겐 재료를 포함하는 제 2 실리콘 옥시카본 층을 형성하는 단계;
    라디칼들을 포함하는 제 2 가스에, 형성된 제 2 실리콘 옥시카본 층을 노출시키는 단계; 및
    에너지의 양에, 형성된 제 1 및 제 2 실리콘 옥시카본 층들을 노출시키는 단계
    를 포함하며,
    상기 에너지의 양은 상기 형성된 제 1 및 제 2 실리콘 옥시카본 층을 경화시키는,
    저-k 층을 형성하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 제 1 가스 및 상기 제 2 가스는, 각각, 산소(O2), 오존(O3), 아산화 질소(N2O), 탄소 이산화물(CO2), 헬륨(He), 질소(N2), 아르곤(Ar), 암모니아(NH3), 수소(H2), 수증기(H2O), 및 메탄(CH4)으로 구성된 그룹으로부터 선택되는 가스를 포함하는,
    저-k 층을 형성하기 위한 방법.
  11. 제 9 항에 있어서,
    상기 제 1 실리콘 옥시카본 층을 형성하는 단계, 상기 제 1 가스에, 형성된 제 1 실리콘 옥시카본 층을 노출시키는 단계, 상기 제 2 실리콘 옥시카본 층을 형성하는 단계, 및 상기 제 2 가스에, 형성된 제 2 실리콘 옥시카본 층을 노출시키는 단계는 모두, 화학 기상 증착 챔버 내에서 수행되는,
    저-k 층을 형성하기 위한 방법.
  12. 제 9 항에 있어서,
    상기 방법은, -CH3 분자들을 포함하는 표면 분자 종단(surface molecular terminating) 층을 생성하는,
    저-k 층을 형성하기 위한 방법.
  13. 저-k 층을 형성하기 위한 방법으로서,
    벌크 재료 및 포로겐 재료를 포함하는 제 1 실리콘 옥시카본 층을 형성하는 단계;
    라디칼들을 포함하는 제 1 가스에, 형성된 제 1 실리콘 옥시카본 층을 노출시키는 단계;
    상기 라디칼들에 상기 형성된 제 1 실리콘 옥시카본 층을 노출시킨 후에, 에너지의 양에 상기 형성된 제 1 실리콘 옥시카본 층을 노출시키는 단계 ― 상기 에너지의 양은 상기 형성된 제 1 실리콘 옥시카본 층을 경화시킴 ―;
    상기 에너지의 양에 상기 형성된 제 1 실리콘 옥시카본 층을 노출시킨 후에, 상기 제 1 실리콘 옥시카본 층 상에, 상기 벌크 재료 및 상기 포로겐 재료를 포함하는 제 2 실리콘 옥시카본 층을 형성하는 단계;
    라디칼들을 포함하는 제 2 가스에, 형성된 제 2 실리콘 옥시카본 층을 노출시키는 단계; 및
    에너지의 양에 상기 형성된 제 2 실리콘 옥시카본 층을 노출시키는 단계
    를 포함하며,
    상기 에너지의 양은 상기 형성된 제 2 실리콘 옥시카본 층을 경화시키는,
    저-k 층을 형성하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 제 1 가스 및 상기 제 2 가스는, 각각, 산소(O2), 오존(O3), 아산화 질소(N2O), 탄소 이산화물(CO2), 헬륨(He), 질소(N2), 아르곤(Ar), 암모니아(NH3), 수소(H2), 수증기(H2O), 및 메탄(CH4)으로 구성된 그룹으로부터 선택되는 가스를 포함하는,
    저-k 층을 형성하기 위한 방법.
  15. 제 13 항에 있어서,
    상기 방법은 -CH3 분자들을 포함하는 표면 분자 종단 층을 생성하는,
    저-k 층을 형성하기 위한 방법.
KR1020167025418A 2014-02-18 2015-02-16 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층 KR102322809B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461941400P 2014-02-18 2014-02-18
US61/941,400 2014-02-18
PCT/US2015/016012 WO2015126775A1 (en) 2014-02-18 2015-02-16 Low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties

Publications (2)

Publication Number Publication Date
KR20160123357A true KR20160123357A (ko) 2016-10-25
KR102322809B1 KR102322809B1 (ko) 2021-11-04

Family

ID=53797582

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167025418A KR102322809B1 (ko) 2014-02-18 2015-02-16 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층

Country Status (4)

Country Link
US (1) US9850574B2 (ko)
KR (1) KR102322809B1 (ko)
TW (1) TW201535513A (ko)
WO (1) WO2015126775A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US11035039B2 (en) * 2015-07-31 2021-06-15 Versum Materials Us, Llc Compositions and methods for depositing silicon nitride films
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102416568B1 (ko) * 2017-08-14 2022-07-04 삼성디스플레이 주식회사 금속 산화막 형성 방법 및 플라즈마 강화 화학기상증착 장치
US10950433B2 (en) * 2017-11-18 2021-03-16 Applied Materials, Inc. Methods for enhancing selectivity in SAM-based selective deposition
WO2020082176A1 (en) * 2018-10-23 2020-04-30 Waste Robotics Inc. Robotic spearing device for performing item capture and sorting, spearing end effector and method for performing same
CN109943829A (zh) * 2018-11-16 2019-06-28 黄剑鸣 一种rpecvd的多室沉積***

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
KR20140003495A (ko) * 2010-12-20 2014-01-09 어플라이드 머티어리얼스, 인코포레이티드 집적 손상 내성을 개선하기 위한 인-시튜 저-k 캡핑

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051283A (en) 1998-01-13 2000-04-18 International Business Machines Corp. Microwave annealing
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US8173537B1 (en) * 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US8242028B1 (en) * 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8475945B2 (en) * 2011-06-23 2013-07-02 United Technologies Corporation Composite article including silicon oxycarbide layer
US8551892B2 (en) 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
KR20140110080A (ko) 2012-01-09 2014-09-16 어플라이드 머티어리얼스, 인코포레이티드 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
KR20140003495A (ko) * 2010-12-20 2014-01-09 어플라이드 머티어리얼스, 인코포레이티드 집적 손상 내성을 개선하기 위한 인-시튜 저-k 캡핑

Also Published As

Publication number Publication date
WO2015126775A1 (en) 2015-08-27
US9850574B2 (en) 2017-12-26
TW201535513A (zh) 2015-09-16
US20150232992A1 (en) 2015-08-20
KR102322809B1 (ko) 2021-11-04

Similar Documents

Publication Publication Date Title
KR102447498B1 (ko) 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
KR102322809B1 (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
KR102406467B1 (ko) 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착
US10049921B2 (en) Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
KR101425332B1 (ko) Uv 처리를 사용하는 탄소 함유 로우-k 유전율 복구
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
KR102345229B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
US8551892B2 (en) Method for reducing dielectric constant of film using direct plasma of hydrogen
TWI608121B (zh) 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法
KR102138158B1 (ko) 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어
EP1873818A2 (en) Process for curing dielectric films
US9570287B2 (en) Flowable film curing penetration depth improvement and stress tuning
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
US9916977B2 (en) Low k dielectric deposition via UV driven photopolymerization
KR20140010449A (ko) 손상된 저 k 필름들의 복구 및 기공 밀봉을 위한 자외선 보조형 시릴화
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
KR20120091235A (ko) 인장성 막들에 대한 응력 관리
KR20140010434A (ko) 평탄화-후 치밀화
KR20120043073A (ko) 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성
KR100778947B1 (ko) 성막 방법 및 성막 장치
TW202033810A (zh) SiOC膜之氧化還原

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant