KR102138158B1 - 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어 - Google Patents

기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어 Download PDF

Info

Publication number
KR102138158B1
KR102138158B1 KR1020147029017A KR20147029017A KR102138158B1 KR 102138158 B1 KR102138158 B1 KR 102138158B1 KR 1020147029017 A KR1020147029017 A KR 1020147029017A KR 20147029017 A KR20147029017 A KR 20147029017A KR 102138158 B1 KR102138158 B1 KR 102138158B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
exposing
porous low
low
processing chamber
Prior art date
Application number
KR1020147029017A
Other languages
English (en)
Other versions
KR20150035505A (ko
Inventor
켈빈 찬
알렉산드로스 티. 데모스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150035505A publication Critical patent/KR20150035505A/ko
Application granted granted Critical
Publication of KR102138158B1 publication Critical patent/KR102138158B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2636Bombardment with radiation with high-energy radiation for heating, e.g. electron beam heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 제작에 사용되는 낮은-k 유전층들의 유전 상수를 리페어링하고 저하시키는 방법이 본원에 제공된다. 한 가지 구현예에서, 손상된 낮은-k 유전층을 리페어링하는 방법은 다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키고, 임의로, 다공성 낮은-k 유전층을 자외선(UV) 경화 공정에 노출시킴을 포함한다.

Description

기상 화학적 노출에 의한 낮은-K 유전체 손상 리페어{LOW-K DIELECTRIC DAMAGE REPAIR BY VAPOR-PHASE CHEMICAL EXPOSURE}
본 발명의 구현예들은 일반적으로 반도체 제작에 사용되는 낮은-k 유전 필름들의 유전 상수를 레페어링하고 저하시키는 것에 관한 것이다.
반도체 제작에서 유전 필름들의 유전 상수(k)는 장치 축소(device scaling)가 계속됨에 따라서 끊임없이 감소된다. 낮은 유전 상수(낮은-k) 필름들에 대한 집적 손상을 최소화시키는 것은 피쳐 크기(feature size)들을 계속해서 감소시킬 수 있게 하는데 중요하다. 그러나, 피쳐 크기들이 줄어듦에 따라서, 유전 필름들의 저항성 정전용량(capacitance)과 신뢰성(reliability)에서의 개선은 중대한 과제가 된다.
예를 들어, 탄소-도핑된 산화물(carbon-doped oxide: CDO)들을 포함하는 다공성 낮은-k 유전 필름들은, 이로 제한되지는 않지만, 화학적 기계적 연마(chemical mechanical polishing: CMP) 또는 평탄화(planarization), 에칭(etching), 애싱(ashing), 및 세정과 같은 집적 단계들에 노출되는 때에 이들의 결합 구조들에 대해 손상을 입는다. 특히, Si-H 및 Si-OH 결합은 이러한 집적 단계들 중 하나 이상의 단계 후에 농도가 증가한다. Si-H 및 Si-OH에서 이러한 증가는 k-값의 증가를 초래할 수 있다. 현재 리페어 기술들은 액상 실릴화 또는 초임계 CO2의 사용을 수반한다. 그러나, 그러한 기술들은 필름들에서 리세싱된 피쳐들의 측벽 손상을 리페어링하는데 효과적인 것으로 입증되지 않았다.
따라서, 효율성을 개선시키고 보다 소형의 장치 크기를 가능하게 하기 위해서 k-값을 저하시키도록 유전 필름들을 리페어링하기 위한 방법이 필요하다.
본 발명의 구현예들은 일반적으로 반도체 제작에 사용되는 낮은-k 유전층들의 유전 상수를 리페어링하고 저하시키는 것에 관한 것이다. 한 가지 구현예에서, 손상된 낮은-k 유전층을 리페어링하는 방법은, 다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키고, 임의로, 다공성 낮은-k 유전층을 자외선(UV) 경화 공정에 노출시킴을 포함한다.
또 다른 구현예에서, 손상된 낮은-k 유전층을 리페어링하는 방법이 제공된다. 이러한 방법은, 다공성 낮은-k 유전층을 산화 화합물에 노출시키고, 다공성 낮은-k 유전층을 실릴화제에 노출시키고, 임의로, 다공성 낮은-k 유전층을 자외선(UV) 경화 공정에 노출시킴을 포함한다.
본 발명의 상기 언급된 특징들이 상세하게 이해될 수 있도록 하기 위해서, 상기 간략하게 요약된 본 발명에 대한 더욱 구체적인 설명이 첨부된 도면들에서 일부 예시되고 있는 구현예들을 참조로 하여 기재될 수 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구현예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 동등하게 효과적인 구현예들을 허용할 수 있기 때문이다.
도 1a 내지 도 1f는 본원에 기재된 구현예들에 따른 다양한 가공 스테이지들 동안의 유전층을 도시한 것이다.
도 2는 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 한 가지 방법을 도시하는 공정 순서도이다.
도 3은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법을 도시하는 공정 순서도이다.
도 4는 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법을 도시하는 공정 순서도이다.
도 5는 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법을 도시하는 공정 순서도이다.
도 6은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법을 도시하는 공정 순서도이다.
도 7은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법을 도시하는 공정 순서도이다.
도 8은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법을 도시하는 공정 순서도이다.
도 9는 본원에 기재된 구현예들을 실시하는데 사용될 수 있는 예시적인 가공 챔버의 횡단면도이다.
이해를 용이하게 하기 위하여, 가능하면, 도면들에서 공통되는 동일한 요소들을 표시하는데 동일한 참조부호들이 사용되었다. 한 가지 구현예의 요소들 및/또는 공정 단계들이 추가적인 언급 없이 다른 구현예들에서 유리하게 통합될 수 있는 것으로 고려된다.
본 발명의 구현예들은 일반적으로 반도체 제작에 사용되는 낮은-k 필름들의 유전 상수를 리페어링하고 저하시키는 것에 관한 것이다. 예를 들어, 탄소-도핑된 산화물(CDO)들을 포함한 다공성 낮은-k 유전 필름들은, 이로 제한되지는 않지만, 화학적 기계적 연마(CMP) 또는 평탄화, 에칭, 애싱, 및 세정과 같은 집적 단계들에 노출되는 때에 이들의 결합 구조들에 대한 손상을 입는다. 특히, Si-H 및 Si-OH 결합은 이러한 집적 단계들 중 하나 이상의 단계 후에 농도가 증가한다. 본 발명의 구현예들은 직접적 및 간접적 손상 후 리페어 공정들을 도입함으로써 Si-H 및 Si-OH 결합의 농도를 저하시키기 위한 방법들을 포함한다. 본 발명의 구현예들은 또한 Si-H와 Si-OH 손상 둘 모두를 리페어링하는 복합 공정들을 포함한다.
도 1a는 구조물(101) 상에 증착된 유전 필름(100)을 도시한 것이다. 구조물(101)은 예를 들어, 실리콘 웨이퍼와 같은 기판, 또는, 예를 들어, 금속화 또는 상호접속 층과 같은 이전에 형성된 층일 수 있다. 낮은-k 유전 필름(100)은 약 3 또는 그 미만의 k 값을 지니는 어떠한 통상적인 다공성 낮은-k인 규소-기반 유전 물질일 수 있다. 예시적인 낮은-k 유전 필름들은 예를 들어, SiO2, SiOC, SiON, SiCOH, SiOCN, 및 그 밖의 관련 필름들을 포함한다. 한 가지 구현예에서, 낮은-k 유전 물질은, 탄소 및 수소 원자들을 함유하는 규소 산화물인 오가노실리케이트 유리(OSG, SiCOH로도 알려짐)이다. SiCOH는 약 2 내지 3의 k-값을 지닐 수 있으며, Applied Materials(Santa Clara, California)로부터의 Black Diamond II™로서 입수가능하다. 낮은-k 유전 필름(100)은 그 안에 형성된 공극들(102)을 지닐 수 있다. 공극들은 나노공극들일 수 있다. 나노공극들은 약 0.5nm 내지 약 20nm 범위의 직경을 지닐 수 있다. 낮은-k 유전층은 플라즈마-강화 화학 기상 증착(plasma-enhanced chemical vapor deposition: PECVD) 공정 또는 어떠한 다른 적합한 증착 기술에 의해 증착될 수 있다. 낮은-k 유전 필름(100)은 다공성 탄소 도핑된 산화물(CDO) 필름일 수 있다. 낮은-k 유전 필름(100)은 필름의 가공 후에 유전 필름의 k-값보다 큰 k-값을 지닐 수 있다.
도 1b는 평탄화되고 에칭되어 피쳐들(104)을 낮은-k 유전 필름(100)으로 형성시킨 후의 낮은-k 유전 필름(100)을 도시한 것이다. 낮은-k 유전 필름(100)은 예를 들어, CMP 공정에 의해 평탄화될 수 있다. 낮은-k 유전 필름(100)은 낮은-k 유전 필름(100)의 일부를 마스킹(masking)하고, 낮은-k 유전 필름(100)의 마스킹되지 않은 부분을 불화수소산(hydrofluoric acid: HF) 증기로부터 형성된 플라즈마와 접촉시키고, 예를 들어 산소(O2) 가스 또는 CO2 가스로부터 형성된 플라즈마를 이용하여 마스크를 애싱해 냄으로써 에칭될 수 있다. 낮은-k 유전 필름(100)의 k-값은 본원에 기재된 구현예들을 이용하여 어떠한 가공 단계들 후에 리페어링될 수 있다.
유전 필름(100)의 평탄화, 애싱, 및 에칭은 유전 필름(100)으로 수소 및/또는 물을 도입하여 Si-H 및/또는 Si-OH 기들이 예를 들어, 유전 필름(100)을 친수성으로 만드는 형태가 되게 한다. 유전 필름(100)의 친수 특성은 공극들(102)이 물로 채워지게 하여 손상된 공극들(103)을 형성시킨다. Si-OH 기들 및 손상된 공극들(103)은 유전 필름(100)의 k-값을 증가시킨다. Si-H 기들은 전기적 특성들의 저하(예, 누전(leakage) 및 경시 절연 파괴(time dependent dielectric breakdown: TDDB))를 초래한다. 평탄화 및 에칭으로부터의 손상은 도 1b에 도시된 바와 같이, 일반적으로 유전 필름(100)의 상부와 피쳐들(104)의 측벽들에 편재되어 있다.
도 1c는 하기 기재된 하나 이상의 공정들에 의해 리페어링된 후의 낮은-k 유전 필름(100)을 도시한 것이다. 리페어 공정들은 예를 들어, 손상된 공극들(103)로부터 물을 제거하고, 이에 의해서 리페어링된 공극들(105)을 형성시킴으로써, 그리고 낮은-k 유전 필름(100)에서 Si-H 기들을 Si-CH2-CH2-Si(CH3)3으로, 그리고 Si-OH 기들을 소수성 Si-O-Si(CH3)3 기들로 전환시킴으로써 낮은-k 유전 필름(100)의 k-값을 감소시킨다. 소수성 기들은 낮은-k 유전 필름(100)의 손상된 공극들(103)로부터 물을 몰아내는 것을 돕는다.
낮은-k 유전 필름(100)이 리페어링된 후에, 반도체의 제작이 계속되도록 후속 공정들이 수행될 수 있다. 예를 들어, 도 1d에 도시된 바와 같이, 확산 장벽(106)이 유전 필름(100)의 피쳐들(104)로 증착될 수 있고, 예를 들어, 구리 또는 구리 합금과 같은 금속 물질(107)이 피쳐들(104)로 증착될 수 있다. 금속 물질(107)을 평탄화하고, 평탄화 동안 형성될 수 있는 금속 물질(107)로부터의 어떠한 산화물들을 제거하는 것이 필요할 수 있다. 일반적인 금속 산화물 제거 기술들은 수소 또는 암모니아 플라즈마들의 사용을 수반한다. 평탄화 및/또는 금속 산화물 제거 공정들은 도 1e에 도시된 바와 같이, 낮은-k 유전 필름(100)의 표면을 재-손상시킬 수 있다. 낮은-k 유전 필름(100)은 도 1f에 도시된 바와 같이, 본원에 기재된 어떠한 리페어 공정들을 이용함으로써 리페어링될 수 있다.
도 2는 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 한 가지 방법(200)을 도시하는 공정 순서도이다. 블록(210)에서, 위에 배치되는 다공성 낮은-k 유전층을 지니는 기판이 가공 챔버에 위치된다. 기판 및 낮은-k 유전 필름은 도 1a 내지 1f에 도시된 낮은-k 유전 필름(100) 및 구조물(101)과 유사할 수 있다. 가공 챔버는 도 9에 도시된 가공 챔버(900)와 유사할 수 있다. 블록(220)에서, 가공 챔버는 배기될 수 있다. 가공 챔버는 진공 펌프의 사용에 의해 배기될 수 있다.
블록(230)에서, 낮은-k 유전층은 비닐 실란 함유 화합물에 노출된다. 비닐 실란은 액체 또는 증기 형태일 수 있다. 기상 공정에서, 유전 필름(100)은 기화된 비닐 실란 함유 화합물과 접촉된다. 비닐 실란 함유 화합물을 기화시키는 것은 비닐 실란 함유 화합물이 낮은-k 유전 필름(100)으로 깊게 침투되게 한다. 예시적인 비닐 실란 함유 화합물들은 하기 화학식일 수 있다:
Figure 112014098613781-pct00001
상기 식에서, R1, R2, 및 R3는 수소(H), 알킬 기들(예, 메틸, 에틸, 프로필, 부틸 등), 알콕시 기들(예, 메톡시, 에톡시, 프로폭시 등), 염소, 및 비닐 기들로부터 각각 개별적으로 선택된다. 다른 치환된 비닐 실란들은 또한 본원에 기재된 구현예들 내에 속한다. 올레핀들, 아세틸 아세톤, 비닐 아세테이트, 스티렌들을 포함한 Si-H와 반응하는 것으로 알려진 다른 불포화된 화합물들이 또한 본원에 기재된 특정 구현예들에 사용될 수 있다.
예시적인 비닐 실란들은 비닐 실란, 트리메틸비닐실란(TMVS), 비닐트리클로로실란, 비닐트리메톡시실란, 비닐트리에톡시실란, 비닐트리스(2-메톡시에톡시)실란, 비닐트리스이소프로폭시실란, 비닐트리스(3차-부틸퍼옥시)실란, 비닐디메틸클로로실란, 비닐디메틸에톡시실란, 비닐메틸디클로로실란, 비닐메틸디메톡시실란, 비닐메틸디에톡시실란, 메틸비닐디(n-메틸아세틸아미도)실란, 메틸비닐디(5-카프로락탐)실란, 비스(메틸디클로로실릴)에탄, 및 이들의 조합물들을 포함한다. 한 가지 구현예에서, 비닐 실란 함유 화합물은 트리메틸비닐실란(TMVS)이다.
비닐 실란 함유 화합물 기화 공정은, 낮은-k 유전 필름(100)을 가공 챔버에 위치시키고, 비닐 실란 함유 화합물을 기화시키고, 기화된 비닐 실란 함유 화합물을 가공 챔버로 유동시킴으로써 실시될 수 있다. 비닐 실란 함유 화합물은 대안적으로 가공 챔버에서 기화될 수 있다. 비닐 실란 함유 화합물은 가공 챔버의 상부에 위치된 샤워헤드(showerhead)를 통해 가공 챔버로 도입될 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 비닐 실란 함유 화합물을 가공 챔버로 유동시키는 것을 돕는데 사용될 수 있다. 추가로, 물과 같은 촉매가 비닐 실란 함유 화합물의 기상 공정 동안 첨가될 수 있다.
비닐 실란 함유 화합물의 기상 공정은 50mTorr 내지 500Torr, 예를 들어, 약 200mTorr 내지 약 6Torr의 가공 챔버 압력에서 실시될 수 있다. 실릴화 공정 동안, 유전 필름은 약 100℃ 내지 약 400℃, 예를 들어, 약 200℃ 내지 약 390℃의 온도로 가열될 수 있다. 비닐 실란 함유 화합물의 유량은 1sccm 내지 10,000sccm, 예를 들어, 약 400sccm 내지 약 2,000sccm일 수 있다. 임의의 캐리어 가스의 유량은 1sccm 내지 10,000sccm, 예를 들어, 약 2,000sccm 내지 약 3,000sccm일 수 있다. 가공 시간은 1min 내지 10min, 예컨대, 3min일 수 있다. 가공 챔버 내 압력은 기상 공정 동안 달라질 수 있다. 예를 들어, 압력은 50Torr 내지 500Torr로 달라질 수 있다.
블록(240)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(240)의 UV 경화 공정은 블록(230)의 공정 전에, 블록(230)의 공정과 동시에, 블록(230)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. UV 경화 공정은 낮은-k 유전 필름(100)을 UV 방사선과 접촉시켜 유전 필름으로부터의 Si-H 및/또는 손상된 공극들로부터의 물을 제거하고, 상기 언급된 낮은-k 유전 필름(100)에서 Si-CH2-CH2-Si(CH3)3 및/또는 Si-O-Si(CH3)3 기들을 형성시킴을 포함한다. UV 경화 공정은, 낮은-k 유전 필름(100)을 가공 챔버에 위치시키고, UV 방사선원을 작동시켜 낮은-k 유전 필름(100)을 UV 방사선과 접촉시킴으로써 실시될 수 있다. UV 방사선원은 예를 들어, UV 램프일 수 있다. UV 방사선원은 가공 챔버의 외부에 위치될 수 있으며, 가공 챔버는 UV 방사선이 통과할 수 있는 석영 창(quartz window)을 지닐 수 있다. 낮은-k 유전 필름(100)은 예를 들어, He 또는 Ar과 같은 불활성 가스 환경에 위치될 수 있다. 가공 챔버는 또한 낮은-k 유전 필름(100)을 UV 방사선과 접촉시키기 전에 또는 이와 동시에 낮은-k 유전 필름(100)을 가열하는 마이크로파원을 포함할 수 있다. UV 경화 공정은 또한 UV 방사선 파장들을 시뮬레이션(simulation)하는 플라즈마를 사용하여 실시될 수 있다. 플라즈마는 RF 전력을 처리 가스, 예컨대, He, Ar, O2, 및 N2에 커플링시킴으로써 형성될 수 있다. 플라즈마는 원격 플라즈마 소스(remote plasma source: RPS)에 의해 형성되고 가공 챔버에 전달될 수 있다.
UV 경화 공정은 1Torr 내지 100Torr, 예컨대, 6Torr의 가공 챔버 압력; 20℃ 내지 400℃, 예컨대, 385 ℃의 유전 필름 온도; 8,000sccm 내지 24,000sccm, 예컨대, 16,000sccm의 환경 가스 유량; 2,000sccm 내지 20,000sccm, 예컨대, 12,000sccm의 처리 가스 유량; 50W 내지 1,000W, 예컨대, 500W의 RF 전력; 13.56MHz의 RF 전력 주파수; 10sec 내지 180sec, 예컨대, 60 sec의 가공 시간; 100 W/m2 내지 2,000W/m2, 예컨대, 1,500W/m2의 UV 방사조도력; 및 100nm 내지 400nm의 UV 파장들에서 실시될 수 있다. 상기 기재된 UV 경화 공정은 유리하게는 피쳐들(104)의 측벽들에서 손상된 공극들(103)을 리페어링한다.
한 가지 구현예에서, UV 경화 온도는 100℃ 내지 약 800℃, 예를 들어, 약 400℃일 수 있다. UV 경화 시간은 약 10초 내지 약 600초일 수 있다. UV 경화 가스는 UV 투명 가스 분배 샤워헤드를 통해 가공 챔버로 유동될 수 있다. 한 가지 구현예에서, 불활성 경화 가스, 예컨대, 헬륨 및 아르곤은 약 1,000sccm 내지 약 27,000sccm의 유량으로 가공 챔버로 유동될 수 있다.
또 다른 구현예에서, 블록(230)에서 비닐 실란 함유 화합물에 대한 노출과 블록(240)에서 UV 경화에 대한 노출은 동시에 수행될 수 있다. 그러한 경우에, UV 유닛은 비닐 실란 함유 화합물의 도입과 동시에 작동되고/중지된다. 또 다른 구현예에서, 블록(240)에서의 UV 경화는 블록(230)에서의 비닐 실란 함유 화합물에 대한 노출 전에 수행될 수 있다. 추가의 또 다른 구현예에서, 블록(230)에서 비닐 실란 함유 화합물에 대한 노출과 블록(240)에서 UV 경화에 대한 노출은 교대로 수행될 수 있다. 예를 들어, UV 경화는 표면/측벽으로부터의 일부 물을 제거하기 위해 수행될 수 있다. 그 후에, 비닐 실란 함유 화합물에 대한 노출이 표면 소수성을 회복하기 위해 수행된다. 이후, UV 경화는 낮은-k 필름 손상을 추가로 회복하기 위해 수행된다. 그러한 경우에, 비닐 실란 함유 화합물 및 UV 경화에 대한 노출은 각각 약 15초 내지 약 30초 동안 수행될 수 있다. 비닐 실란 함유 화합물 유량, 시간, UV 전력, 기판 온도, 가공 챔버들의 챔버 압력은 적용에 좌우하여 달라질 수 있는 것으로 사료된다. 요망 시, UV 경화는 비닐 실란 함유 화합물에 대한 노출을 위한 가공 챔버와 상이한 별개의 가공 챔버에서 수행될 수 있다.
도 3은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법(300)을 도시한 공정 순서도이다. 블록(310)에서, 위에 배치되는 다공성 낮은-k 유전 층을 지니는 기판이 가공 챔버에 위치된다. 기판 및 낮은-k 유전 필름은 도 1a 내지 도 1f에 도시된 낮은-k 유전 필름(100) 및 구조물(101)과 유사할 수 있다. 가공 챔버는 도 9에 도시된 가공 챔버(900)와 유사할 수 있다. 블록(320)에서, 가공 챔버는 배기될 수 있다. 가공 챔버는 진공 펌프의 사용에 의해 배기될 수 있다.
블록(330)에서, 다공성 낮은-k 유전층은 산화 화합물에 노출된다. 산화 화합물은 액체 또는 증기 형태일 수 있다. 예시적인 산화 화합물들은 산소(O2), 산소-함유 화합물들(예, N2O, NO), 오존(O3), 오존-함유 화합물들, 과산화수소(H2O2), 과산화물(peroxide) 함유 화합물들, 및 이들의 조합물들을 포함한다. 산화 화합물에 대한 노출은 유전 필름(100)을 가공 챔버에 위치시키고, 산화 화합물의 액체 또는 증기를 가공 챔버로 유동시킴으로써 실시될 수 있다. 산화 화합물은 대안적으로 가공 챔버에서 기화될 수 있다. 산화 화합물은 가공 챔버의 상부에 위치된 샤워헤드를 통해 가공 챔버로 도입될 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, H2, 및 이들의 조합물들은 가공 챔버로 산화 화합물을 유동시키는 것을 돕는데 사용될 수 있다.
산화 화합물에 대한 노출은 50mTorr 내지 500Torr, 예를 들어, 약 200mTorr 내지 약 6Torr의 가공 챔버 압력에서 실시될 수 있다. 산화 공정 동안, 유전 필름은 약 100℃ 내지 약 400℃, 예를 들어, 약 200℃ 내지 약 390℃의 온도로 가열될 수 있다. 산화 화합물의 유량은 1sccm 내지 10,000sccm, 예를 들어, 약 400sccm 내지 약 2,000sccm일 수 있다. 임의의 캐리어 가스의 유량은 1sccm 내지 10,000sccm, 예를 들어, 약 2,000sccm 내지 약 3,000sccm일 수 있다. 가공 시간은 1분 내지 10분, 예컨대, 3분일 수 있다. 가공 챔버 내 압력은 기상 공정 동안 달라질 수 있다. 예를 들어, 압력은 50Torr 내지 500Torr로 달라질 수 있다.
블록(340)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(340)의 UV 경화 공정은 블록(330)의 공정 전에, 블록(330)의 공정과 동시에, 블록(330)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(340)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
블록(350)에서, 다공성 낮은-k 유전층은 실릴화제에 노출된다. 실릴화제에 대한 다공성 낮은-k 유전층(100)의 노출은 예를 들어, 유전 필름(100)에서의 Si-OH 기들을 소수성 Si-O-Si(CH3)3 기들로 전환시킨다. 소수성 Si-O-Si(CH3)3 기들은 낮은-k 유전 필름(100)의 손상된 공극들(103)로부터 물을 몰아내는 것을 돕는다.
실릴화제에 대한 낮은-k 유전층(100)의 노출은 기상 또는 액상으로 발생할 수 있다. 기상 실릴화 공정은 유전 필름(100)을 기화된 실릴화제와 접촉시켜 상기 기재된 낮은-k 유전 필름(100)에서의 Si-O-Si(CH3)3 기를 형성시킴을 포함한다. 실릴화제를 기화시키는 것은 실릴화제가 유전 필름(100) 내에 깊이 침투하게 한다. 예시적인 실릴화제들은 헥사메틸디실라잔(HMDS), 테트라메틸디실라잔(TMDS), 트리메틸클로로실란(TMCS), 디메틸디클로로실란(DMDCS), 메틸트리클로로실란(MTCS), 트리메틸메톡시실란(TMMS)(CH3-O-Si-(CH3)3), 디메틸디메톡시실란 (DMDMS)((CH3)2-Si-(OCH3)2), 메틸트리메톡시실란 (MTMS)((CH3-O-)3-Si-CH3), 페닐트리메톡시실란(PTMOS)(C6H5-Si-(OCH3)3), 페닐디메틸클로로실란(PDMCS)(C6H5-Si(Cl)-(CH3)2), 디메틸아미노트리메틸실란(DMATMS)((CH3)2-N-Si-(CH3)3), 비스(디메틸아미노)디메틸실란 (BDMADMS), 또는 Si, H, 및 C를 함유하는 다른 화합물들을 포함한다.
기상 실릴화 공정은 낮은-k 유전 필름(100)을 가공 챔버에 위치시키고, 실릴화제를 기화시키고, 기화된 실릴화제를 가공 챔버로 유동시킴으로써 실시될 수 있다. 실릴화제는 대안적으로 가공 챔버에서 기화될 수 있다. 실릴화제는 가공 챔버의 상부에 위치된 샤워헤드를 통해 가공 챔버로 도입될 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 실릴화제를 가공 챔버로 유동시키는 것을 돕는데 사용될 수 있다. 추가로, 물과 같은 촉매가 기상 실릴화 공정 동안 첨가될 수 있다.
기상 실릴화 공정은 50mTorr 내지 500Torr, 예를 들어, 약 200mTorr 내지 약 6Torr의 가공 챔버 압력에서 실시될 수 있다. 산화 공정 동안, 유전 필름은 약 100℃ 내지 약 400℃, 예를 들어, 약 200℃ 내지 약 390℃의 온도로 가열될 수 있다. 실릴화제의 유량은 1sccm 내지 10,000sccm, 예를 들어, 약 400sccm 내지 약 2,000sccm일 수 있다. 임의의 캐리어 가스의 유량은 1sccm 내지 10,000sccm, 예를 들어, 약 2,000sccm 내지 약 3,000sccm일 수 있다. 가공 시간은 1분 내지 10분, 예컨대, 3분일 수 있다. 가공 챔버 내 압력은 기상 공정 동안 달라질 수 있다. 예를 들어, 압력은 50Torr 내지 500Torr로 달라질 수 있다.
다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록의 UV 경화 공정은 블록(350)의 공정 전에, 블록(350)의 공정과 동시에, 블록(350)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
다양한 퍼지 가스 및 배기 공정들은 방법(300) 동안 수행될 수 있다. 예를 들어, 다공성 낮은-k 유전층을 산화 화합물에 노출시킨 후에 그리고 낮은-k 유전층을 실릴화제에 노출시키기 전에 퍼지 가스를 챔버로 유동시키고/거나 챔버를 배기시키는 것이 유리할 수 있다. 캐리어 가스가 블록(230) 동안 사용되는 특정 구현예들에서, 캐리어 가스는 산화 화합물의 유동이 중단된 후에 캐리어 가스를 계속해서 유동시킴으로써 퍼지 가스로서 사용될 수 있다. 가공 챔버는 진공 펌프의 사용에 의해 배기될 수 있다.
도 4는 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법(400)을 도시한 공정 순서도이다. 방법(400)은 다공성 낮은-k 유전층을 산화 화합물 및 실릴화제에 노출시키는 것이 별개의 단계로서 보다는 오히려 동시에 발생한다는 점을 제외하고 방법(300)과 유사하다. 블록(410)에서, 위에 배치되는 다공성 낮은-k 유전층을 지니는 기판이 가공 챔버에 위치된다. 블록(420)에서, 가공 챔버가 배기될 수 있다. 블록(430)에서, 다공성 낮은-k 유전층은 산화 화합물 및 실릴화제에 동시에 노출된다. 산화 화합물 및 실릴화제는 액체 또는 증기 형태일 수 있다. 산화 화합물 및 실릴화제는 챔버로 공급되기 전에 혼합될 수 있거나, 가공 챔버에 별개로 들어가거나 가공 챔버 내부에서 혼합될 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 실릴화제가 가공 챔버로 유동하는 것을 돕는데 사용될 수 있다. 가공 조건들은 방법들(200 및 300)에 대하여 앞서 기재된 가공 조건들과 동일하거나 유사할 수 있다.
블록(440)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(440)의 UV 경화 공정은 블록(430)의 공정 전에, 블록(430)의 공정과 동시에, 블록(430)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(440)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
도 5는 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법(500)을 도시한 공정 순서도이다. 방법(500)은 다공성 낮은-k 유전층이 비닐 실란 함유 화합물과 실릴화제 둘 모두에 노출된다는 점을 제외하고 방법(200)과 유사하다. 비닐 실란 함유 화합물과 실릴화제에 대한 노출은 동시에 발생할 수 있다. 블록(510)에서, 위에 배치되는 다공성 낮은-k 유전층을 지니는 기판이 가공 챔버에 위치된다. 블록(520)에서, 가공 챔버는 배기될 수 있다. 블록(530)에서, 다공성 낮은-k 유전층은 비닐 실란 함유 화합물과 실릴화제에 동시에 노출된다. 비닐 실란 함유 화합물과 실릴화제는 액체 또는 증기 형태일 수 있다. 비닐 실란 함유 화합물과 실릴화제는 챔버에 공급되기 전에 혼합될 수 있거나, 가공 챔버에 별개로 들어가고 가공 챔버 내부에서 혼합될 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 실릴화제를 가공 챔버로 유동시키는 것을 돕는데 사용될 수 있다. 공정 조건은 비닐 실란 함유 화합물들과 실릴화제들의 도입을 위해 본원에 앞서 기재된 공정 조건들과 동일하거나 유사할 수 있다. 블록(540)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(540)의 UV 경화 공정은 블록(530)의 공정 전에, 블록(530)의 공정과 동시에, 블록(530)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(540)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
도 6은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법(600)을 도시한 공정 순서도이다. 방법(600)은 다공성 낮은-k 유전층이 비닐 실란 함유 화합물과 실릴화제에 순차적으로 노출된다는 점을 제외하고 방법(500)과 유사하다. 블록(610)에서, 위에 배치되는 다공성 낮은-k 유전층을 지니는 기판이 가공 챔버에 위치된다. 블록(620)에서, 가공 챔버는 배기될 수 있다.
블록(630)에서, 다공성 낮은-k 유전층은 비닐 실란 함유 화합물에 노출된다. 비닐 실란 함유 화합물은 액체 또는 증기 형태일 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 비닐 실란 함유 화합물이 가공 챔버로 유동하는 것을 돕는데 사용될 수 있다. 공정 조건들은 비닐 실란 함유 화합물들의 가공 챔버로의 도입을 위해 본원에 앞서 기재된 공정 조건들과 동일하거나 유사할 수 있다.
블록(640)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(640)의 UV 경화 공정은 블록(630)의 공정 전에, 블록(630)의 공정과 동시에, 블록(630)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(640)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
블록(650)에서, 다공성 낮은-k 유전층은 실릴화제에 노출된다. 실릴화제는 액체 또는 증기 형태일 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 실릴화제가 가공 챔버로 유동하는 것을 돕는데 사용될 수 있다. 공정 조건들은 실릴화제들의 가공 챔버로의 도입을 위해 본원에 앞서 기재된 공정 조건들과 동일하거나 유사할 수 있다.
블록(660)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(660)의 UV 경화 공정은 블록(650)의 공정 전에, 블록(650)의 공정과 동시에, 블록(650)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(660)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
상기 기재된 바와 같은 다양한 퍼지 가스 및 배기 공정들이 방법(600) 동안 수행될 수 있다. 예를 들어, 다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시킨 후에 그리고 낮은-k 유전층을 실릴화제에 노출시키기 전에 퍼지 가스를 챔버로 유동시키고/거나 챔버를 배기시키는 것이 유리할 수 있다.
도 7은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법(700)을 도시한 공정 순서도이다. 방법(700)은 다공성 낮은-k 유전층이 실릴화제 및 비닐 실란 함유 화합물에 순차적으로 노출된다는 점을 제외하고 방법들(500 및 600)과 유사하다. 블록(710)에서, 위에 배치되는 다공성 낮은-k 유전층을 지니는 기판이 가공 챔버에 위치된다. 블록(720)에서, 가공 챔버는 배기될 수 있다.
블록(730)에서, 다공성 낮은-k 유전층은 실릴화제에 노출된다. 실릴화제는 액체 또는 증기 형태일 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 실릴화제가 가공 챔버로 유동하는 것을 돕는데 사용될 수 있다. 공정 조건들은 실릴화제들의 가공 챔버로의 도입을 위해 본원에 앞서 기재된 공정 조건들과 동일하거나 유사할 수 있다.
블록(740)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(740)의 UV 경화 공정은 블록(730)의 공정 전에, 블록(730)의 공정과 동시에, 블록(730)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(740)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
블록(750)에서, 다공성 낮은-k 유전층은 비닐 실란 함유 화합물에 노출된다. 비닐 실란 함유 화합물은 액체 또는 증기 형태일 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, 및 이들의 조합물들은 비닐 실란 함유 화합물이 가공 챔버로 유동하는 것을 돕는데 사용될 수 있다. 공정 조건들은 비닐 실란 함유 화합물들의 가공 챔버로의 도입을 위해 본원에 앞서 기재된 공정 조건들과 동일하거나 유사할 수 있다.
블록(760)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(760)의 UV 경화 공정은 블록(750)의 공정 전에, 블록(750)의 공정과 동시에, 블록(750)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(760)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
상기 기재된 바와 같은 다양한 퍼지 가스 및 배기 공정들은 방법(700) 동안 수행될 수 있다. 예를 들어, 다공성 낮은-k 유전층을 실릴화제에 노출시킨 후에 그리고 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키기 전에 퍼지 가스를 챔버로 유동시키고/거나 챔버를 배기시키는 것이 유리할 수 있다.
도 8은 본원에 기재된 구현예들에 따라 손상된 필름을 리페어링하는 또 다른 방법(800)을 도시한 공정 순서도이다. 방법(800)은 다공성 낮은-k 유전층이 비닐 실란 함유 화합물, 산화 화합물, 및 실릴화제에 노출된다는 점을 제외하고 방법들(200, 300, 400, 및 500)과 유사하다. 비닐 실란 함유 화합물, 산화 화합물, 및 실릴화제에 대한 노출은 동시에 발생할 수 있다. 비닐 실란 함유 화합물, 산화 화합물, 및 실릴화제에 대한 노출은 순차적으로 발생할 수 있다. 노출이 순차적인 특정 구현예들에서, 임의의 퍼지 공정은 각각의 노출 공정 사이에 수행될 수 있다. 블록(810)에서, 위에 배치되는 다공성 낮은-k 유전층을 지니는 기판은 가공 챔버에 위치된다. 블록(820)에서, 가공 챔버는 배기될 수 있다. 블록(830)에서, 다공성 낮은-k 유전층은 비닐 실란 함유 화합물, 산화 화합물, 및 실릴화제에 노출된다. 비닐 실란 함유 화합물, 산화 화합물, 및 실릴화제는 각각 액체 또는 증기 형태일 수 있다. 비닐 실란 함유 화합물, 산화 화합물, 및 실릴화제는 챔버에 공급되기 전에 혼합될 수 있거나, 가공 챔버에 별개로 들어가고 가공 챔버 내부에서 혼합될 수 있다. 캐리어 가스, 예컨대, He, Ar, N2, H2 및 이들의 조합물들은 다양한 화합물들이 가공 챔버로 유동하는 것을 돕는데 사용될 수 있다. 공정 조건들은 비닐 실란 함유 화합물들, 산화 화합물들 및 실릴화제들의 도입을 위해 본원에 앞서 기재된 공정 조건들과 동일하거나 유사할 수 있다. 블록(840)에서, 다공성 낮은-k 유전층은 임의로 자외선(UV) 경화 공정에 노출되어 낮은-k 유전 필름(100)을 리페어링한다. 블록(840)의 UV 경화 공정은 블록(830)의 공정 전에, 블록(830)의 공정과 동시에, 블록(830)의 공정 후에, 또는 상기 언급된 순서들의 어떠한 조합들로 수행될 수 있다. 블록(840)의 UV 경화 공정을 위한 공정 조건들은 블록(240)의 UV 경화 공정의 공정 조건들과 동일하거나 유사할 수 있다.
유전 필름(100)이 리페어링된 후, 반도체의 제작이 계속되도록 후속 공정들이 수행될 수 있다. 예를 들어, 도 1d에 도시된 바와 같이, 확산 장벽(106)이 유전 필름(100)의 피쳐들(104)로 증착될 수 있고, 예를 들어, 구리 또는 구리 합금과 같은 금속 물질(107)이 피쳐들(104)로 증착될 수 있다. 금속 물질(107)을 평탄화하고, 평탄화 동안 형성될 수 있는 금속 물질(107)로부터의 어떠한 산화물들을 제거하는 것이 필요할 수 있다. 일반적인 금속 산화물 제거 기술들은 수소 또는 암모니아 플라즈마들의 사용을 수반한다. 평탄화 및/또는 금속 산화물 제거 공정들은 도 1e에 도시된 바와 같이, 유전 필름(100)의 표면을 재-손상시킬 수 있다. 유전 필름(100)은 도 1f에 도시된 바와 같이, 상기 기재된 어떠한 리페어 공정들을 이용함으로써 리페어링될 수 있다.
기재된 리페어 공정들은 손상된 유전 필름들의 k-값을 효과적으로 감소시키므로 반도체 장치 피쳐들의 연속 스케일링을 가능하게 한다.
하기 표는 일반적으로 반도체 제작에서 사용되는 낮은-k 유전 필름들의 유전 상수를 리페어링하고 저하시키기에 적합한 예시적인 가공 조건들 및 유량들을 제공한 것이다.
Figure 112014098613781-pct00002
표 1.
도 9는 본원에 기재된 구현예들을 실시하는데 사용될 수 있는 예시적인 가공 챔버의 횡단면도이다. 도 9는 현재 Applied Materials, Inc.에 의해 제작되는 PRODUCER® 챔버들의 특징들을 기초로 한 것이다. PRODUCER CVD 챔버(200mm 또는 300mm)는 탄소-도핑된 규소 산화물들 및 다른 물질들을 증착시키는데 사용될 수 있는 두 개의 분리된 가공 영역들을 지닌다.
도 9는 UV 경화를 위해 구성된 탠덤 가공 챔버(900)를 도시한 것이다. 탠덤 공정 챔버(900)는 몸체(901), 및 몸체(901)에 달려있을 수 있는 뚜껑(903)을 포함한다. 유입구들에 각각 커플링된 두 개의 하우징들(905)은 하우징들(905)의 내부를 통해 냉각 공기를 통과시키기 위한 유출구들과 함께 뚜껑(903)에 커플링된다. 냉각 공기는 실온 또는 대략 22 섭씨 온도일 수 있다. 중앙의 압축 공기 공급부(미도시)는 탠덤 공정 챔버(900)와 관련된 어떠한 UV 램프 전구들 및/또는 전구들을 위한 전력원들(913)의 적절한 작동을 보장하도록 유입구들로의 공기의 충분한 유량을 제공한다.
도 9는 뚜껑(903), 하우징들(905), 및 UV 경화를 위해 구성된 전력원들(913)을 지니는 탠덤 공정 챔버(900)의 부분 단면도를 도시한 것이다. 각각의 하우징들(905)은 몸체(901) 내에 한정된 두 공정 영역들(920) 상에 각각 배치된 두 개의 UV 램프 전구들(902) 중 각각의 하나를 덮는다. 각각의 공정 영역들(920)은 공정 영역들(920) 내에 기판(908)을 지지하기 위한 가열 받침대(906)를 포함한다. 받침대들(906)은 세라믹 또는 금속, 예컨대, 알루미늄으로부터 제조될 수 있다. 바람직하게는, 받침대들(906)은 몸체(901)의 하부를 통해 연장되는 기둥들(910)에 커플링되고, 가공 영역들(920)에서 받침대들(906)을 UV 램프 전구들(902)을 향해 그리고 이들로부터 멀리 이동시키는 구동 시스템들(912)에 의해 작동된다. 구동 시스템들(912)은 또한 기판 조도의 균일성을 추가로 향상시키기 위해 경화 동안 받침대들(906)을 회전시키고/거나 이동시킬 수 있다. 받침대들(906)의 조절가능한 위치결정은, 초점 거리와 같은 광 전달 시스템 설계 고려사항들의 성질에 따른 기판(908)에 대한 입사 UV 방사조도 수준의 가능한 미세한 조정에 더하여, 휘발성 결화 부산물 및 퍼지 및 세정 가스 흐름 패턴들 및 체류 시간들의 제어를 가능하게 한다.
일반적으로, 본 발명의 구현예들에는 어떠한 UV 광원, 예컨대, 수은 마이크로파 아크 램프(mercury microwave arc lamp)들, 펄스화된 제논 플래쉬 램프(pulsed xenon flash lamp)들 또는 고효율 UV 발광 다이오드 어레이(high-efficiency UV light emitting diode array)들이 고려된다. UV 램프 전구들(902)은 전력원들(913)에 의한 여기(excitation)를 위해 하나 이상의 가스들, 예컨대, 제논(Xe) 또는 수은(Hg)으로 채워진 실링된 플라즈마 전구(sealed plasma bulb)들이다. 바람직하게는, 전력원들(913)은 하나 이상의 마그네트론들(magnetrons)(미도시) 및 마그네트론들의 팔라멘트들을 에너지화시키는 하나 이상의 트랜스포머들(transformers)(미도시)을 포함할 수 있는 마이크로파 발생기들이다. 킬로와트 마이크로파(MW) 전력원들을 지니는 한 가지 구현예에서, 각각의 하우징들(905)은, 전력원들(913)로부터 최대 약 6,000W의 마이크로파 전력을 수용하여 각각의 전구들(902)로부터 최대 약 100W의 UV 광을 후속적으로 발생시키는 전력원들(913)에 인접한 구멍(915)을 포함한다. 또 다른 구현예에서, UV 램프 전구들(902)은 내부에 전극, 또는 전력원들(913)이 전극으로의 회로 및/또는 전류 공급원들, 예컨대, 직류(direct current: DC) 또는 펄스 DC를 나타내도록 필라멘트를 포함할 수 있다.
일부 구현예들에 대한 전력원들(913)은, UV 램프 전구들(902) 내에 가스들을 여기할 수 있는 무선 주파수(radio frequency: RF) 에너지원들을 포함할 수 있다. 전구에서 RF 여기의 구성은 용량성 또는 유도성일 수 있다. 유도 결합 플라즈마(inductively coupled plasma: ICP) 전구는 용량 결합 방전(capacitively coupled discharge) 보다 고밀도의 플라즈마의 발생에 의해 전구 광도를 효율적으로 증가시키는데 사용될 수 있다. 또한, ICP 램프는 전극 열화로 인한 UV 출력의 저하를 막아서, 향상된 시스템 생산성을 위해 보다 오랜 수명의 전구를 생성시킨다. RF 에너지원들인 전력원들(913)의 이점들에는 효율의 증가가 포함된다.
바람직하게는, 전구들(902)은 170nm 내지 400nm의 광대역 파장들에 걸쳐 광을 방출한다. 전구들(902) 내에서 사용하도록 선택된 가스들은 방출되는 파장들을 결정할 수 있다. 산소가 존재하는 경우 더 짧은 파장이 오존을 생성시키려는 경향이 있기 때문에, 전구들(902)에 의해 방출된 UV 광은 200nm 초과의 광대역 UV 광을 주로 발생시키도록 조정되어 경화 공정들 동안 오존 발생을 방지할 수 있다.
UV 램프 전구들(902)로부터 방출된 UV 광은 뚜껑(903)의 구멍들에 배치된 창들(914)을 통과함으로써 가공 영역들(920)에 진입한다. 창들(914)은 바람직하게는 OH 비함유 합성 석영 유리로 제조되며, 균열 없이 진공을 유지하기에 충분한 두께를 지닌다. 또한, 창들(914)은 바람직하게는 약 150nm 미만의 UV 광을 전달하는 용융 실리카이다. 뚜껑(903)은 몸체(901)에 밀봉되고, 창들(914)은 뚜껑(903)에 밀봉되기 때문에, 가공 영역들(920)은 약 1Torr 내지 약 650Torr의 압력들을 유지할 수 있는 용적들을 제공한다. 가공 또는 세정 가스들(917)은 두 개의 유입관들(916) 중 각각 하나를 통해 공정 영역들(920)에 진입한다. 그 후에, 가공 또는 세정 가스들(917)은 일반적인 유출구(918)를 통해 공정 영역들(920)에서 배출된다. 추가로, 하우징들(905)의 내부에 공급된 냉각 공기는 전구들(902)을 지나 순환하지만, 창들(914)에 의해 공정 영역들(920)로부터는 분리되어 있다.
실시예들
본원에 기재된 구현예들의 목적들 및 이점들은 하기 가설적인 실시예들에 의해 추가로 예시된다. 이러한 실시예들에 기재되는 특정 물질들 및 이들의 양들, 뿐만 아니라 다른 조건들 및 세부 사항들은 본원에 기재된 구현예들을 제한하는 것으로 사용되지 않아야 한다.
실시예 1:
화학적 노출을 통한 직접적인 Si-H 저하: 먼저, 손상된 다공성 CDO 필름을 함유하는 기판을 챔버 내에 위치시켰다. 그 후에, 챔버를 진공 펌프의 사용에 의해서 배기시켰다. 배기 후, 트리메틸비닐실란(TMVS, CH2=CH-SiMe3)을 챔버로 도입하였다. Si-H 부분은 TMVS와 반응하여 Si-CH2-CH2-SiMe3를 형성시킨다. 불활성 가스를 동시에 도입할 수 있다. 공정 압력은 대기압이거나 대기압보다 낮을 수 있다. 기판 온도는 실온이거나 실온보다 높을 수 있다. 반응을 돕거나/가속화시키기 위하여 자외선(UV) 광 노출을 사용할 수 있다. 마지막으로, 노출 후에 챔버로부터 기판을 꺼냈는데, 기판은 챔버에 들어가기 전보다 더 적은 Si-H 결합들을 가졌다. 올레핀들, 비닐실란들, 아세틸 아세톤, 비닐 아세테이트, 스티렌, 및 아크릴아미드는 Si-H와 반응하는 것으로 알려져 있다. 이러한 패밀리들 및 이들의 유도체들에서의 화학물질들은 TMVS 대신에 사용될 수 있다.
실시예 2:
산화 및 실릴화를 통한 간접적인 Si-H 저하: 먼저, 손상된 다공성 CDO 필름을 함유하는 기판을 챔버 내에 넣었다. 그 후에, 챔버를 진공 펌프의 사용에 의해 탈기시켰다. 탈기 후, Si-H의 산화를 야기하여 Si-OH를 형성시키기 위하여 산소, 이산화질소, 오존, 또는 이들의 혼합물을 챔버로 도입하였다. 불활성 가스를 동시에 도입할 수 있다. 공정 압력은 대기압이거나 대기압보다 낮을 수 있다. 기판 온도는 실온이거나 실온보다 높을 수 있다. 반응을 돕거나/가속화시키기 위하여 자외선(UV) 광 노출을 사용할 수 있다. 산화 후, 기판은 챔버에 들어가기 전보다 더 적은 Si-H 결합들과 더 많은 Si-OH 결합들을 가졌다. 산화 후에 두 번째 단계인 실리화가 이어졌다. 이 단계에서, CTMS를, Si-OH와 반응하여 Si-O-SiMe3를 형성시키도록 챔버로 도입하였다. 불활성 가스를 동시에 도입할 수 있다. 공정 압력은 대기압이거나 대기압보다 낮을 수 있다. 기판 온도는 실온이거나 실온보다 높을 수 있다. 반응을 돕거나/가속화시키기 위하여 자외선(UV) 광 노출을 사용할 수 있다. 마지막으로, 챔버로부터 기판을 꺼냈다. 전체 결과로서, Si-H 결합은 산화와 실릴화를 통해 저하되었다. CTMS가 아닌 하나 이상의 실릴화제들이 CTMS 대신에 사용될 수 있다.
실시예 3:
Si-H와 Si-OH의 동시 저하--기술 I: Si-H와 Si-OH은 동시에 저하될 수 있다. 먼저, 손상된 다공성 CDO 필름을 함유하는 기판을 챔버 내에 넣었다. 그 후에, 챔버를 진공 펌프의 사용에 의해서 배기시켰다. 배기 후, Si-H와 Si-OH 둘 모두를 동시에 저하시키기 위해서 예로서 한 가지 가능한 조합물인 TMVS와 CTMS의 혼합물을 챔버로 도입하였다. 불활성 가스를 동시에 도입할 수 있다. 공정 압력은 대기압이거나 대기압보다 낮을 수 있다. 기판 온도는 실온이거나 실온보다 높을 수 있다. 반응을 돕거나/가속화시키기 위하여 자외선(UV) 광 노출을 사용할 수 있다. 마지막으로, 노출 후에 챔버로부터 기판을 꺼냈는데, 기판은 챔버에 들어가기 전보다 더 적은 Si-H 결합들과 더 적은 Si-OH 결합들을 가졌다.
실시예 4:
Si-H와 Si-OH의 동시 저하--기술 II: Si-H와 Si-OH은 동시에 저하될 수 있다. 먼저, 손상된 다공성 CDO 필름을 함유하는 기판을 챔버 내에 넣었다. 그 후에, 챔버를 진공 펌프의 사용에 의해서 배기시켰다. 배기 후, Si-H를 Si-OH로 전환시키고 Si-OH를 동시에 저하시키기 위해서 예로서 한 가지 가능한 조합물인 아산화질소와 CTMS의 혼합물을 챔버로 도입하였다. 불활성 가스를 동시에 도입할 수 있다. 공정 압력은 대기압이거나 대기압보다 낮을 수 있다. 기판 온도는 실온이거나 실온보다 높을 수 있다. 반응을 돕거나/가속화시키기 위하여 자외선(UV) 광 노출을 사용할 수 있다. 마지막으로, 노출 후에 챔버로부터 기판을 꺼냈는데, 기판은 챔버에 들어가기 전보다 더 적은 Si-H 결합들과 더 적은 Si-OH 결합들을 가졌다.
실시예 5:
Si-H와 Si-OH의 동시 저하--기술 III: Si-H와 Si-OH은 동시에 저하될 수 있다. 먼저, 손상된 다공성 CDO 필름을 함유하는 기판을 챔버 내에 넣었다. 그 후에, 챔버를 진공 펌프의 사용에 의해서 배기시켰다. 배기 후, Si-H를 Si-OH로 전환시키고, Si-H를 저하시키고, Si-OH를 동시에 저하시키기 위하여 예로서 한 가지 가능한 조합물인 아산화질소, TMVS, 및 CTMS의 혼합물을 챔버로 도입하였다. 불활성 가스를 동시에 도입할 수 있다. 공정 압력은 대기압이거나 대기압보다 낮을 수 있다. 기판 온도는 실온이거나 실온보다 높을 수 있다. 반응을 돕거나/가속화시키기 위하여 자외선(UV) 광 노출을 사용할 수 있다. 마지막으로, 노출 후에 챔버로부터 기판을 꺼냈는데, 기판은 챔버에 들어가기 전보다 더 적은 Si-H 결합들과 더 적은 Si-OH 결합들을 가졌다.
실시예 6:
다단계 캐스케이딩 Si-H 및 Si-OH 저하: A. 본원에 앞서 기재된 바와 같은 직접적인 Si-H 저하(예, 실시예 1). B. 본원에 앞서 기재된 바와 같은 간접적인 Si-H 저하(예, 실시예 2). C. 실릴화를 통한 Si-OH 저하(동시의 UV 방사와 함께 또는 없이). D. 본원에 앞서 기재된 바와 같은 Si-H와 Si-OH의 동시 저하(예, 실시예 3). E. 본원에 앞서 기재된 바와 같은 Si-H와 Si-OH의 동시 저하(예, 실시예 4). F. 본원에 앞서 기재된 바와 같은 Si-H와 Si-OH의 동시 저하 기술 III(예, 실시예 5). G. 불활성 가스(들), 예컨대, 헬륨, 질소, 및 아르곤의 존재 또는 부재하의 UV 방사 단독. 이러한 단계들의 순서는 임의의 순서일 수 있고, 각각의 단계는 기판이 챔버에 들어가는 시간과 기판이 챔버에서 나오는 시간 사이에 1회 이상 이용될 수 있다. 임의의 순서에는 본 발명에 앞서 기재된 단계들 중 최소 하나(A, B, D, E, 또는 F)가 존재한다. 예를 들어, 하나의 순서는 기판이 들어가고 -->C-->G-->A-->G--> 기판이 나오는 것일 수 있다. 또 다른 예는 기판이 들어가고 -->C-->A-->G--> 기판이 나오는 것일 수 있다.
상기 설명은 본 발명의 구현예들에 관한 것이지만, 본 발명의 다른 및 추가의 구현예들이 본 발명의 기본 범위를 벗어나지 않으면서 고안될 수 있으며, 본 발명의 범위는 이하 기재되는 특허청구범위에 의해서 결정된다.

Claims (15)

  1. 다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키고;
    다공성 낮은-k 유전층을 산화 화합물에 노출시키고;
    다공성 낮은-k 유전층을 실릴화제에 노출시키고;
    다공성 낮은-k 유전층을 자외선(UV) 경화 공정에 노출시킴을 포함하는 손상된 낮은-k 유전층을 리페어링하는 방법으로서,
    산화 화합물이 액체 형태이고, 산소(O2), 산소-함유 화합물들, 오존(O3), 오존-함유 화합물들, 과산화수소(H2O2), 과산화물(peroxide) 함유 화합물들, 및 이들의 조합물들로 이루어진 군으로부터 선택되고,
    다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키고, 다공성 낮은-k 유전층을 실릴화제에 노출시키는 것이 동시에 발생하는 방법.
  2. 제 1항에 있어서, 다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키고, 다공성 낮은-k 유전층을 UV 경화에 노출시키는 것이 동시에 발생하는 방법.
  3. 제 1항에 있어서, 비닐-실란 함유 화합물이 하기 화학식의 화합물인 방법:
    Figure 112014098613781-pct00003

    상기 식에서, R1, R2, 및 R3는 수소(H), 알킬 기들, 염소, 비닐 기들, 및 알콕시 기들로부터 각각 개별적으로 선택된다.
  4. 제 3항에 있어서, 비닐-실란 함유 화합물이 트리메틸비닐실란(TMVS)인 방법.
  5. 제 3항에 있어서, 비닐-실란 함유 화합물이 기상인 방법.
  6. 제 1항에 있어서, 다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키기 전에 다공성 낮은-k 유전층을 가공 챔버에 위치시킴을 추가로 포함하는 방법.
  7. 제 6항에 있어서, 가공 챔버가 50mTorr 내지 500Torr의 압력에 있고, 유전층이 100℃ 내지 400℃의 온도에 있고, 비닐 실란 함유 화합물이 1sccm 내지 10,000sccm의 유량으로 가공 챔버로 유동되고, 유전층이 100W/m2 내지 2,000W/m2의 UV 방사조도력 및 100nm 내지 400nm의 UV 파장들의 UV 방사선과 접촉되는 방법.
  8. 삭제
  9. 제 1항에 있어서, 실릴화제가 헥사메틸디실라잔(HMDS), 테트라메틸디실라잔(TMDS), 트리메틸클로로실란(TMCS), 디메틸디클로로실란(DMDCS), 메틸트리클로로실란(MTCS), 트리메틸메톡시실란(TMMS)(CH3-O-Si-(CH3)3), 디메틸디메톡시실란 (DMDMS)((CH3)2-Si-(OCH3)2), 메틸트리메톡시실란(MTMS)((CH3-O)3-Si-CH3), 페닐트리메톡시실란(PTMOS)(C6H5-Si-(OCH3)3), 페닐디메틸클로로실란(PDMCS)(C6H5-Si(Cl)-(CH3)2), 디메틸아미노트리메틸실란(DMATMS)((CH3)2-N-Si-(CH3)3), 또는 비스(디메틸아미노)디메틸실란(BDMADMS)으로 이루어진 군으로부터 선택되는 방법.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 다공성 낮은-k 유전층을 가공 챔버에 위치시키고;
    다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키고;
    다공성 낮은-k 유전층을 산화 화합물에 노출시키고;
    다공성 낮은-k 유전층을 실릴화제에 노출시키고;
    다공성 낮은-k 유전층을 자외선(UV) 경화 공정에 노출시킴을 포함하는 손상된 낮은-k 유전층을 리페어링하는 방법으로서,
    산화 화합물이 액체 형태이고, 산소(O2), 산소-함유 화합물들, 오존(O3), 오존-함유 화합물들, 과산화수소(H2O2), 과산화물 함유 화합물들, 및 이들의 조합물들로 이루어진 군으로부터 선택되고,
    다공성 낮은-k 유전층을 비닐 실란 함유 화합물에 노출시키고, 다공성 낮은-k 유전층을 산화 화합물에 노출시키고, 다공성 낮은-k 유전층을 실릴화제에 노출시키는 것이 동시에 발생하는 방법.
  14. 제 13항에 있어서, 다공성 낮은-k 유전층을 산화 화합물에 노출시키고, 다공성 낮은-k 유전층을 UV 경화에 노출시키는 것이 동시에 발생하는 방법.
  15. 제 13항에 있어서, 가공 챔버가 50mTorr 내지 500Torr의 압력에 있고, 유전층이 100℃ 내지 400℃의 온도에 있고, 실릴화제가 1sccm 내지 10,000sccm의 유량으로 가공 챔버로 유동되고, 산화 화합물이 1sccm 내지 10,000sccm의 유량으로 가공 챔버로 유동되는 방법.
KR1020147029017A 2012-07-02 2013-05-28 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어 KR102138158B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261667237P 2012-07-02 2012-07-02
US61/667,237 2012-07-02
PCT/US2013/042921 WO2014007924A1 (en) 2012-07-02 2013-05-28 Low-k dielectric damage repair by vapor-phase chemical exposure

Publications (2)

Publication Number Publication Date
KR20150035505A KR20150035505A (ko) 2015-04-06
KR102138158B1 true KR102138158B1 (ko) 2020-07-27

Family

ID=49778568

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147029017A KR102138158B1 (ko) 2012-07-02 2013-05-28 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어

Country Status (6)

Country Link
US (2) US8877659B2 (ko)
JP (2) JP6192719B2 (ko)
KR (1) KR102138158B1 (ko)
CN (1) CN104508805A (ko)
TW (1) TW201403711A (ko)
WO (1) WO2014007924A1 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US9659765B2 (en) 2014-07-21 2017-05-23 Applied Materials, Inc. Enhancement of modulus and hardness for UV-cured ultra low-k dielectric films
US10113234B2 (en) 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US20160049293A1 (en) 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) * 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
KR102624608B1 (ko) * 2016-01-19 2024-01-16 삼성전자주식회사 저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10008408B2 (en) * 2016-06-15 2018-06-26 Globalfoundries Inc. Devices and methods of forming asymmetric line/space with barrierless metallization
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
KR102392447B1 (ko) * 2017-04-27 2022-04-28 도쿄엘렉트론가부시키가이샤 패터닝 필름으로서 유기실리케이트를 사용하는 방법 및 시스템
CN110637353A (zh) * 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
JP6960839B2 (ja) 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法
SG11202100058RA (en) * 2018-08-29 2021-03-30 Applied Materials Inc Non-uv high hardness low k film deposition
JP2022511822A (ja) * 2018-12-04 2022-02-01 アプライド マテリアルズ インコーポレイテッド Si-ヒドロキシル結合を架橋するための硬化方法
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US11361974B2 (en) * 2020-09-10 2022-06-14 United Microelectronics Corp. Method for forming semiconductor structure
CN116103638A (zh) * 2023-02-16 2023-05-12 嘉善复旦研究院 一种超低介电常数薄膜的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221275A (ja) * 2003-01-14 2004-08-05 Nec Electronics Corp 有機絶縁膜及びその製造方法及び有機絶縁膜を用いた半導体装置及びその製造方法。
JP2011171736A (ja) 2010-02-17 2011-09-01 Renesas Electronics Corp 半導体装置及びその製造方法
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU3055599A (en) * 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US8688474B2 (en) * 2000-06-26 2014-04-01 Epic Systems Corporation Patient health record access system
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US7083991B2 (en) * 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
JP4999454B2 (ja) * 2003-01-25 2012-08-15 ハネウェル・インターナショナル・インコーポレーテッド 損傷誘電体材料及び膜の修復及び回復
US7241704B1 (en) * 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
JP4594988B2 (ja) * 2004-10-27 2010-12-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
JP5019714B2 (ja) * 2005-01-31 2012-09-05 大陽日酸株式会社 低誘電率膜のダメージ回復法
JP4579728B2 (ja) * 2005-03-17 2010-11-10 Hoya株式会社 フォトマスク用ブランクの製造方法とフォトマスク用ブランクおよびフォトマスクの製造方法とフォトマスク
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
CN1953143A (zh) * 2005-10-18 2007-04-25 联华电子股份有限公司 低介电材料与多孔隙低介电层的回复方法
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
JP5548332B2 (ja) * 2006-08-24 2014-07-16 富士通セミコンダクター株式会社 半導体デバイスの製造方法
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
JP2008117903A (ja) * 2006-11-02 2008-05-22 Toshiba Corp 半導体装置の製造方法
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法
JP4413947B2 (ja) * 2007-06-21 2010-02-10 株式会社東芝 半導体装置の製造方法
KR101542636B1 (ko) * 2007-12-19 2015-08-06 램 리써치 코포레이션 나노다공성 로우-k 유전체 재료 처리 방법
JP2009289996A (ja) * 2008-05-29 2009-12-10 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20100087062A1 (en) 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
JPWO2010064306A1 (ja) * 2008-12-03 2012-05-10 富士通株式会社 半導体装置の製造方法
JP2012104616A (ja) * 2010-11-09 2012-05-31 Hiroshima Univ 低誘電率膜の前駆体組成物及びこれを用いた低誘電率膜の製造方法
WO2012138866A1 (en) 2011-04-08 2012-10-11 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8216861B1 (en) 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221275A (ja) * 2003-01-14 2004-08-05 Nec Electronics Corp 有機絶縁膜及びその製造方法及び有機絶縁膜を用いた半導体装置及びその製造方法。
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
JP2011171736A (ja) 2010-02-17 2011-09-01 Renesas Electronics Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
KR20150035505A (ko) 2015-04-06
JP6422536B2 (ja) 2018-11-14
JP2015529007A (ja) 2015-10-01
TW201403711A (zh) 2014-01-16
US20140004717A1 (en) 2014-01-02
JP6192719B2 (ja) 2017-09-06
WO2014007924A1 (en) 2014-01-09
US8877659B2 (en) 2014-11-04
US20150111396A1 (en) 2015-04-23
JP2018011061A (ja) 2018-01-18
CN104508805A (zh) 2015-04-08
US9123532B2 (en) 2015-09-01

Similar Documents

Publication Publication Date Title
KR102138158B1 (ko) 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8492170B2 (en) UV assisted silylation for recovery and pore sealing of damaged low K films
US7803705B2 (en) Manufacturing method of semiconductor device and film deposition system
US8236684B2 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US20130284204A1 (en) Method for uv based silylation chamber clean
JP2015521375A (ja) 流動性膜のための改善された緻密化
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
KR102109482B1 (ko) 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
JP2004356508A (ja) 低誘電率絶縁膜の形成方法
US20100330773A1 (en) Substrate processing method and substrate processing apparatus
KR20160123357A (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
KR102085547B1 (ko) 손상된 저 k 필름들의 기공 밀봉을 위한 uv-보조된 광화학 증기 증착법
KR20160011157A (ko) 다공성 저-k 막 밀봉을 위한 uv 보조 실릴화
TW201934562A (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑
JP2012204693A (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant