KR20150124950A - 성막 방법, 컴퓨터 기억 매체 및 성막 시스템 - Google Patents

성막 방법, 컴퓨터 기억 매체 및 성막 시스템 Download PDF

Info

Publication number
KR20150124950A
KR20150124950A KR1020157022381A KR20157022381A KR20150124950A KR 20150124950 A KR20150124950 A KR 20150124950A KR 1020157022381 A KR1020157022381 A KR 1020157022381A KR 20157022381 A KR20157022381 A KR 20157022381A KR 20150124950 A KR20150124950 A KR 20150124950A
Authority
KR
South Korea
Prior art keywords
organic film
film
heat treatment
ultraviolet ray
wafer
Prior art date
Application number
KR1020157022381A
Other languages
English (en)
Other versions
KR101959108B1 (ko
Inventor
사토루 시무라
후미코 이와오
고오스케 요시하라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150124950A publication Critical patent/KR20150124950A/ko
Application granted granted Critical
Publication of KR101959108B1 publication Critical patent/KR101959108B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/10Storage, supply or control of liquid or other fluent material; Recovery of excess liquid or other fluent material
    • B05C11/1002Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves
    • B05C11/1015Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves responsive to a conditions of ambient medium or target, e.g. humidity, temperature ; responsive to position or movement of the coating head relative to the target
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/12Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation being performed after the application
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/14Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation involving heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/02Apparatus for spreading or distributing liquids or other fluent materials already applied to a surface ; Controlling means therefor; Control of the thickness of a coating by spreading or distributing liquids or other fluent materials already applied to the coated surface
    • B05C11/08Spreading liquid or other fluent material by manipulating the work, e.g. tilting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

본 발명은, 표면에 패턴이 형성된 기판 상에 유기막을 형성하는 것으로, 기판 상에 유기 재료를 도포하고, 그 후, 이 유기 재료를 열처리하여 기판 상에 유기막을 형성하고, 그 후, 이 유기막에 대해 자외선 조사 처리를 행하여, 당해 유기막의 표면을 소정의 깊이까지 제거하도록 하여, 기판 상에 유기막을 적절하고 또한 효율적으로 형성한다.

Description

성막 방법, 컴퓨터 기억 매체 및 성막 시스템 {FILM-FORMING METHOD, COMPUTER STORAGE MEDIUM, AND FILM-FORMING SYSTEM}
본 발명은, 표면에 패턴이 형성된 기판 상에 유기막을 형성하는 성막 방법, 컴퓨터 기억 매체 및 당해 성막 방법을 실행하기 위한 성막 시스템에 관한 것이다.
본원은, 2013년 2월 22일에 일본에 출원된 일본 특허 출원 제2013-033216호에 기초하여, 우선권을 주장하고, 그 내용을 여기에 원용한다.
예를 들어, 다층 배선 구조의 반도체 디바이스의 제조 공정에서는, 예를 들어 반도체 웨이퍼(이하, 「웨이퍼」라 함) 상에 레지스트액을 도포하여 레지스트막을 형성하는 레지스트 도포 처리, 당해 레지스트막에 소정의 패턴을 노광하는 노광 처리, 노광된 레지스트막을 현상하는 현상 처리 등이 순차 행해져, 웨이퍼 상에 소정의 레지스트 패턴이 형성된다. 이 레지스트 패턴을 마스크로 하여, 웨이퍼의 에칭 처리가 행해지고, 그 후 레지스트막의 제거 처리 등이 행해져, 웨이퍼 상에 소정의 패턴이 형성된다. 이와 같이 소정의 층에 소정의 패턴이 형성되는 공정이 복수회 반복하여 행해져, 다층 배선 구조의 반도체 디바이스가 제조된다.
그런데, 이와 같이 웨이퍼 상에 소정의 패턴이 반복하여 형성되는 경우, n층째에 소정의 패턴이 형성된 후에, (n+1)층째의 레지스트막이 적절한 높이로 형성되기 위해서는, 레지스트액이 도포되는 면이 평탄한 것이 필요해진다.
따라서 종래부터, 웨이퍼의 소정의 패턴 상에 유기막을 형성하고, 그 표면을 평탄화하는 것이 행해지고 있다. 이러한 유기막의 형성은, 웨이퍼 상에 유기 재료를 도포하고, 도포된 유기 재료를 가열하여 유기막을 형성하고, 또한 예를 들어 건식 에칭법(반응성 이온 에칭법)에 의해 유기막을 에치백하여 당해 유기막의 표면을 제거함으로써 행해진다(특허문헌 1). 또한 유기막으로서는, 예를 들어 SOC(Spin On Cap)막이나 SOG(Spin On Glass)막 등이 사용된다.
일본 특허 공개 제2003-218116호 공보
상술한 특허문헌 1에 기재된 방법을 사용한 경우, 유기 재료의 도포와 유기 재료의 가열은 각각 상압 분위기하에서 행해지는 것에 반해, 유기막의 에치백은 진공 분위기하에서 행해진다. 그렇게 하면, 이들 상압 분위기하의 처리와 진공 분위기하의 처리를 각각의 시스템에서 행하여, 시스템 사이에서 웨이퍼를 반송할 필요가 있다. 이로 인해, 시스템의 제조 비용이 고액화되고, 또한 웨이퍼 처리의 스루풋도 저하된다.
또한, 유기막의 에치백을 건식 에칭법으로 행한 경우, 플라즈마에 의해 웨이퍼나 웨이퍼 상의 막이 플라즈마에 의해 손상을 입을 우려가 있다. 또한 이 플라즈마에 의해, 웨이퍼 상의 막이 개질될 우려도 있다.
본 발명은, 이러한 점에 비추어 이루어진 것이며, 표면에 패턴이 형성된 기판 상에 유기막을 적절하고 또한 효율적으로 형성하는 것을 목적으로 한다.
상기한 목적을 달성하기 위해, 본 발명은, 표면에 패턴이 형성된 기판 상에 유기막을 형성하는 성막 방법이며, 기판 상에 유기 재료를 도포하는 도포 처리 공정과, 그 후, 상기 유기 재료를 열처리하여 기판 상에 유기막을 형성하는 열처리 공정과, 그 후, 상기 유기막에 대해 자외선 조사 처리를 행하여, 당해 유기막의 표면을 소정의 깊이까지 제거하는 자외선 조사 공정을 갖는다.
본 발명에 따르면, 자외선 조사 공정에 있어서 유기막에 대해 자외선 조사 처리를 행한다. 즉, 자외선을 조사함으로써 처리 분위기 중에 활성 산소와 오존이 발생하고, 이들 활성 산소와 오존에 의해 유기막의 표면이 분해되어 제거된다. 그리고, 패턴이 형성된 영역에 있어서의 표면 높이와, 패턴의 오목부가 형성된 영역의 표면 높이의 차가 소정의 범위 내로 되도록, 유기막의 표면이 제거된다. 그렇게 하면, 표면에 패턴이 형성된 기판 상에 유기막이 형성되는 경우라도, 당해 유기막의 표면을 평탄화할 수 있다.
또한, 자외선 조사 처리에 의해 유기막의 표면을 제거하므로, 종래의 건식 에칭법을 행한 경우와 같이 기판이나 기판 상의 막이 손상을 입지 않고, 또한 기판 상의 막이 개질될 우려도 없다. 따라서, 기판 상에 유기막을 적절하게 형성할 수 있다.
또한 본 발명에 따르면, 도포 처리 공정, 열처리 공정 및 자외선 조사 공정을 모두 상압 분위기하에서 행할 수 있어, 이 공정을 하나의 시스템에서 행할 수 있다. 따라서, 시스템의 제조 비용을 저렴화할 수 있고, 또한 기판 처리의 스루풋을 향상시킬 수 있다.
다른 관점에 의한 본 발명은, 상기 성막 방법을 성막 시스템에 의해 실행시키도록, 당해 성막 시스템을 제어하는 제어부의 컴퓨터상에서 동작하는 프로그램을 저장한 판독 가능한 컴퓨터 기억 매체이다.
또한 다른 관점에 의한 본 발명은, 표면에 패턴이 형성된 기판 상에 유기막을 형성하는 성막 시스템이며, 기판 상에 유기 재료를 도포 처리하는 도포 처리부와, 상기 유기 재료를 열처리하여 기판 상에 유기막을 형성하는 열처리부와, 상기 유기막에 대해 자외선 조사 처리를 행하는 자외선 조사부와, 상기 도포 처리, 상기 열처리 및 상기 자외선 조사 처리를 이 순서로 행하고, 상기 자외선 조사 처리에 있어서 상기 유기막의 표면을 소정의 깊이까지 제거하도록, 상기 도포 처리부, 상기 열처리부 및 상기 자외선 조사부를 제어하는 제어부를 갖는다.
본 발명에 따르면, 표면에 패턴이 형성된 기판 상에 유기막을 적절하고 또한 효율적으로 형성할 수 있다.
도 1은 본 실시 형태에 관한 성막 시스템의 구성의 개략을 도시하는 평면도이다.
도 2는 본 실시 형태에 관한 성막 시스템의 내부 구성의 개략을 도시하는 측면도이다.
도 3은 본 실시 형태에 관한 성막 시스템의 내부 구성의 개략을 도시하는 측면도이다.
도 4는 도포 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 5는 도포 처리 장치의 구성의 개략을 도시하는 횡단면도이다.
도 6은 웨이퍼 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 7은 웨이퍼 처리 장치의 구성의 개략을 도시하는 횡단면도이다.
도 8은 성막 시스템에서 처리되기 전의 웨이퍼의 상태를 도시한 설명도이다.
도 9는 성막 처리의 각 공정에 있어서의 웨이퍼의 상태를 도시한 설명도로, (a)는 웨이퍼 상에 유기 재료가 도포된 모습을 나타내고, (b)는 1회째의 가열 처리가 행해져 웨이퍼 상에 유기막이 형성된 모습을 나타내고, (c)는 1회째의 자외선 조사 처리가 행해져 유기막의 표면이 제거된 모습을 나타내고, (d)는 2회째의 가열 처리가 행해져 웨이퍼 상에 유기막이 형성된 모습을 나타내고, (e)는 2회째의 자외선 조사 처리가 행해져 유기막의 표면이 제거된 모습을 나타내고, (f)는 n회째의 자외선 조사 처리가 행해져 유기막의 표면이 제거된 모습을 나타내고, (g)는 웨이퍼 상에 소정의 유기막이 형성된 모습을 나타내고 있다.
도 10은 다른 실시 형태에 관한 웨이퍼 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 11은 다른 실시 형태에 관한 성막 시스템의 내부 구성의 개략을 도시하는 측면도이다.
도 12는 다른 실시 형태에 관한 웨이퍼 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 13은 다른 실시 형태에 관한 웨이퍼 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 14는 막 두께 측정 장치의 구성의 개략을 도시하는 종단면도이다.
이하, 본 발명의 실시 형태에 대해 설명한다. 도 1은, 본 실시 형태에 관한 성막 시스템(1)의 구성의 개략을 도시하는 평면도이다. 도 2 및 도 3은, 성막 시스템(1)의 내부 구성의 개략을 도시하는 측면도이다. 또한, 본 실시 형태의 성막 시스템(1)에서는, 기판으로서의 웨이퍼(W) 상에 SOC막인 유기막을 형성하는 경우에 대해 설명한다. 또한, 성막 시스템(1)에서 처리되는 웨이퍼(W) 상에는, 미리 SiO2막 등의 소정의 패턴이 형성되어 있다.
성막 시스템(1)은, 도 1에 도시하는 바와 같이 복수, 예를 들어 25매의 웨이퍼(W)를 카세트 단위로 외부와 성막 시스템(1) 사이에서 반입출하거나, 카세트(C)에 대해 웨이퍼(W)를 반입출하는 카세트 스테이션(2)과, 웨이퍼(W)에 소정의 처리를 실시하는 복수의 처리 장치를 구비한 처리 스테이션(3)을 일체로 접속한 구성을 갖고 있다.
카세트 스테이션(2)에는, 카세트 적재대(10)가 설치되어 있다. 카세트 적재대(10)는, 복수의 카세트(C)를 X 방향(도 1 중의 상하 방향)으로 1열로 적재 가능하게 되어 있다. 즉, 카세트 스테이션(2)은 복수의 웨이퍼(W)를 보유 가능하게 구성되어 있다.
카세트 스테이션(2)에는, X 방향으로 연신되는 반송로(11) 상을 이동 가능한 웨이퍼 반송체(12)가 설치되어 있다. 웨이퍼 반송체(12)는, 연직 방향 및 연직 주위(θ 방향)로도 이동 가능하며, 카세트(C)와 처리 스테이션(3) 사이에서 웨이퍼(W)를 반송할 수 있다.
처리 스테이션(3)에는, 그 중심부에 웨이퍼 반송 장치(20)가 설치되어 있다. 이 웨이퍼 반송 장치(20)의 주변에는, 각종 처리 장치가 다단으로 배치된, 예를 들어 4개의 처리 블록(G1∼G4)이 배치되어 있다. 처리 스테이션(3)의 정면측(도 1의 X 방향 부방향측)에는, 카세트 스테이션(2)측으로부터 제1 처리 블록(G1), 제2 처리 블록(G2)이 차례로 배치되어 있다. 처리 스테이션(3)의 배면측(도 1의 X 방향 정방향측)에는, 카세트 스테이션(2)측으로부터 제3 처리 블록(G3), 제4 처리 블록(G4)이 차례로 배치되어 있다. 처리 스테이션(3)의 카세트 스테이션(2)측에는, 웨이퍼(W)의 전달을 행하기 위한 전달 장치(21)가 배치되어 있다. 웨이퍼 반송 장치(20)는, 이들 처리 블록(G1∼G4) 내에 배치된 후술하는 각종 처리 장치 및 전달 장치(21)에 대해 웨이퍼(W)를 반송할 수 있다.
제1 처리 블록(G1)에는, 도 2에 도시하는 바와 같이 복수의 액처리 장치, 예를 들어 웨이퍼(W)에 유기막을 형성하기 위한 유기 재료를 도포하는 도포 처리부로서의 도포 처리 장치(30, 31)가 아래로부터 차례로 2단으로 겹쳐져 있다. 제2 처리 블록(G2)도 마찬가지로, 도포 처리 장치(32, 33)가 아래로부터 차례로 2단으로 겹쳐져 있다. 또한, 제1 처리 블록(G1) 및 제2 처리 블록(G2)의 최하단에는, 도포 처리 장치(30∼33)에 유기 재료를 공급하기 위한 케미컬실(34, 35)이 각각 설치되어 있다. 또한 유기 재료는, 예를 들어 유기막인 SOC막의 조성물을 소정의 용매에 용해시킨 액체이다.
제3 처리 블록(G3)에는, 도 3에 도시하는 바와 같이 웨이퍼(W)에 대해 열처리를 행함과 함께, 웨이퍼(W)에 대해 자외선 조사 처리를 행하는 웨이퍼 처리 장치(40, 41, 42), 웨이퍼(W)의 온도를 조절하는 온도 조절 장치(43, 44)가 아래로부터 차례로 5단으로 겹쳐져 있다.
제4 처리 블록(G4)에도, 제3 처리 블록(G3)과 마찬가지로, 웨이퍼 처리 장치(50, 51, 52), 온도 조절 장치(53, 54)가 아래로부터 차례로 5단으로 겹쳐져 있다.
다음으로, 상술한 도포 처리 장치(30∼33)의 구성에 대해 설명한다. 도포 처리 장치(30)는, 도 4에 도시하는 바와 같이 내부를 밀폐 가능한 처리 용기(100)를 갖고 있다. 처리 용기(100)의 웨이퍼 반송 장치(20)측의 측면에는, 웨이퍼(W)의 반입출구(도시하지 않음)가 형성되고, 당해 반입출구에는 개폐 셔터(도시하지 않음)가 설치되어 있다.
처리 용기(100) 내의 중앙부에는, 웨이퍼(W)를 보유 지지하여 회전시키는 스핀 척(110)이 설치되어 있다. 스핀 척(110)은, 수평한 상면을 갖고, 당해 상면에는, 예를 들어 웨이퍼(W)를 흡인하는 흡인구(도시하지 않음)가 설치되어 있다. 이 흡인구로부터의 흡인에 의해, 웨이퍼(W)를 스핀 척(110) 상에 흡착 보유 지지할 수 있다.
스핀 척(110)의 하방에는, 예를 들어 모터 등을 구비한 척 구동부(111)가 설치되어 있다. 스핀 척(110)은, 척 구동부(111)에 의해 소정의 속도로 회전할 수 있다. 또한, 척 구동부(111)에는, 예를 들어 실린더 등의 승강 구동원이 설치되어 있고, 스핀 척(110)은 승강 가능하게 되어 있다.
스핀 척(110)의 주위에는, 웨이퍼(W)로부터 비산 또는 낙하하는 액체를 받아내어, 회수하는 컵(112)이 설치되어 있다. 컵(112)의 하면에는, 회수한 액체를 배출하는 배출관(113)과, 컵(112) 내의 분위기를 진공화하여 배기하는 배기관(114)이 접속되어 있다.
도 5에 도시하는 바와 같이, 컵(112)의 X 방향 부방향(도 5 중의 하측 방향)측에는, Y 방향(도 5 중의 좌우 방향)을 따라 연신되는 레일(120)이 형성되어 있다. 레일(120)은, 예를 들어 컵(112)의 Y 방향 부방향(도 5 중의 좌측 방향)측의 외측으로부터 Y 방향 정방향(도 5 중의 우측 방향)측의 외측까지 형성되어 있다. 레일(120)에는, 아암(121)이 장착되어 있다.
아암(121)에는, 도 4 및 도 5에 도시하는 바와 같이, 웨이퍼(W) 상에 유기 재료를 공급하는 도포 노즐(122)이 지지되어 있다. 아암(121)은, 도 5에 도시하는 노즐 구동부(123)에 의해, 레일(120) 상을 이동 가능하다. 이에 의해, 도포 노즐(122)은 컵(112)의 Y 방향 정방향측의 외측에 설치된 대기부(124)로부터 컵(112) 내의 웨이퍼(W)의 중심부 상방까지 이동할 수 있고, 또한 당해 웨이퍼(W) 상을 웨이퍼(W)의 직경 방향으로 이동할 수 있다. 또한, 아암(121)은 노즐 구동부(123)에 의해 승강 가능하며, 도포 노즐(122)의 높이를 조절할 수 있다.
도포 노즐(122)에는, 도 4에 도시하는 바와 같이, 당해 도포 노즐(122)에 유기 재료를 공급하는 공급관(125)이 접속되어 있다. 공급관(125)은, 내부에 유기 재료를 저류하는 유기 재료 공급원(126)에 연통되어 있다. 또한, 공급관(125)에는, 유기 재료의 흐름을 제어하는 밸브나 유량 조절부 등을 포함하는 공급 기기군(127)이 설치되어 있다.
또한, 스핀 척(110)의 하방에는, 웨이퍼(W)의 이면을 향해 세정액을 분사하는 백 린스 노즐(도시하지 않음)이 설치되어 있어도 된다. 이 백 린스 노즐로부터 분사되는 세정액에 의해, 웨이퍼(W)의 이면과 웨이퍼(W)의 외주부가 세정된다.
또한, 도포 처리 장치(31∼33)의 구성은, 상술한 도포 처리 장치(30)의 구성과 마찬가지이므로 설명을 생략한다.
다음으로, 상술한 웨이퍼 처리 장치(40∼42, 50∼52)의 구성에 대해 설명한다. 웨이퍼 처리 장치(40)는, 도 6 및 도 7에 도시하는 바와 같이 내부를 폐쇄 가능한 처리 용기(130)를 갖고 있다. 처리 용기(130)의 웨이퍼 반송 장치(20)측의 측면에는, 웨이퍼(W)의 반입출구(도시하지 않음)가 형성되고, 당해 반입출구에는 개폐 셔터(도시하지 않음)가 설치되어 있다.
처리 용기(130)의 천장면에는, 당해 처리 용기(130)의 내부에, 예를 들어 산화성 가스를 공급하는 가스 공급구(131)가 형성되어 있다. 가스 공급구(131)에는, 가스 공급원(132)에 연통되는 가스 공급관(133)이 접속되어 있다. 가스 공급관(133)에는, 산화성 가스의 흐름을 제어하는 밸브나 유량 조절부 등을 포함하는 공급 기기군(134)이 설치되어 있다.
또한, 본 실시 형태에 있어서 산화성 가스에는, 통상의 대기보다도 산소 농도가 높은 가스가 사용된다. 단, 처리 용기(130) 내에 특정 가스를 공급하지 않고 당해 처리 용기(130)의 내부를 대기 분위기로 해도 되고, 이러한 경우에는, 상기 가스 공급구(131), 가스 공급원(132), 가스 공급관(133), 공급 기기군(134)을 생략해도 된다.
또한, 도시한 예에 있어서는, 가스 공급구(131)는 후술하는 제1 열처리부(140)측에 설치되어 있지만, 자외선 조사부(142)측에 설치되어 있어도 된다. 이러한 경우, 가스 공급구(131)로부터 산화성 가스가 공급됨으로써 다운 플로우가 발생하여, 후술하는 바와 같이 자외선 조사 처리에 의해 웨이퍼(W) 상의 유기막의 표면을 제거할 때, 유기막으로부터 발생하는 승화물 등이 자외선 조사부(142)에 부착되는 것을 억제할 수 있다.
처리 용기(130)의 저면에는, 당해 처리 용기(130)의 내부의 분위기를 흡인하는 흡기구(135)가 형성되어 있다. 흡기구(135)에는, 예를 들어 진공 펌프 등의 부압 발생 장치(136)에 연통되는 흡기관(137)이 접속되어 있다.
처리 용기(130)의 내부에는, 제1 열처리부(140), 다른 열처리부로서의 제2 열처리부(141), 자외선 조사부(142)가 설치되어 있다. 제1 열처리부(140)와 제2 열처리부(141)는, Y 방향으로 나란히 배치되고, 자외선 조사부(142)는 제2 열처리부(141)의 상방에 배치되어 있다.
제1 열처리부(140)는, 도포 처리 장치(30∼33)에 있어서 웨이퍼(W) 상에 도포된 유기 재료를 가열하여, 당해 웨이퍼(W) 상에 유기막을 형성한다.
제1 열처리부(140)는, 열판(150)을 수용하여 열판(150)의 외주부를 보유 지지하는 환상의 보유 지지 부재(151)와, 그 보유 지지 부재(151)의 외주를 둘러싸는 대략 통 형상의 서포트 링(152)을 구비하고 있다. 열판(150)은, 두께가 있는 대략 원반 형상을 갖고, 웨이퍼(W)를 적재하여 가열할 수 있다. 또한, 열판(150)에는, 예를 들어 가열 기구(153)가 내장되어 있다. 가열 기구(153)에는, 예를 들어 히터가 사용된다. 열판(150)의 가열 온도는, 예를 들어 제어부(200)에 의해 제어되고, 열판(150) 상에 적재된 웨이퍼(W)가 소정의 온도로 가열된다.
열판(150)의 하방에는, 웨이퍼(W)를 하방으로부터 지지하여 승강시키기 위한 승강 핀(160)이 예를 들어 3개 설치되어 있다. 승강 핀(160)은, 승강 구동부(161)에 의해 상하 이동할 수 있다. 열판(150)의 중앙부 부근에는, 당해 열판(150)을 두께 방향으로 관통하는 관통 구멍(162)이 예를 들어 3개소에 형성되어 있다. 그리고, 승강 핀(160)은 관통 구멍(162)을 삽입 관통하여, 열판(150)의 상면으로부터 돌출 가능하게 되어 있다.
제2 열처리부(141)는, 제1 열처리부(140)에 있어서 열처리를 행한 후, 자외선 조사부(142)에 의한 자외선 조사 처리를 행할 때, 웨이퍼(W) 상의 유기막을 가열한다.
제2 열처리부(141)는, 제1 열처리부(140)와 마찬가지의 구성을 갖고 있다. 즉, 제2 열처리부(141)는, 열처리판으로서의 열판(170), 보유 지지 부재(171), 서포트 링(172), 가열 기구(173)를 갖고 있다. 또한, 열판(170)의 하방에는 승강 핀(180), 승강 구동부(181)가 설치되고, 열판(170)의 중앙부 부근에는 관통 구멍(182)이 형성되어 있다.
자외선 조사부(142)는, 예를 들어 172㎚의 파장의 자외선을 조사한다. 그리고, 제1 열처리부(140)에 있어서 열처리를 행한 후, 자외선 조사부(142)는 웨이퍼(W) 상의 유기막에 대해 자외선 조사 처리를 행한다. 또한, 도시한 예에 있어서 자외선 조사부(142)는 처리 용기(130)의 천장면에 지지되어 설치되어 있지만, 당해 자외선 조사부(142)는 처리 용기(130)의 천장면에 설치된 유리 창(도시하지 않음) 상에 설치되어 있어도 된다. 이러한 경우, 자외선 조사부(142)로부터 조사된 자외선은 유리 창을 통해 처리 용기(130)의 내부에 진입한다.
또한, 처리 용기(130)의 내부에는, 제1 열처리부(140)와 제2 열처리부(141) 사이에서 웨이퍼(W)를 반송하는 반송 기구(도시하지 않음)가 설치되어 있다. 혹은, 이 반송 기구 대신에, 웨이퍼 반송 장치(20)에 의해 제1 열처리부(140)와 제2 열처리부(141) 사이에서 웨이퍼(W)를 반송해도 된다.
또한, 웨이퍼 처리 장치(41, 42, 50∼52)의 구성은, 상술한 웨이퍼 처리 장치(40)의 구성과 마찬가지이므로 설명을 생략한다.
이상의 성막 시스템(1)에는, 도 1에 도시하는 바와 같이, 제어부(200)가 설치되어 있다. 제어부(200)는, 예를 들어 컴퓨터이며, 프로그램 저장부(도시하지 않음)를 갖고 있다. 프로그램 저장부에는, 성막 시스템(1)에 있어서의 성막 처리를 실행하는 프로그램이 저장되어 있다. 또한, 상기 프로그램은, 예를 들어 컴퓨터 판독 가능한 하드 디스크(HD), 플렉시블 디스크(FD), 콤팩트 디스크(CD), 마그네토 옵티컬 디스크(MO), 메모리 카드 등의 컴퓨터에 판독 가능한 기억 매체(H)에 기록되어 있던 것이며, 그 기억 매체(H)로부터 제어부(200)에 인스톨된 것이어도 된다.
본 실시 형태에 관한 성막 시스템(1)은 이상과 같이 구성되어 있다. 다음으로, 그 성막 시스템(1)에서 행해지는 유기막을 성막하는 처리에 대해 설명한다. 도 8은 성막 시스템(1)에서 처리되기 전의 웨이퍼(W) 상태를 나타내고, 도 9는 성막 처리의 각 공정에 있어서의 웨이퍼(W)의 상태를 나타내고 있다.
성막 시스템(1)에서 처리되는 웨이퍼(W) 상에는, 도 8에 도시하는 바와 같이, 미리 SiO2막 등의 소정의 패턴(P)이 형성되어 있다. 웨이퍼(W) 상에 있어서 패턴(P)은 소밀하게 형성되어 있고, 웨이퍼(W) 상에는, 패턴(P)의 오목부가 형성되지 않고, 막[패턴(P)]이 웨이퍼(W)의 표면을 덮는 제1 영역(A)과, 패턴(P, P) 사이에 오목부(Q)가 형성된 제2 영역(B)이 형성되어 있다. 즉, 제1 영역(A)은 소위 블랭킷 영역이고, 제2 영역(B)은 예를 들어 라인 앤 스페이스의 패턴(P)이 형성된 영역이다.
우선, 웨이퍼 반송체(12)에 의해, 카세트 적재대(10) 상의 카세트(C)로부터 웨이퍼(W)가 취출되어, 처리 스테이션(3)의 전달 장치(21)에 반송된다. 그 후, 웨이퍼(W)는 웨이퍼 반송 장치(20)에 의해 온도 조절 장치(43)로 반송되어, 소정의 온도로 온도 조절된다.
그 후, 웨이퍼(W)는, 웨이퍼 반송 장치(20)에 의해 도포 처리 장치(30)로 반송된다. 도포 처리 장치(30)에 반입된 웨이퍼(W)는, 웨이퍼 반송 장치(20)로부터 스핀 척(110)에 전달되어 흡착 보유 지지된다. 계속해서, 아암(121)에 의해 대기부(124)의 도포 노즐(122)을 웨이퍼(W)의 중심부의 상방까지 이동시킨다. 그 후, 스핀 척(110)에 의해 웨이퍼(W)를 회전시키면서, 도포 노즐(122)로부터 웨이퍼(W) 상에 유기 재료를 공급한다. 공급된 유기 재료는 원심력에 의해 웨이퍼(W)의 표면 전체면으로 확산되어, 당해 웨이퍼(W) 상에 유기 재료가 도포된다(공정 S1).
이때, 도 9의 (a)에 도시하는 바와 같이 웨이퍼(W) 상에 도포된 유기 재료(L)의 표면 장력이나 점도에 기인하여 제2 영역(B)의 유기 재료(L)(이하, 「유기 재료(LB)」라 함)는, 제1 영역(A)의 유기 재료(L)(이하, 「유기 재료(LA)」라 함)에 비해 우묵하게 들어간다. 즉, 유기 재료(LB)의 패턴(P) 표면으로부터의 높이 HB1은, 유기 재료(LA)의 패턴(P) 표면으로부터의 높이 HA1보다 낮아진다. 그리고, 유기 재료(LA)와 유기 재료(LB) 사이에서 단차 D1이 발생한다.
그 후, 웨이퍼(W)는 웨이퍼 반송 장치(20)에 의해 웨이퍼 처리 장치(40)로 반송된다. 이때, 웨이퍼 처리 장치(40)의 내부는, 산화성 가스의 상압 분위기로 유지되어 있다. 웨이퍼 처리 장치(40)에 반입된 웨이퍼(W)는, 우선 제1 열처리부(140)로 반송되어, 미리 상승하여 대기하고 있던 승강 핀(160)에 전달된다. 계속해서, 승강 핀(160)이 하강하여, 웨이퍼(W)는 열판(150) 상에 적재된다. 그리고 열판(150) 상의 웨이퍼(W)는 소정의 온도, 예를 들어 300℃로 가열된다. 웨이퍼(W)가 소정의 시간 가열되면, 웨이퍼(W) 상의 유기 재료(L)가 가열되어, 도 9의 (b)에 도시하는 바와 같이 웨이퍼(W) 상에 유기막(F)이 형성된다(공정 S2). 또한, 제1 영역(A)의 유기막(F)(이하, 「유기막(FA)」라 하는 경우가 있음)과 제2 영역(B)의 유기막(F)(이하, 「유기막(FB)」라 하는 경우가 있음) 사이에는, 상술한 단차 D1이 발생되어 있다.
그 후, 웨이퍼(W)는 제2 열처리부(141)로 반송되어, 미리 상승하여 대기하고 있던 승강 핀(180)에 전달된다. 계속해서 승강 핀(180)이 하강하여, 웨이퍼(W)는 열판(170) 상에 적재된다. 그리고 열판(170) 상의 웨이퍼(W)는, 소정의 온도, 예를 들어 300℃로 가열된다.
또한, 웨이퍼(W)가 열판(170) 상에 적재되면, 자외선 조사부(142)로부터 172㎚의 파장의 자외선을 조사한다. 조사된 자외선에 의해, 처리 용기(130) 내의 산화성 가스의 처리 분위기 중에 활성 산소와 오존이 발생한다. 이들 활성 산소와 오존에 의해, 유기막(F)의 표면이 분해되어 제거된다(공정 S3). 즉, 유기막(F)의 에치백이 행해진다.
이와 같이 유기막(F)의 표면의 제거는, 열판(170)에 의해 유기막(F)을 가열하면서, 자외선 조사부(142)로부터 자외선을 조사함으로써 행해진다. 그리고, 도 9의 (c)에 도시하는 바와 같이, 유기막(F)의 표면의 제거는, 유기막(FA)이 완전히 제거되는 소정의 깊이까지, 즉 높이 HA1분의 유기막(F)의 표면이 제거된다. 그렇게 하면, 패턴(P)의 표면이 노출되어, 제1 영역(A)에는 유기막(FA)이 존재하지 않고, 제2 영역(B)에 있어서 패턴(P)의 오목부(Q) 내에 높이 HC1(=HA1-HB1)의 유기막(FB)이 잔존한다.
또한, 자외선 조사부(142)에 의한 자외선 처리를 행할 때, 유기막(F)을 가열함으로써, 유기막(F)의 표면의 제거를 단시간에 효율적으로 행할 수 있다. 예를 들어, 상온(23℃)의 유기막(F)의 표면을 100㎚ 제거하는 경우, 자외선 조사 처리를 10분간 행할 필요가 있는 것에 반해, 본 실시 형태와 같이 300℃로 유기막(F)을 가열하면서 당해 유기막(F)의 표면을 100㎚ 제거하는 경우, 예를 들어 자외선 조사 처리는 30초간만 행해도 된다.
또한, 자외선 조사부(142)로부터 조사하는 자외선의 파장은, 특별히 한정되는 것은 아니지만, 본 실시 형태와 같이 172㎚가 바람직하다. 자외선의 파장이 짧을수록, 자외선 조사 처리를 행할 때의 파워가 커, 효율적으로 유기막(F)의 표면을 제거할 수 있지만, 당해 파장이 짧은 자외선은 처리 용기(130) 내에 존재하는 물질에 흡수되기 쉬워진다. 따라서, 유기막(F)의 표면을 제거하는 효율과 물질에 흡수되기 어려움의 밸런스를 고려하여, 자외선의 파장은 172㎚가 바람직하다.
그 후, 웨이퍼(W)는, 웨이퍼 반송 장치(20)에 의해 온도 조절 장치(44)로 반송되어, 소정의 온도로 온도 조절된다.
이상과 같이 공정 S1에 있어서의 웨이퍼(W) 상에의 유기 재료(L)의 도포 처리, 공정 S2에 있어서의 웨이퍼(W) 상의 유기 재료(L)의 가열 처리, 공정 S3에 있어서의 웨이퍼(W) 상의 유기막(F)의 표면 제거 처리가 순차 행해져, 웨이퍼(W) 상에 유기막(F)이 형성된다. 그리고, 이들 공정 S1∼S3이 복수회, 예를 들어 n회 행해진다. 또한, 각 회의 공정 S3 후에는 온도 조절 장치(43, 44, 53, 54)에 있어서의 웨이퍼(W)의 온도 조절이 행해지지만, 이하에 있어서는 설명을 생략한다.
다음으로 2회째의 공정 S1∼S3에 대해 설명한다. 또한, 2회째의 공정 S1∼S3은, 각각 1회째의 공정 S1∼S3과 마찬가지의 공정이며, 이하의 설명에서는 요점에 대해서만 설명한다.
2회째의 공정 S1에서는, 도포 처리 장치(31)에 있어서 웨이퍼(W) 상에 유기 재료(L)가 도포된다. 이 2회째의 공정 S1에 있어서는, 1회째의 공정 S1에 비해, 유기 재료(L)가 얇은 막 두께로 도포된다. 구체적으로는, 예를 들어 스핀 척(110)의 회전수를 크게 하거나, 혹은 웨이퍼(W) 상에 공급하는 유기 재료(L)의 공급량을 적게 하거나 하여, 2회째의 유기 재료(L)의 막 두께를 1회째의 유기 재료(L)의 막 두께보다 얇게 한다. 그리고, 후술하는 도 9의 (d)에 도시하는 바와 같이 2회째의 유기막(FA, FB)[유기 재료(LA, LB)]의 높이 HA2, HB2는, 1회째의 유기막(FA, FB)의 높이 HA1, HB1보다도 작아진다.
그 후, 2회째의 공정 S2에서는, 웨이퍼 처리 장치(41)의 제1 열처리부(140)에 있어서 웨이퍼(W) 상의 유기 재료(L)가 가열되어, 도 9의 (d)에 도시하는 바와 같이 웨이퍼(W) 상에 유기막(F)이 형성된다. 이때, 유기막(FA)과 유기막(FB) 사이에는, 단차 D2가 발생되어 있다. 단, 2회째의 공정 S1에 있어서 유기 재료(L)의 막 두께를 얇게 한 만큼, 이 단차 D2는 상술한 1회째의 단차 D1보다도 작게 되어 있다.
그 후, 2회째의 공정 S3에서는, 웨이퍼 처리 장치(41)의 제2 열처리부(141)에 있어서 웨이퍼(W) 상의 유기막(F)을 가열하면서, 자외선 조사부(142)로부터 자외선을 조사함으로써, 도 9의 (e)에 도시하는 바와 같이 유기막(F)의 표면이 제거된다. 유기막(F)의 표면의 제거는, 유기막(FA)이 완전히 제거될 때까지, 즉 높이 HA2분의 유기막(F)의 표면이 제거된다. 그렇게 하면, 제1 영역(A)에는 유기막(FA)이 존재하지 않고, 제2 영역(B)에 있어서 패턴(P)의 오목부(Q) 내에 높이 HC2(=HA1-HB1)의 유기막(FB)이 잔존한다. 또한, 2회째의 공정 S3 후에 잔존하는 유기막(FB)의 높이 HC2는, 1회째의 공정 S3 후에 잔존하는 유기막(FB)의 높이 HC1보다도 크게 되어 있다. 즉, 공정 S1∼S3의 횟수를 거듭할 때마다, 패턴(P)의 오목부(Q)에 유기막(FB)이 저류되어 간다.
이상의 2회째의 공정 S1∼S3과 마찬가지로, 3회째∼n회째의 공정 S1∼S3을 행한다. 그렇게 하면, 유기막(FA)과 유기막(FB) 사이의 단차 D3∼Dn은 작아져, 최종적으로는 단차 Dn은 거의 제로로 된다. 그렇게 하면, 도 9의 (f)에 도시하는 바와 같이 유기막(FB)의 표면의 높이와 패턴(P)의 표면의 높이가 동일해진다. 또한, 단차 Dn은 완전히 제로가 아니어도, 요구되는 소정의 범위 내에 포함되어 있으면 된다.
그 후, 도포 처리 장치(32)에 있어서 웨이퍼(W) 상에 소정의 막 두께의 유기 재료(L)를 도포하고, 웨이퍼 처리 장치(42)의 제1 열처리부(140)에 있어서 웨이퍼(W) 상의 유기 재료(L)를 가열한다. 이와 같이 하여, 도 9의 (g)에 도시하는 바와 같이 웨이퍼(W) 상에 소정의 막 두께이며, 또한 표면이 평탄화된 유기막(F)이 형성된다.
또한 본 실시 형태에서는, 유기막(F)의 형성시에, 마지막으로 공정 S1 및 S2를 행하여, 유기 재료(L)를 도포, 가열하고 종료하고 있었지만, 공정 S3을 행하여 유기막(F)의 표면을 제거하고 종료해도 된다. 어느 공정에서 종료할지는, 요구되는 제품의 사양에 따라서 결정하면 된다. 또한, 유기막(F)의 표면을 제거하고 종료하는 경우, 유기막(F)의 막 두께가 소정의 막 두께로 되도록 유기막(F)의 표면을 제거하면 되고, 예를 들어 도 9의 (g)에 도시한 상태까지 유기막(F)의 표면을 제거해도 된다.
그 후, 웨이퍼(W)는, 웨이퍼 반송 장치(20)에 의해 전달 장치(21)로 반송되고, 웨이퍼 반송체(12)에 의해 카세트(C)로 복귀된다. 이와 같이 하여 성막 시스템(1)에 있어서의 일련의 성막 처리가 종료된다.
이상의 실시 형태에 의하면, 공정 S3에 있어서, 자외선 조사부(142)로부터 자외선을 조사함으로써 처리 분위기 중에 활성 산소와 오존을 발생시켜, 이들 활성 산소와 오존에 의해 웨이퍼(W) 상의 유기막(F)의 표면을 제거할 수 있다. 그리고, 공정 S1∼S3을 반복하여 행함으로써, 유기막(FA)과 유기막(FB) 사이의 단차 Dn이 거의 제로로 되어, 웨이퍼(W) 상의 유기막(F)의 표면을 평탄화할 수 있다. 그 결과, 다양한 막 두께(예를 들어 수십 ㎛∼수십 ㎚)의 유기막(F)을 웨이퍼(W) 상에 형성할 수 있다.
또한 공정 S3에서는, 자외선 조사부(142)로부터 자외선을 조사할 때, 열판(170)에 의해 유기막(F)을 가열하므로, 유기막(F)의 표면의 제거를 단시간에 효율적으로 행할 수 있다.
또한 공정 S3에서는, 열판(170)에 의해 유기막(F)을 가열하면서, 자외선 조사부(142)로부터 자외선을 조사함으로써 유기막(F)의 표면을 제거하므로, 종래의 건식 에칭법을 행한 경우와 같이 웨이퍼(W), 혹은 웨이퍼(W) 상의 패턴(P)이나 Low-K막 등의 층간 절연막 등이 손상을 입지 않고, 또한 패턴(P)이나 층간 절연막 등이 개질될 우려도 없다. 따라서, 웨이퍼(W) 상에 유기막(F)을 적절하게 형성할 수 있다.
또한 유기막(F)의 표면을 제거할 때, 종래의 건식 에칭법을 행한 경우, 표면 제거의 정밀도는 수 ㎚ 레벨이었다. 이에 대해, 발명자들이 예의 검토한 결과, 본 실시 형태와 같이 공정 S3에 있어서 자외선 조사 처리를 행하는 경우, 표면 제거의 정밀도를 0.1㎚ 레벨로 할 수 있는 것을 알 수 있었다. 따라서, 본 실시 형태에 의하면, 유기막(F)의 표면 제거의 정밀도를 향상시킬 수 있다.
또한 유기막(F)의 표면을 제거할 때, 종래의 건식 에칭법을 행한 경우, 마이크로로딩 효과의 영향을 받아, 패턴(P)이 소하게 형성되어 있는 부분에서는 표면 제거 속도(에칭 레이트)가 크고, 패턴(P)이 밀하게 형성되어 있는 부분에서는 표면 제거 속도가 작아진다. 이로 인해, 유기막(F)의 표면을 균일하게 제거할 수 없어, 당해 유기막(F)의 표면을 평탄화할 수 없다. 이에 반해, 본 실시 형태와 같이 공정 S3에서는, 균일한 처리 분위기 중에서 자외선 조사 처리가 행해지므로, 유기막(F)의 표면을 균일하게 제거할 수 있다. 따라서, 유기막(F)의 표면을 평탄화할 수 있다.
또한 본 실시 형태에 의하면, 공정 S1의 유기 재료(L)의 도포 처리, 공정 S2의 유기 재료(L)의 가열 처리, 및 공정 S3의 유기막(F)의 표면의 제거 처리를 모두 상압 분위기하에서 행할 수 있어, 이들 공정을 하나의 성막 시스템(1) 내에서 행할 수 있다. 따라서, 종래와 같이 에치백법을 행하여, 상압 분위기하의 처리와 진공 분위기하의 처리를 각각의 시스템에서 행하는 경우에 비해, 본 실시 형태의 성막 시스템(1)의 제조 비용을 저렴화할 수 있고, 또한 웨이퍼 처리의 스루풋을 향상시킬 수 있다.
또한 본 실시 형태에 의하면, 공정 S3에 있어서 유기막(F)의 표면을 제거할 때, 패턴(P) 사이의 스컴(레지스트 잔차)도 제거할 수 있다. 또한 패턴(P)(레지스트 패턴)의 리워크도 가능해진다.
또한, 이상의 실시 형태의 공정 S3에 있어서, 유기막(F)의 표면의 제거 속도는, 제2 열처리부(141)에 있어서의 열판(170)에 의한 가열 온도에 의해 제어된다. 예를 들어, 가열 온도를 높게 하면, 유기막(F)의 표면의 제거 속도가 커진다.
또한 유기막(F)의 표면의 제거 속도는, 처리 분위기의 산소 농도, 자외선의 조도, 자외선의 조사 시간에 의해서도 제어된다. 예를 들어, 처리 분위기의 산소 농도를 크게 하면, 처리 분위기에 발생하는 활성 산소와 오존이 증가하여, 유기막(F)의 표면의 제거 속도가 커진다. 또한 자외선의 조도를 크게 하면, 유기막(F)의 표면의 제거 속도가 커진다. 또한 자외선의 조사 시간을 길게 하면, 유기막(F)의 표면의 제거 속도가 커진다.
이상의 실시 형태에 있어서, 공정 S1∼S3을 몇 회 반복할지는, 예를 들어 요구되는 유기막(F)의 막 두께나 단차 Dn에 요구되는 소정의 범위 등, 다양한 조건에 따라서 임의로 설정할 수 있다.
또한 이상의 실시 형태에서는, 공정 S1∼S3을 복수회 행하고 있었지만, 1회째의 단차 D1이 요구되는 소정의 범위 내에 포함되는 경우는, 이들 공정 S1∼S3을 1회만 행해도 된다.
이상의 실시 형태에 있어서, 웨이퍼 처리 장치(40)의 내부에는 제1 열처리부(140)와 제2 열처리부(141)가 각각 설치되어 있었지만, 도 10에 도시하는 바와 같이 제1 열처리부(140)를 생략하고, 제2 열처리부(141)가 제1 열처리부(140)를 겸용해도 된다. 이러한 경우, 공정 S2에 있어서 열판(170)에 의해 웨이퍼(W) 상의 유기 재료(L)가 가열된다. 계속해서 공정 S3에 있어서, 열판(170)에 의해 유기막(F)을 가열하면서, 자외선 조사부(142)로부터 자외선을 조사하여 유기막(F)의 표면이 제거된다.
본 실시 형태에 의하면, 제1 열처리부(140)를 생략할 수 있으므로, 웨이퍼 처리 장치(40)의 제조 비용을 저렴화할 수 있음과 함께, 웨이퍼 처리 장치(40)의 전유 면적을 작게 할 수 있다. 특히 공정 S2에 있어서의 가열 온도와 공정 S3에 있어서의 가열 온도가 동일한 경우에는, 열판(170)의 온도를 일정하게 유지할 수 있으므로, 이러한 경우에 본 실시 형태는 유용하다.
이상의 실시 형태에 있어서, 제1 열처리부(140)와 제2 열처리부(141)는 하나의 웨이퍼 처리 장치(40) 내에 설치되어 있었지만, 개별의 장치 내에 설치되어 있어도 된다. 예를 들어, 도 11에 도시하는 바와 같이 제3 처리 블록(G3)에, 제1 열처리부(140)를 구비한 열처리 장치(300, 301), 제2 열처리부(141)와 자외선 조사부(142)를 구비한 웨이퍼 처리 장치(302, 303, 304)를 배치해도 된다. 마찬가지로 제4 처리 블록(G4)에도, 제1 열처리부(140)를 구비한 열처리 장치(310, 311), 제2 열처리부(141)와 자외선 조사부(142)를 구비한 웨이퍼 처리 장치(312, 313, 314)를 배치해도 된다. 또한 도시한 예에 있어서는, 웨이퍼(W)의 온도를 조절하는 온도 조절 장치를 생략하고 있다.
상술한 바와 같이 공정 S3에 있어서의 유기막(F)의 표면의 제거 속도는, 예를 들어 제2 열처리부(141)에 있어서의 열판(170)에 의한 가열 온도에 의해 제어된다. 또한, 공정 S3을 복수회 행하는 경우, 횟수를 거듭하는 것에 수반하여, 각 회에 있어서의 유기막(F)의 막 두께는 작아져, 유기막(FA)과 유기막(FB) 사이의 단차 D는 작아져 가므로, 각 회의 공정 S3에 있어서의 유기막(F)의 표면의 제거 속도를 작게 하는 경우가 있다.
따라서 본 실시 형태에서는, 복수의 웨이퍼 처리 장치(302∼304, 312∼314)를 구비하고 있으므로, 각 웨이퍼 처리 장치(302∼304, 312∼314)에 있어서의 열판(170)의 가열 온도를 다른 온도로 설정할 수 있다. 그렇게 하면 본 실시 형태는, 상술한 바와 같이 각 회의 공정 S3에 있어서의 유기막(F)의 표면의 제거 속도가 다른 경우에도 적용할 수 있다. 즉, 각 열판(170)의 가열 온도를 승강시킬 필요가 없어, 각 열판(170)의 가열 온도를 일정하게 유지할 수 있으므로, 웨이퍼 처리의 스루풋을 더욱 향상시킬 수 있다.
이상의 실시 형태에 있어서, 공정 S3에 있어서의 유기막(F)의 가열 처리는 열판(170)에 의해 행하고 있었지만, 당해 유기막(F)의 가열 처리 방법은 이것에 한정되지 않는다.
공정 S3에 있어서의 유기막(F)의 가열 처리시에, 예를 들어 도 12에 도시하는 바와 같이 열판(170) 대신에, 보온재(320)를 사용해도 된다. 웨이퍼 처리 장치(40)의 제2 열처리부(141)에는, 상기 실시 형태의 열판(170), 보유 지지 부재(171), 서포트 링(172), 가열 기구(173) 대신에, 보온재(320)를 적재하는 적재대(321)가 설치되어 있다. 적재대(321) 내에는 상기 승강 핀(180), 승강 구동부(181)가 설치되고, 적재대(321)의 상면에는 관통 구멍(182)이 형성되어 있다.
보온재(320)는, 웨이퍼(W)를 보유 지지하여 보온할 수 있다. 보온재(320)에는, 열용량이 큰 재료, 예를 들어 석영 유리가 사용된다. 또한 보온재(320)는, 이동 기구(도시하지 않음)에 의해, 제1 열처리부(140)의 열판(150)과 제2 열처리부(141)의 적재대(321) 상을 이동 가능하게 구성되어 있다. 또한, 웨이퍼 처리 장치(40)의 그 밖의 구성에 대해서는, 상기 실시 형태의 웨이퍼 처리 장치(40)의 구성과 마찬가지이므로 설명을 생략한다.
이러한 경우, 공정 S2에 있어서, 제1 열처리부(140)의 열판(150)에서 웨이퍼(W)가 소정의 온도, 예를 들어 300℃로 가열될 때, 보온재(320)도 동일한 온도로 가열된다. 그 후, 웨이퍼(W)는 보온재(320)에 보유 지지된 상태에서 적재대(321)에 반송된다. 그리고, 공정 S3에 있어서 자외선 조사부(142)에 의한 자외선 처리를 행할 때, 웨이퍼(W)는 보온재(320)에 의해 그 온도가 유지되고 있다. 이로 인해, 공정 S3에 있어서 웨이퍼(W) 상의 유기막(F)을 가열하면서, 자외선 조사부(142)로부터 자외선을 조사할 수 있다. 본 실시 형태에 의하면, 상기 실시 형태와 마찬가지의 효과를 누릴 수 있다. 즉, 유기막(F)의 표면을 효율적으로 제거할 수 있다.
또한 공정 S3에 있어서의 유기막(F)의 가열 처리시에, 열판(170) 대신에, 광원으로서의 LED(Light Emitting Diode: 발광 다이오드)를 사용해도 된다. 예를 들어, 도 13에 도시하는 바와 같이 웨이퍼 처리 장치(40)의 처리 용기(130)의 내부에는, 웨이퍼(W)를 적재하는 적재판(330)이 설치되어 있다. 적재판(330)은, 이동 기구(도시하지 않음)에 의해 수평 방향인 Y 방향으로 이동 가능하게 구성되어 있다. 적재판(330)의 하방에는, 웨이퍼(W)를 하방으로부터 지지하여 승강시키기 위한 승강 핀(331)이 예를 들어 3개 설치되어 있다. 승강 핀(331)은 승강 구동부(332)에 의해 상하 이동할 수 있다. 적재판(330)의 중앙부 부근에는, 당해 적재판(330)을 두께 방향으로 관통하는 관통 구멍(333)이 예를 들어 3개소에 형성되어 있다. 그리고, 승강 핀(331)은 관통 구멍(333)을 삽입 관통하여, 적재판(330)의 상면으로부터 돌출 가능하게 되어 있다.
적재판(330)의 상방에는, 자외선 조사부(340)와 제2 열처리부(341)가 일체로 되어 설치되어 있다. 이들 자외선 조사부(340)와 제2 열처리부(341)는, 이동 기구(도시하지 않음)에 의해 수평 방향인 Y 방향으로 이동 가능하게 구성되어 있다.
자외선 조사부(340)는, 자외선 조사부(142)와 마찬가지로 172㎚의 파장의 자외선을 조사한다.
제2 열처리부(341)에는, 복수의 LED(350)가 Y 방향으로 1열로 나란히 배치되어 있다. LED(350)는, 웨이퍼(W)의 직경 방향의 길이 보다 길게 설치되어 있다. 즉, LED(350)는, 적재판(330) 상의 웨이퍼(W)의 직경 방향 전체에 조사광을 발광할 수 있다.
또한 본 실시 형태에서는, 성막 시스템(1)에 제1 열처리부(140)를 구비한 열처리 장치(도시하지 않음)가 별도 설치된다.
이러한 경우, 공정 S3에 있어서, 웨이퍼(W)를 적재한 적재판(330)이 Y 방향 부방향측으로 이동함과 함께, 자외선 조사부(340)와 제2 열처리부(341)가 Y 방향 정방향측으로 이동한다. 즉, 적재판(330)과 자외선 조사부(340)가 상대적으로 근접하도록 이동한다. 또한 본 실시 형태에서는, 적재판(330)과 자외선 조사부(340) 및 제2 열처리부(341)를 모두 이동시키고 있었지만, 어느 한쪽을 이동시켜도 된다.
그리고, 제2 열처리부(341)의 LED(350)로부터 발광되는 조사광에 의해 웨이퍼(W) 상의 유기막(F)을 가열하면서, 자외선 조사부(340)로부터 자외선을 조사한다. 그렇게 하면, 상기 실시 형태와 마찬가지로 유기막(F)의 표면이 제거된다. 따라서, 본 실시 형태에 의하면, 상기 실시 형태와 마찬가지의 효과를 누릴 수 있다. 즉, 유기막(F)의 표면을 효율적으로 제거할 수 있다.
또한, 이상의 실시 형태의 공정 S3에 있어서, 유기막(F)의 표면의 제거 속도는, 제2 열처리부(341)에 있어서의 LED(350)의 발광 강도, LED(350)의 수, LED(350)로부터 발광되는 조사광의 파장 등에 의해 제어할 수 있다.
또한, 상술한 바와 같이 공정 S3을 복수회 행하는 경우, 각 회의 유기막(F)의 표면의 제거 속도를 작게 하는 경우가 있다. 이러한 경우라도, LED(350)의 발광 강도를 제어하고, LED(350)의 온-오프를 제어하여 그 발광 횟수를 제어하고, 또한 LED(350)로부터 발광되는 조사광의 파장을 제어하는, 등의 방법을 적절하게 선택하거나, 조합함으로써, 유기막(F)의 표면의 제거 속도를 제어할 수 있다. 또한 LED(350)의 반응 속도가 빠르기 때문에, 유기막(F)의 가열 온도의 승온, 강온을 용이하게 제어할 수 있고, 또한 유기막(F)의 가열 온도의 승온, 강온을 국소적으로 제어할 수도 있다. 따라서, 보다 효율적으로 유기막(F)의 표면을 제거할 수 있다.
또한, 이상의 실시 형태에서는, 제2 열처리부(341)에 있어서의 광원으로서 LED(350)를 사용하였지만, LED(350) 대신에, 예를 들어 레이저나 할로겐 램프 등, 다양한 광원을 사용할 수도 있다.
이상의 실시 형태에 있어서, 성막 시스템(1)은, 웨이퍼(W) 상에 소정의 유기막(F)을 형성한 후, 당해 유기막(F)의 막 두께를 측정하는 막 두께 측정부로서의 막 두께 측정 장치를 갖고 있어도 된다. 막 두께 측정 장치는, 성막 시스템(1) 내에 있어서 예를 들어 전달 장치(21) 상에 적층되어 설치된다.
도 14에 도시하는 바와 같이, 막 두께 측정 장치(400)는, 처리 용기(410)를 갖고 있다. 처리 용기(410)의 웨이퍼 반송 장치(20)측의 측면에는, 웨이퍼(W)의 반입출구(도시하지 않음)가 형성되고, 당해 반입출구에는 개폐 셔터(도시하지 않음)가 설치되어 있다.
처리 용기(410) 내의 저면에는, 웨이퍼(W)를 적재하는 적재대(420)와, 광학식 표면 형상 측정계(421)가 설치되어 있다. 적재대(420)는, 예를 들어 수평 방향으로 2차원 방향으로 이동할 수 있다. 광학식 표면 형상 측정계(421)는, 예를 들어 웨이퍼(W)에 대해 경사 방향으로부터 광을 조사하는 광 조사부(422)와, 광 조사부(422)로부터 조사되고 웨이퍼(W)에서 반사한 광을 검출하는 광 검출부(423)와, 당해 광 검출부(423)의 수광 정보에 기초하여 웨이퍼(W) 상의 유기막(F)의 막 두께를 산출하는 측정부(424)를 구비하고 있다. 막 두께 측정 장치(400)는, 예를 들어 스캐터로메트리법을 사용하여 유기막(F)의 막 두께를 측정하는 것이며, 측정부(424)에 있어서, 광 검출부(423)에 의해 검출된 웨이퍼 면 내의 광 강도 분포와, 미리 기억되어 있는 가상의 광 강도 분포를 대조하고, 그 대조된 가상의 광 강도 분포에 대응하는 유기막(F)의 막 두께를 구함으로써, 유기막(F)의 막 두께를 측정할 수 있다.
이러한 경우, 막 두께 측정 장치(400)에서는, 우선 웨이퍼(W)가 적재대(420)에 적재된다. 계속해서 웨이퍼(W)에 광 조사부(422)로부터 광이 조사되고, 그 반사광이 광 검출부(423)에 의해 검출된다. 그리고 측정부(424)에 있어서, 웨이퍼(W) 상의 유기막(F)의 막 두께가 측정된다. 이 유기막(F)의 막 두께 측정 결과는, 제어부(200)에 출력된다.
유기막(F)의 막 두께 측정 결과에 기초하여, 유기막(F)의 막 두께가 소정의 막 두께로 되어 있고, 유기막(F)이 평탄화되어 있는 경우, 즉 유기막(F)에 있어서의 단차 D가 소정의 범위 내에 있는 경우, 이후의 웨이퍼(W)에 대해서도 그대로의 처리 조건에서 웨이퍼 처리가 행해진다.
한편, 유기막(F)이 충분히 평탄화되어 있지 않은 경우, 공정 S3에 있어서의 처리 조건을 보정한다. 구체적으로는, 예를 들어 제2 열처리부(141, 341)의 가열 온도를 보정한다. 또한, 자외선 조사부(142, 340)로부터의 자외선의 조도, 자외선의 조사 시간 등의 처리 조건을 보정한다. 또한, 공정 S3의 처리 분위기의 산소 농도를 보정한다.
본 실시 형태에 의하면, 보다 적절한 조건에서 웨이퍼 처리를 행할 수 있으므로, 웨이퍼(W) 상에 유기막(F)을 보다 적절하게 형성할 수 있다.
또한, 이상의 실시 형태의 공정 S3에서는, 유기막(F)을 가열하면서 자외선 조사 처리를 행하고 있었지만, 발명자들이 예의 검토한 결과, 자외선 조사 처리만을 행해도, 유기막(F)의 표면을 적절하게 제거할 수 있는 것을 알 수 있었다.
이상, 첨부 도면을 참조하면서 본 발명의 적합한 실시 형태에 대해 설명하였지만, 본 발명은 이러한 예에 한정되지 않는다. 당업자라면 청구범위에 기재된 사상의 범주 내에 있어서, 각종 변경예 또는 수정예에 상도할 수 있는 것은 명확하며, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것이라고 이해된다.
1 : 성막 시스템
30∼33 : 도포 처리 장치
40∼42, 50∼52 : 웨이퍼 처리 장치
140 : 제1 열처리부
141 : 제2 열처리부
142 : 자외선 조사부
150 : 열판
170 : 열판
200 : 제어부
300, 301, 310, 311 : 열처리 장치
302∼304, 312∼314 : 웨이퍼 처리 장치
320 : 보온재
340 : 자외선 조사부
341 : 제2 열처리부
350 : LED
400 : 막 두께 측정 장치
A : 제1 영역
B : 제2 영역
D : 단차
F : 유기막
FA : [제1 영역(A)의] 유기막
FB : [제2 영역(B)의] 유기막
L : 유기 재료
LA : [제1 영역(A)의] 유기 재료
LB : [제2 영역(B)의] 유기 재료
P : 패턴
Q : 오목부
W : 웨이퍼

Claims (18)

  1. 표면에 패턴이 형성된 기판 상에 유기막을 형성하는 성막 방법이며,
    기판 상에 유기 재료를 도포하는 도포 처리 공정과,
    그 후, 상기 유기 재료를 열처리하여 기판 상에 유기막을 형성하는 열처리 공정과,
    그 후, 상기 유기막에 대해 자외선 조사 처리를 행하여, 당해 유기막의 표면을 소정의 깊이까지 제거하는 자외선 조사 공정을 갖는, 성막 방법.
  2. 제1항에 있어서,
    상기 도포 처리 공정, 상기 열처리 공정 및 상기 자외선 조사 공정을 각각 이 순서로 복수회 행하고,
    적어도 마지막보다 전에 행해지는 상기 자외선 조사 공정에 있어서, 상기 패턴의 표면이 노출될 때까지 상기 유기막의 표면을 제거하는, 성막 방법.
  3. 제1항에 있어서,
    상기 자외선 조사 공정에 있어서, 상기 유기막을 열처리하면서 상기 자외선 조사 처리를 행하는, 성막 방법.
  4. 제3항에 있어서,
    상기 자외선 조사 공정에 있어서의 상기 열처리는, 기판을 열처리판에 적재하여 행해지는, 성막 방법.
  5. 제4항에 있어서,
    상기 열처리판은 복수 설치되고, 각각 다른 온도에서 상기 자외선 조사 공정에 있어서의 상기 열처리가 행해지는, 성막 방법.
  6. 제3항에 있어서,
    상기 자외선 조사 공정에 있어서의 상기 열처리는, 광원으로부터의 조사광에 의해 행해지는, 성막 방법.
  7. 제1항에 있어서,
    상기 자외선 조사 공정에 있어서, 적어도 처리 분위기의 산소 농도, 자외선의 조도 또는 자외선의 조사 시간을 제어하는, 성막 방법.
  8. 제1항에 있어서,
    상기 자외선 조사 공정 후, 상기 유기막의 막 두께를 측정하는 막 두께 측정 공정을 더 갖고,
    상기 막 두께 측정 공정에 있어서의 측정 결과에 기초하여, 상기 자외선 조사 공정의 처리 조건을 보정하는, 성막 방법.
  9. 표면에 패턴이 형성된 기판 상에 유기막을 형성하는 성막 방법을 성막 시스템에 의해 실행시키도록, 당해 성막 시스템을 제어하는 제어부의 컴퓨터상에서 동작하는 프로그램을 저장한 판독 가능한 컴퓨터 기억 매체이며,
    상기 성막 방법은,
    기판 상에 유기 재료를 도포하는 도포 처리 공정과,
    그 후, 상기 유기 재료를 열처리하여 기판 상에 유기막을 형성하는 열처리 공정과,
    그 후, 상기 유기막에 대해 자외선 조사 처리를 행하여, 당해 유기막의 표면을 소정의 깊이까지 제거하는 자외선 조사 공정을 갖는, 컴퓨터 기억 매체.
  10. 표면에 패턴이 형성된 기판 상에 유기막을 형성하는 성막 시스템이며,
    기판 상에 유기 재료를 도포 처리하는 도포 처리부와,
    상기 유기 재료를 열처리하여 기판 상에 유기막을 형성하는 열처리부와,
    상기 유기막에 대해 자외선 조사 처리를 행하는 자외선 조사부와,
    상기 도포 처리, 상기 열처리 및 상기 자외선 조사 처리를 이 순서로 행하고,
    상기 자외선 조사 처리에 있어서 상기 유기막의 표면을 소정의 깊이까지 제거하도록, 상기 도포 처리부, 상기 열처리부 및 상기 자외선 조사부를 제어하는 제어부를 갖는, 성막 시스템.
  11. 제10항에 있어서,
    상기 제어부는, 상기 도포 처리, 상기 열처리 및 상기 자외선 조사 처리를 각각 이 순서로 복수회 행하고, 적어도 마지막보다 전에 행해지는 상기 자외선 조사 처리에 있어서, 상기 패턴의 표면이 노출될 때까지 상기 유기막의 표면을 제거하도록, 상기 도포 처리부, 상기 열처리부 및 상기 자외선 조사부를 제어하는, 성막 시스템.
  12. 제10항에 있어서,
    상기 자외선 조사 처리를 행할 때, 당해 유기막을 열처리하는 다른 열처리부를 더 갖는, 성막 시스템.
  13. 제12항에 있어서,
    상기 다른 열처리부는, 기판을 적재하여 열처리하는 열처리판을 갖는, 성막 시스템.
  14. 제13항에 있어서,
    상기 열처리판은 복수 설치되고, 각각 다른 온도에서 열처리가 행해지는, 성막 시스템.
  15. 제12항에 있어서,
    상기 다른 열처리부는, 상기 유기막에 대해 조사광을 조사하는 광원을 갖는, 성막 시스템.
  16. 제10항에 있어서,
    상기 열처리부와 상기 자외선 조사부는 동일한 장치 내에 설치되고,
    당해 장치 내에는, 상기 열처리부와 상기 자외선 조사부 사이를 이동 가능하고, 또한 기판을 보유 지지하여 보온하는 보온재가 설치되어 있는, 성막 시스템.
  17. 제10항에 있어서,
    상기 제어부는, 상기 자외선 조사 처리에 있어서, 적어도 처리 분위기의 산소 농도, 자외선의 조도 또는 자외선의 조사 시간을 제어하는, 성막 시스템.
  18. 제10항에 있어서,
    상기 자외선 조사 처리가 행해진 상기 유기막의 막 두께를 측정하는 막 두께 측정부를 더 갖고,
    상기 제어부는, 상기 막 두께 측정부에 의한 측정 결과에 기초하여, 상기 자외선 조사 처리의 처리 조건을 보정하는, 성막 시스템.
KR1020157022381A 2013-02-22 2014-01-23 성막 방법, 컴퓨터 기억 매체 및 성막 시스템 KR101959108B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2013-033216 2013-02-22
JP2013033216A JP5934665B2 (ja) 2013-02-22 2013-02-22 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
PCT/JP2014/051361 WO2014129259A1 (ja) 2013-02-22 2014-01-23 成膜方法、コンピュータ記憶媒体及び成膜システム

Publications (2)

Publication Number Publication Date
KR20150124950A true KR20150124950A (ko) 2015-11-06
KR101959108B1 KR101959108B1 (ko) 2019-03-15

Family

ID=51391055

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157022381A KR101959108B1 (ko) 2013-02-22 2014-01-23 성막 방법, 컴퓨터 기억 매체 및 성막 시스템

Country Status (6)

Country Link
US (1) US9741559B2 (ko)
JP (1) JP5934665B2 (ko)
KR (1) KR101959108B1 (ko)
CN (1) CN105074883B (ko)
TW (2) TWI565533B (ko)
WO (1) WO2014129259A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180138139A (ko) * 2017-06-19 2018-12-28 도쿄엘렉트론가부시키가이샤 성막 방법, 저장 매체, 및 성막 시스템
KR20190116392A (ko) * 2017-02-07 2019-10-14 도쿄엘렉트론가부시키가이샤 성막 시스템, 성막 방법 및 컴퓨터 기억 매체
KR20210000129A (ko) * 2019-06-24 2021-01-04 세메스 주식회사 기판 처리 장치 및 방법

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN105499069B (zh) * 2014-10-10 2019-03-08 住友重机械工业株式会社 膜形成装置及膜形成方法
JP6254516B2 (ja) 2014-12-19 2017-12-27 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6277952B2 (ja) 2014-12-26 2018-02-14 東京エレクトロン株式会社 基板処理方法、記憶媒体及び加熱装置
KR102310120B1 (ko) 2015-01-30 2021-10-08 삼성전자주식회사 하드마스크 물질막의 형성 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102538281B1 (ko) * 2015-06-02 2023-05-30 도쿄엘렉트론가부시키가이샤 스핀-온-카본 평탄화 기술
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6596257B2 (ja) * 2015-08-03 2019-10-23 東京応化工業株式会社 紫外線照射装置及び紫外線照射方法
JP6518548B2 (ja) * 2015-08-10 2019-05-22 東京応化工業株式会社 紫外線照射装置、レジストパターン形成装置、紫外線照射方法及びレジストパターン形成方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
KR20190015666A (ko) * 2017-08-04 2019-02-14 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
CN111644344B (zh) * 2020-05-23 2021-09-03 东南大学 一种大功率紫外杀菌灯用石英玻璃管保护膜涂覆***
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010039876A (ko) * 1999-09-14 2001-05-15 히가시 데쓰로 기판처리방법 및 기판처리장치
JP2003218116A (ja) 2002-01-23 2003-07-31 New Japan Radio Co Ltd 半導体装置及びその製造方法
JP2005354084A (ja) * 2005-06-16 2005-12-22 Nippon Telegr & Teleph Corp <Ntt> 配線構造の製造方法
JP2009094218A (ja) * 2007-10-05 2009-04-30 Dainippon Printing Co Ltd 被加工物の平坦化方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61194830A (ja) * 1985-02-25 1986-08-29 Dainippon Screen Mfg Co Ltd 基板の有機物除去装置
JPH03246942A (ja) 1990-02-23 1991-11-05 Seiko Epson Corp 半導体基板の製造方法
JPH06267909A (ja) * 1993-03-10 1994-09-22 Hitachi Ltd 有機物除去装置
JPH08136910A (ja) * 1994-11-07 1996-05-31 Hitachi Ltd カラー液晶表示装置およびその製造方法
JP2000241819A (ja) 1998-12-25 2000-09-08 Internatl Business Mach Corp <Ibm> 紫外線による有機分子除去装置及び有機高分子膜の除去方法
US7014521B1 (en) * 1999-08-05 2006-03-21 Canon Kabushiki Kaisha Display panel having a color filter and a protective layer of heat melted material and method of manufacturing the display panel
TW516116B (en) * 2002-01-16 2003-01-01 Taiwan Semiconductor Mfg Backside grinding method for bumped wafer
JP2009164519A (ja) 2008-01-10 2009-07-23 Shimadzu Corp 低温ポリシリコン用保護膜の成膜方法、低温ポリシリコン用保護膜の成膜装置および低温ポリシリコンtft
US8584612B2 (en) * 2009-12-17 2013-11-19 Lam Research Corporation UV lamp assembly of degas chamber having rotary shutters
WO2012081689A1 (ja) * 2010-12-17 2012-06-21 タツモ株式会社 パターニング方法
CN103460084B (zh) 2011-03-28 2016-06-01 吉坤日矿日石能源株式会社 具有凹凸结构的基板的制造方法及使用该基板的有机el元件的制造方法
WO2012165263A1 (ja) 2011-06-03 2012-12-06 東京エレクトロン株式会社 ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010039876A (ko) * 1999-09-14 2001-05-15 히가시 데쓰로 기판처리방법 및 기판처리장치
JP2003218116A (ja) 2002-01-23 2003-07-31 New Japan Radio Co Ltd 半導体装置及びその製造方法
JP2005354084A (ja) * 2005-06-16 2005-12-22 Nippon Telegr & Teleph Corp <Ntt> 配線構造の製造方法
JP2009094218A (ja) * 2007-10-05 2009-04-30 Dainippon Printing Co Ltd 被加工物の平坦化方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190116392A (ko) * 2017-02-07 2019-10-14 도쿄엘렉트론가부시키가이샤 성막 시스템, 성막 방법 및 컴퓨터 기억 매체
KR20180138139A (ko) * 2017-06-19 2018-12-28 도쿄엘렉트론가부시키가이샤 성막 방법, 저장 매체, 및 성막 시스템
KR20210000129A (ko) * 2019-06-24 2021-01-04 세메스 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
US20150357188A1 (en) 2015-12-10
CN105074883A (zh) 2015-11-18
TWI598703B (zh) 2017-09-11
CN105074883B (zh) 2017-09-08
JP2014165252A (ja) 2014-09-08
KR101959108B1 (ko) 2019-03-15
TWI565533B (zh) 2017-01-11
TW201708982A (zh) 2017-03-01
JP5934665B2 (ja) 2016-06-15
WO2014129259A1 (ja) 2014-08-28
TW201440900A (zh) 2014-11-01
US9741559B2 (en) 2017-08-22

Similar Documents

Publication Publication Date Title
KR101959108B1 (ko) 성막 방법, 컴퓨터 기억 매체 및 성막 시스템
TWI627489B (zh) Substrate processing method, program, computer memory medium and substrate processing system
TWI608871B (zh) Substrate processing method, substrate processing apparatus, substrate processing system, and memory medium
JP5014811B2 (ja) 基板の処理方法
JP2009010239A (ja) 基板処理装置
WO2011145611A1 (ja) インプリントシステム、インプリント方法及びコンピュータ記憶媒体
TWI569307B (zh) Substrate processing method and substrate processing system
KR20150016887A (ko) 자외선 조사 장치 및 기판 처리 방법
JP5572560B2 (ja) 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
JP5411201B2 (ja) インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
KR102573014B1 (ko) 성막 방법, 저장 매체, 및 성막 시스템
JP6149139B2 (ja) 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
JP6775036B2 (ja) 成膜システム、成膜方法及びコンピュータ記憶媒体
WO2014046241A1 (ja) 基板処理システム
JP4319201B2 (ja) 基板の処理方法、プログラム及び基板処理システム
KR102233465B1 (ko) 기판반송유닛, 이를 가지는 기판처리장치 및 방법
JP6673432B2 (ja) 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
KR20240021252A (ko) 웨이퍼들에서 건식 현상 부산물들의 휘발을 위한 건식 현상 장치 및 방법들
TW202422687A (zh) 基板處理方法及基板處理裝置
TW202032281A (zh) 基板處理方法及基板處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant