JP5934665B2 - 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム - Google Patents

成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム Download PDF

Info

Publication number
JP5934665B2
JP5934665B2 JP2013033216A JP2013033216A JP5934665B2 JP 5934665 B2 JP5934665 B2 JP 5934665B2 JP 2013033216 A JP2013033216 A JP 2013033216A JP 2013033216 A JP2013033216 A JP 2013033216A JP 5934665 B2 JP5934665 B2 JP 5934665B2
Authority
JP
Japan
Prior art keywords
film
ultraviolet irradiation
heat treatment
wafer
organic film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013033216A
Other languages
English (en)
Other versions
JP2014165252A (ja
JP2014165252A5 (ja
Inventor
志村 悟
悟 志村
文子 岩尾
文子 岩尾
吉原 孝介
孝介 吉原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2013033216A priority Critical patent/JP5934665B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to KR1020157022381A priority patent/KR101959108B1/ko
Priority to US14/764,687 priority patent/US9741559B2/en
Priority to CN201480009698.1A priority patent/CN105074883B/zh
Priority to PCT/JP2014/051361 priority patent/WO2014129259A1/ja
Priority to TW105138170A priority patent/TWI598703B/zh
Priority to TW103104953A priority patent/TWI565533B/zh
Publication of JP2014165252A publication Critical patent/JP2014165252A/ja
Publication of JP2014165252A5 publication Critical patent/JP2014165252A5/ja
Application granted granted Critical
Publication of JP5934665B2 publication Critical patent/JP5934665B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/10Storage, supply or control of liquid or other fluent material; Recovery of excess liquid or other fluent material
    • B05C11/1002Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves
    • B05C11/1015Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves responsive to a conditions of ambient medium or target, e.g. humidity, temperature ; responsive to position or movement of the coating head relative to the target
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/12Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation being performed after the application
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/14Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation involving heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/02Apparatus for spreading or distributing liquids or other fluent materials already applied to a surface ; Controlling means therefor; Control of the thickness of a coating by spreading or distributing liquids or other fluent materials already applied to the coated surface
    • B05C11/08Spreading liquid or other fluent material by manipulating the work, e.g. tilting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Description

本発明は、表面にパターンが形成された基板上に有機膜を形成する成膜方法、プログラム、コンピュータ記憶媒体、及び当該成膜方法を実行するための成膜システムに関する。
例えば多層配線構造の半導体デバイスの製造工程では、例えば半導体ウェハ(以下、「ウェハ」という。)上にレジスト液を塗布してレジスト膜を形成するレジスト塗布処理、当該レジスト膜に所定のパターンを露光する露光処理、露光されたレジスト膜を現像する現像処理などが順次行われ、ウェハ上に所定のレジストパターンが形成される。このレジストパターンをマスクとして、ウェハのエッチング処理が行われ、その後レジスト膜の除去処理などが行われて、ウェハ上に所定のパターンが形成される。このように所定の層に所定のパターンが形成される工程が複数回繰り返し行われ、多層配線構造の半導体デバイスが製造される。
ところで、このようにウェハ上に所定のパターンが繰り返し形成される場合、n層目に所定のパターンが形成された後に、(n+1)層目のレジスト膜が適切な高さに形成されるためには、レジスト液が塗布される面が平坦であることが必要になる。
そこで従来より、ウェハの所定のパターン上に有機膜を形成し、その表面を平坦化することが行われている。このような有機膜の形成は、ウェハ上に有機材料を塗布し、塗布された有機材料を加熱して有機膜を形成し、さらに例えばドライエッチング法(反応性イオンエッチング法)によって有機膜をエッチバックして当該有機膜の表面を除去することにより行われる(特許文献1)。また有機膜としては、例えばSOC(Spin On Cap)膜やSOG(Spin On Glass)膜等が用いられる。
特開2003−218116号公報
上述した特許文献1に記載された方法を用いた場合、有機材料の塗布と有機材料の加熱はそれぞれ常圧雰囲気下で行われるのに対し、有機膜のエッチバックは真空雰囲気下で行われる。そうすると、これら常圧雰囲気下の処理と真空雰囲気下の処理を別々のシステムで行い、システム間でウェハを搬送する必要がある。このため、システムの製造コストが高額化し、またウェハ処理のスループットも低下する。
また、有機膜のエッチバックをドライエッチング法で行った場合、プラズマによってウェハやウェハ上の膜がプラズマによって損傷を被るおそれがある。さらにこのプラズマによって、ウェハ上の膜が改質されるおそれもある。
本発明は、かかる点に鑑みてなされたものであり、表面にパターンが形成された基板上に有機膜を適切且つ効率よく形成することを目的とする。
前記の目的を達成するため、本発明は、表面にパターンが形成された基板上に有機膜を形成する成膜方法であって、基板上に有機材料を塗布する塗布処理工程と、その後、前記有機材料を熱処理して基板上に有機膜を形成する熱処理工程と、その後、前記有機膜に対して紫外線照射処理を行い、前記パターンの表面が露出するまで、当該有機膜の表面を除去する紫外線照射工程と、を有することを特徴としている。
本発明によれば、紫外線照射工程において有機膜に対して紫外線照射処理を行う。すなわち、紫外線を照射することによって処理雰囲気中に活性酸素とオゾンが発生し、これら活性酸素とオゾンによって有機膜の表面が分解されて除去される。そして、パターンが形成された領域における表面高さと、パターンの窪み部が形成された領域の表面高さとの差が所定の範囲内になるように、有機膜の表面が除去される。そうすると、表面にパターンが形成された基板上に有機膜が形成される場合であっても、当該有機膜の表面を平坦化することができる。
また、紫外線照射処理によって有機膜の表面を除去するので、従来のドライエッチング法を行った場合のように基板や基板上の膜が損傷を被らず、さらに基板上の膜が改質されるおそれもない。したがって、基板上に有機膜を適切に形成することができる。
しかも本発明によれば、塗布処理工程、熱処理工程及び紫外線照射工程をすべて常圧雰囲気下で行うことができ、これらの工程を一のシステムで行うことができる。したがって、システムの製造コストを低廉化することができ、また基板処理のスループットを向上させることができる。
前記塗布処理工程、前記熱処理工程及び前記紫外線照射工程を行った後、前記塗布処理工程を行ってもよい。
前記紫外線照射工程において、前記有機膜を熱処理しながら、前記紫外線照射処理を行ってもよい。
前記紫外線照射工程における前記熱処理は、基板を熱処理板に載置して行われてもよい。
前記熱処理板は複数設けられ、それぞれ異なる温度で前記紫外線照射工程における前記熱処理が行われてもよい。
前記紫外線照射工程における前記熱処理は、光源からの照射光により行われてもよい。
前記紫外線照射工程において、少なくとも処理雰囲気の酸素濃度、紫外線の照度又は紫外線の照射時間を制御してもよい。
前記成膜方法は、前記紫外線照射工程後、前記有機膜の膜厚を測定する膜厚測定工程をさらに有し、前記膜厚測定工程における測定結果に基づいて、前記紫外線照射工程の処理条件を補正してもよい。
別な観点による本発明によれば、前記成膜方法を成膜システムによって実行させるように、当該成膜システムを制御する制御部のコンピュータ上で動作するプログラムが提供される。
また別な観点による本発明によれば、前記プログラムを格納した読み取り可能なコンピュータ記憶媒体が提供される。
さらに別な観点による本発明は、表面にパターンが形成された基板上に有機膜を形成する成膜システムであって、基板上に有機材料を塗布処理する塗布処理部と、前記有機材料を熱処理して基板上に有機膜を形成する熱処理部と、前記有機膜に対して紫外線照射処理を行う紫外線照射部と、前記塗布処理、前記熱処理及び前記紫外線照射処理をこの順で行い、前記紫外線照射処理において、前記パターンの表面が露出するまで、前記有機膜の表面を除去するように、前記塗布処理部、前記熱処理部及び前記紫外線照射部を制御する制御部と、を有することを特徴としている。
前記制御部は、前記塗布処理、前記熱処理及び前記紫外線照射処理を行った後、前記塗布処理を行うように、前記塗布処理部、前記熱処理部及び前記紫外線照射部を制御してもよい。
前記成膜システムは、前記紫外線照射処理を行う際、当該有機膜を熱処理する他の熱処理部をさらに有していてもよい。
参考例として、前記他の熱処理部は、基板を載置して熱処理する熱処理板を有していてもよい。
参考例として、前記熱処理板は複数設けられ、それぞれ異なる温度で熱処理を行ってもよい。
参考例として、前記他の熱処理部は、前記有機膜に対して照射光を照射する光源を有していてもよい。
前記熱処理部と前記紫外線照射部は同一装置内に設けられ、当該装置内には、前記熱処理部と前記紫外線照射部との間を移動自在で、且つ基板を保持して保温する保温材が設けられていてもよい。
参考例として、前記制御部は、前記紫外線照射処理において、少なくとも処理雰囲気の酸素濃度、紫外線の照度又は紫外線の照射時間を制御してもよい。
前記成膜システムは、前記紫外線照射処理が行われた前記有機膜の膜厚を測定する膜厚測定部をさらに有し、前記制御部は、前記膜厚測定部による測定結果に基づいて、前記紫外線照射処理の処理条件を補正してもよい。
本発明によれば、表面にパターンが形成された基板上に有機膜を適切且つ効率よく形成することができる。
本実施の形態にかかる成膜システムの構成の概略を示す平面図である。 本実施の形態にかかる成膜システムの内部構成の概略を示す側面図である。 本実施の形態にかかる成膜システムの内部構成の概略を示す側面図である。 塗布処理装置の構成の概略を示す縦断面図である。 塗布処理装置の構成の概略を示す横断面図である。 ウェハ処理装置の構成の概略を示す縦断面図である。 ウェハ処理装置の構成の概略を示す横断面図である。 成膜システムで処理される前のウェハの状態を示した説明図である。 成膜処理の各工程におけるウェハの状態を示した説明図であり、(a)はウェハ上に有機材料が塗布された様子を示し、(b)は1回目の加熱処理が行われてウェハ上に有機膜が形成された様子を示し、(c)1回目の紫外線照射処理が行われて有機膜の表面が除去された様子を示し、(d)は2回目の加熱処理が行われてウェハ上に有機膜が形成された様子を示し、(e)2回目の紫外線照射処理が行われて有機膜の表面が除去された様子を示し、(f)n回目の紫外線照射処理が行われて有機膜の表面が除去された様子を示し、(g)ウェハ上に所定の有機膜が形成された様子を示している。 他の実施の形態にかかるウェハ処理装置の構成の概略を示す縦断面図である。 他の実施の形態にかかる成膜システムの内部構成の概略を示す側面図である。 他の実施の形態にかかるウェハ処理装置の構成の概略を示す縦断面図である。 他の実施の形態にかかるウェハ処理装置の構成の概略を示す縦断面図である。 膜厚測定装置の構成の概略を示す縦断面図である。
以下、本発明の実施の形態について説明する。図1は、本実施の形態にかかる成膜システム1の構成の概略を示す平面図である。図2及び図3は、成膜システム1の内部構成の概略を示す側面図である。なお、本実施の形態の成膜システム1では、基板としてのウェハW上にSOC膜である有機膜を形成する場合について説明する。また成膜システム1で処理されるウェハW上には、予めSiO膜等の所定のパターンが形成されている。
成膜システム1は、図1に示すように複数、例えば25枚のウェハWをカセット単位で外部と成膜システム1との間で搬入出したり、カセットCに対してウェハWを搬入出したりするカセットステーション2と、ウェハWに所定の処理を施す複数の処理装置を備えた処理ステーション3とを一体に接続した構成を有している。
カセットステーション2には、カセット載置台10が設けられている。カセット載置台10は、複数のカセットCをX方向(図1中の上下方向)に一列に載置自在になっている。すなわち、カセットステーション2は、複数のウェハWを保有可能に構成されている。
カセットステーション2には、X方向に延伸する搬送路11上を移動可能なウェハ搬送体12が設けられている。ウェハ搬送体12は、鉛直方向及び鉛直周り(θ方向)にも移動自在であり、カセットCと処理ステーション3との間でウェハWを搬送できる。
処理ステーション3には、その中心部にウェハ搬送装置20が設けられている。このウェハ搬送装置20の周辺には、各種処理装置が多段に配置された、例えば4つの処理ブロックG1〜G4が配置されている。処理ステーション3の正面側(図1のX方向負方向側)には、カセットステーション2側から第1の処理ブロックG1、第2の処理ブロックG2が順に配置されている。処理ステーション3の背面側(図1のX方向正方向側)には、カセットステーション2側から第3の処理ブロックG3、第4の処理ブロックG4が順に配置されている。処理ステーション3のカセットステーション2側には、ウェハWの受け渡しを行うための受け渡し装置21が配置されている。ウェハ搬送装置20は、これらの処理ブロックG1〜G4内に配置された後述する各種処理装置、及び受け渡し装置21に対してウェハWを搬送できる。
第1の処理ブロックG1には、図2に示すように複数の液処理装置、例えばウェハWに有機膜を形成するための有機材料を塗布する塗布処理部としての塗布処理装置30、31が下から順に2段に重ねられている。第2の処理ブロックG2も同様に、塗布処理装置32、33が下から順に2段に重ねられている。また、第1の処理ブロックG1及び第2の処理ブロックG2の最下段には、塗布処理装置30〜33に有機材料を供給するためのケミカル室34、35がそれぞれ設けられている。なお有機材料は、例えば有機膜であるSOC膜の組成物を所定の溶媒に溶解させた液体である。
第3の処理ブロックG3には、図3に示すようにウェハWに対して熱処理を行うと共に、ウェハWに対して紫外線照射処理を行うウェハ処理装置40、41、42、ウェハWの温度を調節する温度調節装置43、44が下から順に5段に重ねられている。
第4の処理ブロックG4にも、第3の処理ブロックG3と同様に、ウェハ処理装置50、51、52、温度調節装置53、54が下から順に5段に重ねられている。
次に、上述した塗布処理装置30〜33の構成について説明する。塗布処理装置30は、図4に示すように内部を密閉可能な処理容器100を有している。処理容器100のウェハ搬送装置20側の側面には、ウェハWの搬入出口(図示せず)が形成され、当該搬入出口には開閉シャッタ(図示せず)が設けられている。
処理容器100内の中央部には、ウェハWを保持して回転させるスピンチャック110が設けられている。スピンチャック110は、水平な上面を有し、当該上面には、例えばウェハWを吸引する吸引口(図示せず)が設けられている。この吸引口からの吸引により、ウェハWをスピンチャック110上に吸着保持できる。
スピンチャック110の下方には、例えばモータなどを備えたチャック駆動部111が設けられている。スピンチャック110は、チャック駆動部111により所定の速度に回転できる。また、チャック駆動部111には、例えばシリンダなどの昇降駆動源が設けられており、スピンチャック110は昇降自在になっている。
スピンチャック110の周囲には、ウェハWから飛散又は落下する液体を受け止め、回収するカップ112が設けられている。カップ112の下面には、回収した液体を排出する排出管113と、カップ112内の雰囲気を真空引きして排気する排気管114が接続されている。
図5に示すようにカップ112のX方向負方向(図5中の下方向)側には、Y方向(図5中の左右方向)に沿って延伸するレール120が形成されている。レール120は、例えばカップ112のY方向負方向(図5中の左方向)側の外方からY方向正方向(図5中の右方向)側の外方まで形成されている。レール120には、アーム121が取り付けられている。
アーム121には、図4及び図5に示すようにウェハW上に有機材料を供給する塗布ノズル122が支持されている。アーム121は、図5に示すノズル駆動部123により、レール120上を移動自在である。これにより、塗布ノズル122は、カップ112のY方向正方向側の外方に設置された待機部124からカップ112内のウェハWの中心部上方まで移動でき、さらに当該ウェハW上をウェハWの径方向に移動できる。また、アーム121は、ノズル駆動部123によって昇降自在であり、塗布ノズル122の高さを調節できる。
塗布ノズル122には、図4に示すように当該塗布ノズル122に有機材料を供給する供給管125が接続されている。供給管125は、内部に有機材料を貯留する有機材料供給源126に連通している。また、供給管125には、有機材料の流れを制御するバルブや流量調節部等を含む供給機器群127が設けられている。
なお、スピンチャック110の下方には、ウェハWの裏面に向けて洗浄液を噴射するバックリンスノズル(図示せず)が設けられていてもよい。このバックリンスノズルから噴射される洗浄液によって、ウェハWの裏面とウェハWの外周部が洗浄される。
なお、塗布処理装置31〜33の構成は、上述した塗布処理装置30の構成と同様であるので説明を省略する。
次に、上述したウェハ処理装置40〜42、50〜52の構成について説明する。ウェハ処理装置40は、図6及び図7に示すように内部を閉鎖可能な処理容器130を有している。処理容器130のウェハ搬送装置20側の側面には、ウェハWの搬入出口(図示せず)が形成され、当該搬入出口には開閉シャッタ(図示せず)が設けられている。
処理容器130の天井面には、当該処理容器130の内部に例えば酸化性ガスを供給するガス供給口131が形成されている。ガス供給口131には、ガス供給源132に連通するガス供給管133が接続されている。ガス供給管133には、酸化性ガスの流れを制御するバルブや流量調節部等を含む供給機器群134が設けられている。
なお、本実施の形態において酸化性ガスには、通常の大気よりも酸素濃度の高いガスが用いられる。但し、処理容器130の内に特定のガスを供給せずに当該処理容器130の内部を大気雰囲気にしてもよく、かかる場合には、上記ガス供給口131、ガス供給源132、ガス供給管133、供給機器群134を省略してもよい。
また、図示の例においては、ガス供給口131は後述する第1の熱処理部140側に設けられているが、紫外線照射部142側に設けられていてもよい。かかる場合、ガス供給口131から酸化性ガスが供給されることによりダウンフローが生じ、後述するように紫外線照射処理によってウェハW上の有機膜の表面を除去する際、有機膜から発生する昇華物等が紫外線照射部142に付着するのを抑制することができる。
処理容器130の底面には、当該処理容器130の内部の雰囲気を吸引する吸気口135が形成されている。吸気口135には、例えば真空ポンプなどの負圧発生装置136に連通する吸気管137が接続されている。
処理容器130の内部には、第1の熱処理部140、他の熱処理部としての第2の熱処理部141、紫外線照射部142とが設けられている。第1の熱処理部140と第2の熱処理部141はY方向に並べて配置され、紫外線照射部142は第2の熱処理部141の上方に配置されている。
第1の熱処理部140は、塗布処理装置30〜33においてウェハW上に塗布された有機材料を加熱して、当該ウェハW上に有機膜を形成する。
第1の熱処理部140は、熱板150を収容して熱板150の外周部を保持する環状の保持部材151と、その保持部材151の外周を囲む略筒状のサポートリング152を備えている。熱板150は、厚みのある略円盤形状を有し、ウェハWを載置して加熱することができる。また、熱板150には、例えば加熱機構153が内蔵されている。加熱機構153には、例えばヒータが用いられる。熱板150の加熱温度は例えば制御部200により制御され、熱板150上に載置されたウェハWが所定の温度に加熱される。
熱板150の下方には、ウェハWを下方から支持し昇降させるための昇降ピン160が例えば3本設けられている。昇降ピン160は、昇降駆動部161により上下動できる。熱板150の中央部付近には、当該熱板150を厚み方向に貫通する貫通孔162が例えば3箇所に形成されている。そして、昇降ピン160は貫通孔162を挿通し、熱板150の上面から突出可能になっている。
第2の熱処理部141は、第1の熱処理部140において熱処理を行った後、紫外線照射部142による紫外線照射処理を行う際に、ウェハW上の有機膜を加熱する。
第2の熱処理部141は、第1の熱処理部140と同様の構成を有している。すなわち、第2の熱処理部141は、熱処理板としての熱板170、保持部材171、サポートリング172、加熱機構173を有している。また熱板170の下方には昇降ピン180、昇降駆動部181が設けられ、熱板170の中央部付近には貫通孔182が形成されている。
紫外線照射部142は、例えば172nmの波長の紫外線を照射する。そして、第1の熱処理部140において熱処理を行った後、紫外線照射部142はウェハW上の有機膜に対して紫外線照射処理を行う。なお、図示の例において紫外線照射部142は処理容器130の天井面に支持されて設けられているが、当該紫外線照射部142は処理容器130の天井面に設けられたガラス窓(図示せず)上に設けられていてもよい。かかる場合、紫外線照射部142から照射された紫外線はガラス窓を介して処理容器130の内部に進入する。
なお、処理容器130の内部には、第1の熱処理部140と第2の熱処理部141との間でウェハWを搬送する搬送機構(図示せず)が設けられている。或いは、この搬送機構に代えて、ウェハ搬送装置20によって第1の熱処理部140と第2の熱処理部141との間でウェハWを搬送してもよい。
また、ウェハ処理装置41、42、50〜52の構成は、上述したウェハ処理装置40の構成と同様であるので説明を省略する。
以上の成膜システム1には、図1に示すように制御部200が設けられている。制御部200は、例えばコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、成膜システム1における成膜処理を実行するプログラムが格納されている。なお、前記プログラムは、例えばコンピュータ読み取り可能なハードディスク(HD)、フレキシブルディスク(FD)、コンパクトディスク(CD)、マグネットオプティカルデスク(MO)、メモリーカードなどのコンピュータに読み取り可能な記憶媒体Hに記録されていたものであって、その記憶媒体Hから制御部200にインストールされたものであってもよい。
本実施の形態にかかる成膜システム1は以上のように構成されている。次に、その成膜システム1で行われる有機膜を成膜する処理について説明する。図8は成膜システム1で処理される前のウェハWの状態を示し、図9は成膜処理の各工程におけるウェハWの状態を示している。
成膜システム1で処理されるウェハW上には、図8に示すように予めSiO膜等の所定のパターンPが形成されている。ウェハW上においてパターンPは疎密に形成されており、ウェハW上には、パターンPの窪み部が形成されず、膜(パターンP)がウェハWの表面を覆う第1の領域Aと、パターンP、P間に窪み部Qが形成された第2の領域Bとが形成されている。すなわち、第1の領域Aはいわゆるブランケット領域であり、第2の領域Bは例えばラインアンドスペースのパターンPが形成された領域である。
先ず、ウェハ搬送体12によって、カセット載置台10上のカセットCからウェハWが取り出され、処理ステーション3の受け渡し装置21に搬送される。その後、ウェハWは、ウェハ搬送装置20によって温度調節装置43に搬送され、所定の温度に温度調節される。
その後、ウェハWは、ウェハ搬送装置20によって塗布処理装置30に搬送される。塗布処理装置30に搬入されたウェハWは、ウェハ搬送装置20からスピンチャック110に受け渡され吸着保持される。続いて、アーム121によって待機部124の塗布ノズル122をウェハWの中心部の上方まで移動させる。その後、スピンチャック110によってウェハWを回転させながら、塗布ノズル122からウェハW上に有機材料を供給する。供給された有機材料は遠心力によりウェハWの表面全面に拡散されて、当該ウェハW上に有機材料が塗布される(工程S1)。
このとき、図9(a)に示すようにウェハW上に塗布された有機材料Lの表面張力や粘度に起因して、第2の領域Bの有機材料L(以下、「有機材料L」という。)は、第1の領域Aの有機材料L(以下、「有機材料L」という。)に比べて窪む。すなわち、有機材料LのパターンP表面からの高さHB1は、有機材料LのパターンP表面からの高さHA1より低くなる。そして、有機材料Lと有機材料Lとの間で段差Dが生じる。
その後、ウェハWは、ウェハ搬送装置20によってウェハ処理装置40に搬送される。このとき、ウェハ処理装置40の内部は、酸化性ガスの常圧雰囲気に維持されている。ウェハ処理装置40に搬入されたウェハWは、先ず第1の熱処理部140に搬送され、予め上昇して待機していた昇降ピン160に受け渡される。続いて昇降ピン160が下降して、ウェハWは熱板150上に載置される。そして熱板150上のウェハWは、所定の温度、例えば300℃に加熱される。ウェハWが所定の時間加熱されると、ウェハW上の有機材料Lが加熱されて、図9(b)に示すようにウェハW上に有機膜Fが形成される(工程S2)。なお、第1の領域Aの有機膜F(以下、「有機膜F」という場合がある。)と第2の領域Bの有機膜F(以下、「有機膜F」という場合がある。)との間には、上述した段差Dが生じている。
その後、ウェハWは第2の熱処理部141に搬送され、予め上昇して待機していた昇降ピン180に受け渡される。続いて昇降ピン180が下降して、ウェハWは熱板170上に載置される。そして熱板170上のウェハWは、所定の温度、例えば300℃に加熱される。
また、ウェハWが熱板170上に載置されると、紫外線照射部142から172nmの波長の紫外線を照射する。照射された紫外線によって、処理容器130内の酸化性ガスの処理雰囲気中に活性酸素とオゾンが発生する。これら活性酸素とオゾンによって、有機膜Fの表面が分解されて除去される(工程S3)。すなわち、有機膜Fのエッチバックが行われる。
このように有機膜Fの表面の除去は、熱板170によって有機膜Fを加熱しながら、紫外線照射部142から紫外線を照射することで行われる。そして、図9(c)に示すように有機膜Fの表面の除去は、有機膜Fが完全に除去される所定の深さまで、すなわち高さHA1分の有機膜Fの表面が除去される。そうすると、パターンPの表面が露出し、第1の領域Aには有機膜Fが存在せず、第2の領域BにおいてパターンPの窪み部Q内に高さHC1(=HA1−HB1)の有機膜Fが残存する。
なお、紫外線照射部142による紫外線処理を行う際、有機膜Fを加熱することによって、有機膜Fの表面の除去を短時間で効率よく行うことができる。例えば常温(23℃)の有機膜Fの表面を100nm除去する場合、紫外線照射処理を10分間行う必要があるのに対し、本実施の形態のように300℃で有機膜Fを加熱しながら当該有機膜Fの表面を100nm除去する場合、紫外線照射処理は30秒間行うだけでよい。
また、紫外線照射部142から照射する紫外線の波長は、特に限定されるものではないが、本実施の形態のように172nmが好ましい。紫外線の波長が短いほど、紫外線照射処理を行う際のパワーが大きく、効率よく有機膜Fの表面を除去することができるが、当該波長の短い紫外線は処理容器130内に存在する物質に吸収され易くなる。そこで、有機膜Fの表面を除去する効率と物質に吸収され難さとのバランスを考慮し、紫外線の波長は172nmが好ましい。
その後、ウェハWは、ウェハ搬送装置20によって温度調節装置44に搬送され、所定の温度に温度調節される。
以上のように工程S1におけるウェハW上への有機材料Lの塗布処理、工程S2におけるウェハW上の有機材料Lの加熱処理、工程S3におけるウェハW上の有機膜Fの表面除去処理が順次行われて、ウェハW上に有機膜Fが形成される。そして、これら工程S1〜S3が複数回、例えばn回行われる。なお、各回の工程S3後には温度調節装置43、44、53、54におけるウェハWの温度調節が行われるが、以下においては説明を省略する。
次に2回目の工程S1〜S3について説明する。なお、2回目の工程S1〜S3は、それぞれ1回目の工程S1〜S3と同様の工程であり、以下の説明では要点のみについて説明する。
2回目の工程S1では、塗布処理装置31においてウェハW上に有機材料Lが塗布される。この2回目の工程S1においては、1回目の工程S1に比べて、有機材料Lが小さい膜厚で塗布される。具体的には、例えばスピンチャック110の回転数を大きくする、或いはウェハW上に供給する有機材料Lの供給量を少なくする等を行い、2回目の有機材料Lの膜厚を1回目の有機材料Lの膜厚より小さくする。そして、後述する図9(d)に示すように2回目の有機膜F、F(有機材料L、L)の高さHA2、HB2は、1回目の有機膜F、Fの高さHA1、HB1よりも小さくなる。
その後、2回目の工程S2では、ウェハ処理装置41の第1の熱処理部140においてウェハW上の有機材料Lが加熱されて、図9(d)に示すようにウェハW上に有機膜Fが形成される。このとき、有機膜Fと有機膜Fとの間には、段差Dが生じている。但し、2回目の工程S1において有機材料Lの膜厚を小さくした分、この段差Dは上述した1回目の段差Dよりも小さくなっている。
その後、2回目の工程S3では、ウェハ処理装置41の第2の熱処理部141においてウェハW上の有機膜Fを加熱しながら、紫外線照射部142から紫外線を照射することによって、図9(e)に示すように有機膜Fの表面が除去される。有機膜Fの表面の除去は、有機膜Fが完全に除去されるまで、すなわち高さHA2分の有機膜Fの表面が除去される。そうすると、第1の領域Aには有機膜Fが存在せず、第2の領域BにおいてパターンPの窪み部Q内に高さHC2(=HA1−HB1)の有機膜Fが残存する。なお、2回目の工程S3後に残存する有機膜Fの高さHC2は、1回目の工程S3後に残存する有機膜Fの高さHC1よりも大きくなっている。すなわち、工程S1〜S3の回数を重ねるごとに、パターンPの窪み部Qに有機膜Fが溜まっていく。
以上の2回目の工程S1〜S3と同様に、3回目〜n回目の工程S1〜S3を行う。そうすると、有機膜Fと有機膜Fとの間の段差D〜Dは小さくなり、最終的には段差Dはほぼゼロになる。そうすると、図9(f)に示すように有機膜Fの表面の高さとパターンPの表面の高さが同一になる。なお、段差Dは完全にゼロでなくとも、要求される所定の範囲内に収まっていればよい。
その後、塗布処理装置32においてウェハW上に所定の膜厚の有機材料Lを塗布し、ウェハ処理装置42の第1の熱処理部140においてウェハW上の有機材料Lを加熱する。こうして、図9(g)に示すようにウェハW上に所定の膜厚であり、且つ表面が平坦化された有機膜Fが形成される。
なお本実施の形態では、有機膜Fの形成に際し、最後に工程S1及びS2を行い有機材料Lを塗布し加熱して終了していたが、工程S3を行い有機膜Fの表面を除去して終了してもよい。いずれの工程で終了するかは、要求される製品の仕様に応じて決定すればよい。また、有機膜Fの表面を除去して終了する場合、有機膜Fの膜厚が所定の膜厚になるように有機膜Fの表面を除去すればよく、例えば図9(g)に示した状態まで有機膜Fの表面を除去してもよい。
その後、ウェハWは、ウェハ搬送装置20によって受け渡し装置21に搬送され、ウェハ搬送体12によってカセットCに戻される。こうして成膜システム1における一連の成膜処理が終了する。
以上の実施の形態によれば、工程S3において、紫外線照射部142から紫外線を照射することによって処理雰囲気中に活性酸素とオゾンを発生させ、これら活性酸素とオゾンによってウェハW上の有機膜Fの表面を除去することができる。そして、工程S1〜S3を繰り返し行うことによって、有機膜Fと有機膜Fとの間の段差Dがほぼゼロになり、ウェハW上の有機膜Fの表面を平坦化することができる。その結果、種々の膜厚(例えば数十μm〜数十nm)の有機膜FをウェハW上に形成することができる。
また工程S3では、紫外線照射部142から紫外線を照射する際、熱板170によって有機膜Fを加熱するので、有機膜Fの表面の除去を短時間で効率よく行うことができる。
また工程S3では、熱板170によって有機膜Fを加熱しながら、紫外線照射部142から紫外線を照射することによって有機膜Fの表面を除去するので、従来のドライエッチング法を行った場合のようにウェハW、或いはウェハW上のパターンPやLow−K膜等の層間絶縁膜などが損傷を被らず、さらにパターンPや層間絶縁膜などが改質されるおそれもない。したがって、ウェハW上に有機膜Fを適切に形成することができる。
また有機膜Fの表面を除去するに際し、従来のドライエッチング法を行った場合、表面除去の精度は数nmレベルであった。これに対して、発明者らが鋭意検討した結果、本実施の形態のように工程S3において紫外線照射処理を行う場合、表面除去の精度を0.1nmレベルにできることが分かった。したがって、本実施の形態によれば、有機膜Fの表面除去の精度を向上させることができる。
また有機膜Fの表面を除去するに際し、従来のドライエッチング法を行った場合、マイクロローディング効果の影響を受け、パターンPが疎に形成されている部分では表面除去速度(エッチングレート)が大きく、パターンPが密に形成されている部分では表面除去速度が小さくなる。このため、有機膜Fの表面を均一に除去することができず、当該有機膜Fの表面を平坦化することができない。これに対して、本実施の形態のように工程S3では、一様な処理雰囲気中で紫外線照射処理が行われるので、有機膜Fの表面を均一に除去することができる。したがって、有機膜Fの表面を平坦化することができる。
さらに本実施の形態によれば、工程S1の有機材料Lの塗布処理、工程S2の有機材料Lの加熱処理、及び工程S3の有機膜Fの表面の除去処理をすべて常圧雰囲気下で行うことができ、これらの工程を一の成膜システム1内で行うことができる。したがって、従来のようにエッチバック法を行い、常圧雰囲気下の処理と真空雰囲気下の処理を別々のシステムで行う場合に比べて、本実施の形態の成膜システム1の製造コストを低廉化することができ、またウェハ処理のスループットを向上させることができる。
また本実施の形態によれば、工程S3において有機膜Fの表面を除去する際にパターンP間のスカム(レジスト残差)も除去することができる。さらにパターンP(レジストパターン)のリワークも可能になる。
なお、以上の実施の形態の工程S3において、有機膜Fの表面の除去速度は、第2の熱処理部141における熱板170による加熱温度で制御される。例えば加熱温度を高くすると、有機膜Fの表面の除去速度が大きくなる。
また有機膜Fの表面の除去速度は、処理雰囲気の酸素濃度、紫外線の照度、紫外線の照射時間でも制御される。例えば処理雰囲気の酸素濃度を大きくすると、処理雰囲気に発生する活性酸素とオゾンが増加し、有機膜Fの表面の除去速度が大きくなる。また紫外線の照度を大きくすると、有機膜Fの表面の除去速度が大きくなる。さらに紫外線の照射時間を長くすると、有機膜Fの表面の除去速度が大きくなる。
以上の実施の形態において、工程S1〜S3を何回繰り返すかは、例えば要求される有機膜Fの膜厚や段差Dに要求される所定の範囲等、種々の条件に応じて任意に設定することができる。
また以上の実施の形態では、工程S1〜S3を複数回行っていたが、1回目の段差Dが要求される所定の範囲内に収まる場合には、これら工程S1〜S3を1回行うだけでよい。
以上の実施の形態において、ウェハ処理装置40の内部には第1の熱処理部140と第2の熱処理部141が別々に設けられていたが、図10に示すように第1の熱処理部140を省略し、第2の熱処理部141が第1の熱処理部140を兼用してもよい。かかる場合、工程S2において熱板170によりウェハW上の有機材料Lが加熱される。続いて工程S3において、熱板170によって有機膜Fを加熱しながら、紫外線照射部142から紫外線を照射して有機膜Fの表面が除去される。
本実施の形態によれば、第1の熱処理部140を省略できるので、ウェハ処理装置40の製造コストを低廉化できると共に、ウェハ処理装置40の専有面積を小さくすることができる。特に工程S2における加熱温度と工程S3における加熱温度が同じ場合には、熱板170の温度を一定に維持することができるので、かかる場合に本実施の形態は有用である。
以上の実施の形態において、第1の熱処理部140と第2の熱処理部141は一のウェハ処理装置40内に設けられていたが、個別の装置内に設けられていてもよい。例えば図11に示すように第3の処理ブロックG3に、第1の熱処理部140を備えた熱処理装置300、301、第2の熱処理部141と紫外線照射部142を備えたウェハ処理装置302、303、304を配置してもよい。同様に第4の処理ブロックG4にも、第1の熱処理部140を備えた熱処理装置310、311、第2の熱処理部141と紫外線照射部142を備えたウェハ処理装置312、313、314を配置してもよい。なお図示の例においては、ウェハWの温度を調節する温度調節装置を省略している。
上述したように工程S3における有機膜Fの表面の除去速度は、例えば第2の熱処理部141における熱板170による加熱温度で制御される。また、工程S3を複数回行う場合、回数を重ねるに伴い、各回における有機膜Fの膜厚は小さくなり、有機膜Fと有機膜Fとの間の段差Dは小さくなっていくため、各回の工程S3における有機膜Fの表面の除去速度を小さくする場合がある。
そこで本実施の形態では、複数のウェハ処理装置302〜304、312〜314を備えているので、各ウェハ処理装置302〜304、312〜314における熱板170の加熱温度を異なる温度に設定することができる。そうすると本実施の形態は、上述したように各回の工程S3における有機膜Fの表面の除去速度が異なる場合にも適用できる。すなわち、各熱板170の加熱温度を昇降させる必要がなく、各熱板170の加熱温度を一定に維持することができるので、ウェハ処理のスループットをさらに向上させることができる。
以上の実施の形態において、工程S3における有機膜Fの加熱処理は熱板170によって行っていたが、当該有機膜Fの加熱処理方法はこれに限定されない。
工程S3における有機膜Fの加熱処理に際し、例えば図12に示すように熱板170に代えて、保温材320を用いてもよい。ウェハ処理装置40の第2の熱処理部141には、上記実施の形態の熱板170、保持部材171、サポートリング172、加熱機構173に代えて、保温材320を載置する載置台321が設けられている。載置台321内には上記昇降ピン180、昇降駆動部181が設けられ、載置台321の上面には貫通孔182が形成されている。
保温材320はウェハWを保持して保温することができる。保温材320には、熱容量が大きい材料、例えば石英ガラスが用いられる。また保温材320は、移動機構(図示せず)によって、第1の熱処理部140の熱板150と第2の熱処理部141の載置台321上を移動自在に構成されている。なお、ウェハ処理装置40のその他の構成については、上記実施の形態のウェハ処理装置40の構成と同様であるので説明を省略する。
かかる場合、工程S2において、第1の熱処理部140の熱板150でウェハWが所定の温度、例えば300℃に加熱される際、保温材320も同じ温度に加熱される。その後、ウェハWは保温材320に保持された状態で載置台321に搬送される。そして、工程S3において紫外線照射部142による紫外線処理を行う際、ウェハWは保温材320によってその温度が保持されている。このため、工程S3においてウェハW上の有機膜Fを加熱しながら、紫外線照射部142から紫外線を照射できる。本実施の形態によれば、上記実施の形態と同様の効果を享受することができ、すなわち、有機膜Fの表面を効率よく除去することができる。
また工程S3における有機膜Fの加熱処理に際し、熱板170に代えて、光源としてのLED(Light Emitting Diode:発光ダイオード)を用いてもよい。例えば図13に示すようにウェハ処理装置40の処理容器130の内部には、ウェハWを載置する載置板330が設けられている。載置板330は、移動機構(図示せず)によって水平方向のY方向に移動可能に構成されている。載置板330の下方には、ウェハWを下方から支持し昇降させるための昇降ピン331が例えば3本設けられている。昇降ピン331は、昇降駆動部332により上下動できる。載置板330の中央部付近には、当該載置板330を厚み方向に貫通する貫通孔333が例えば3箇所に形成されている。そして、昇降ピン331は貫通孔333を挿通し、載置板330の上面から突出可能になっている。
載置板330の上方には、紫外線照射部340と第2の熱処理部341とが一体になって設けられている。これら紫外線照射部340と第2の熱処理部341は、移動機構(図示せず)によって水平方向のY方向に移動可能に構成されている。
紫外線照射部340は、紫外線照射部142と同様に172nmの波長の紫外線を照射する。
第2の熱処理部341には、複数のLED350がY方向に一列に並べて配置されている。LED350は、ウェハWの径方向の長さより長く設けられている。すなわち、LED350は、載置板330上のウェハWの径方向全体に照射光を発光することができる。
なお本実施の形態では、成膜システム1に第1の熱処理部140を備えた熱処理装置(図示せず)が別途設けられる。
かかる場合、工程S3において、ウェハWを載置した載置板330がY方向負方向側に移動すると共に、紫外線照射部340と第2の熱処理部341がY方向正方向側に移動する。すなわち、載置板330と紫外線照射部340が相対的に近づくように移動する。なお本実施の形態では、載置板330と紫外線照射部340及び第2の熱処理部341を共に移動させていたが、いずれか一方を移動させもよい。
そして、第2の熱処理部341のLED350から発光される照射光によってウェハW上の有機膜Fを加熱しながら、紫外線照射部340から紫外線を照射する。そうすると、上記実施の形態と同様に有機膜Fの表面が除去される。したがって、本実施の形態によれば、上記実施の形態と同様の効果を享受することができ、すなわち、有機膜Fの表面を効率よく除去することができる。
なお、以上の実施の形態の工程S3において、有機膜Fの表面の除去速度は、第2の熱処理部341におけるLED350の発光強度、LED350の数、LED350から発光される照射光の波長等によって制御することができる。
また、上述したように工程S3を複数回行う場合、各回の有機膜Fの表面の除去速度を小さくする場合がある。かかる場合であっても、LED350の発光強度を制御し、LED350のオンオフを制御してその数を制御し、LED350から発光される照射光の波長を制御することで、有機膜Fの表面の除去速度を制御することができる。またLED350の反応速度が速いため、有機膜Fの加熱温度の昇降温を容易に制御することができ、又有機膜Fの加熱温度の昇降温を局所的に制御することもできる。したがって、より効率よく有機膜Fの表面を除去することができる。
なお、以上の実施の形態では、第2の熱処理部341における光源としてLED350を用いたが、LED350に代えて、例えばレーザやハロゲンランプ等、種々の光源を用いることもできる。
以上の実施の形態において、成膜システム1は、ウェハW上に所定の有機膜Fを形成した後、当該有機膜Fの膜厚を測定する膜厚測定部としての膜厚測定装置を有していてもよい。膜厚測定装置は、成膜システム1内において例えば受け渡し装置21上に積層されて設けられる。
図14に示すように膜厚測定装置400は、処理容器410を有している。処理容器410のウェハ搬送装置20側の側面には、ウェハWの搬入出口(図示せず)が形成され、当該搬入出口には開閉シャッタ(図示せず)が設けられている。
処理容器410内の底面には、ウェハWを載置する載置台420と、光学式表面形状測定計421が設けられている。載置台420は、例えば水平方向の2次元方向に移動できる。光学式表面形状測定計421は、例えばウェハWに対して斜方向から光を照射する光照射部422と、光照射部422から照射されウェハWで反射した光を検出する光検出部423と、当該光検出部423の受光情報に基づいてウェハW上の有機膜Fの膜厚を算出する測定部424を備えている。膜厚測定装置400は、例えばスキャトロメトリ法を用いて有機膜Fの膜厚を測定するものであり、測定部424において、光検出部423により検出されたウェハ面内の光強度分布と、予め記憶されている仮想の光強度分布とを照合し、その照合された仮想の光強度分布に対応する有機膜Fの膜厚を求めることにより、有機膜Fの膜厚を測定できる。
かかる場合、膜厚測定装置400では、先ずウェハWが載置台420に載置される。続いてウェハWに光照射部422から光が照射され、その反射光が光検出部423により検出される。そして測定部424において、ウェハW上の有機膜Fの膜厚が測定される。この有機膜Fの膜厚測定結果は、制御部200に出力される。
有機膜Fの膜厚測定結果に基づいて、有機膜Fの膜厚が所定の膜厚になっており、有機膜Fが平坦化されている、すなわち有機膜Fにおける段差Dが所定の範囲内にある場合、以後のウェハWに対してもそのままの処理条件でウェハ処理が行われる。
一方、有機膜Fが十分に平坦化されていない場合、工程S3における処理条件を補正する。具体的には、例えば第2の熱処理部141、341の加熱温度を補正する。また、紫外線照射部142、340からの紫外線の照度、紫外線の照射時間等の処理条件を補正する。さらに、工程S3の処理雰囲気の酸素濃度を補正する。
本実施の形態によれば、より適切な条件でウェハ処理を行うことができるので、ウェハW上に有機膜Fをより適切に形成することができる。
なお、以上の実施の形態の工程S3では、有機膜Fを加熱しながら紫外線照射処理を行っていたが、発明者らが鋭意検討した結果、紫外線照射処理のみを行っても、有機膜Fの表面を適切に除去できることが分かっている。
以上、添付図面を参照しながら本発明の好適な実施の形態について説明したが、本発明はかかる例に限定されない。当業者であれば、特許請求の範囲に記載された思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。
1 成膜システム
30〜33 塗布処理装置
40〜42、50〜52 ウェハ処理装置
140 第1の熱処理部
141 第2の熱処理部
142 紫外線照射部
150 熱板
170 熱板
200 制御部
300、301、310、311 熱処理装置
302〜304、312〜314 ウェハ処理装置
320 保温材
340 紫外線照射部
341 第2の熱処理部
350 LED
400 膜厚測定装置
A 第1の領域
B 第2の領域
D 段差
F 有機膜
(第1の領域Aの)有機膜
(第2の領域Bの)有機膜
L 有機材料
(第1の領域Aの)有機材料
(第2の領域Bの)有機材料
P パターン
Q 窪み部
W ウェハ

Claims (15)

  1. 表面にパターンが形成された基板上に有機膜を形成する成膜方法であって、
    基板上に有機材料を塗布する塗布処理工程と、
    その後、前記有機材料を熱処理して基板上に有機膜を形成する熱処理工程と、
    その後、前記有機膜に対して紫外線照射処理を行い、前記パターンの表面が露出するまで、当該有機膜の表面を除去する紫外線照射工程と、を有することを特徴とする、成膜方法。
  2. 前記塗布処理工程、前記熱処理工程及び前記紫外線照射工程を行った後、前記塗布処理工程を行うことを特徴とする、請求項1に記載の成膜方法。
  3. 前記紫外線照射工程において、前記有機膜を熱処理しながら、前記紫外線照射処理を行うことを特徴とする、請求項1又は2に記載の成膜方法。
  4. 前記紫外線照射工程における前記熱処理は、基板を熱処理板に載置して行われることを特徴とする、請求項3に記載の成膜方法。
  5. 前記熱処理板は複数設けられ、それぞれ異なる温度で前記紫外線照射工程における前記熱処理が行われることを特徴とする、請求項4に記載の成膜方法。
  6. 前記紫外線照射工程における前記熱処理は、光源からの照射光により行われることを特徴とする、請求項3に記載の成膜方法。
  7. 前記紫外線照射工程において、少なくとも処理雰囲気の酸素濃度、紫外線の照度又は紫外線の照射時間を制御することを特徴とする、請求項1〜6のいずれかに記載の成膜方法。
  8. 前記紫外線照射工程後、前記有機膜の膜厚を測定する膜厚測定工程をさらに有し、
    前記膜厚測定工程における測定結果に基づいて、前記紫外線照射工程の処理条件を補正することを特徴とする、請求項1〜7のいずれかに記載の成膜方法。
  9. 請求項1〜8のいずれかに記載の成膜方法を成膜システムによって実行させるように、当該成膜システムを制御する制御部のコンピュータ上で動作するプログラム。
  10. 請求項9に記載のプログラムを格納した読み取り可能なコンピュータ記憶媒体。
  11. 表面にパターンが形成された基板上に有機膜を形成する成膜システムであって、
    基板上に有機材料を塗布処理する塗布処理部と、
    前記有機材料を熱処理して基板上に有機膜を形成する熱処理部と、
    前記有機膜に対して紫外線照射処理を行う紫外線照射部と、
    前記塗布処理、前記熱処理及び前記紫外線照射処理をこの順で行い、前記紫外線照射処理において、前記パターンの表面が露出するまで、前記有機膜の表面を除去するように、前記塗布処理部、前記熱処理部及び前記紫外線照射部を制御する制御部と、を有することを特徴とする、成膜システム。
  12. 前記制御部は、前記塗布処理、前記熱処理及び前記紫外線照射処理を行った後、前記塗布処理を行うように、前記塗布処理部、前記熱処理部及び前記紫外線照射部を制御することを特徴とする、請求項11に記載の成膜システム。
  13. 前記紫外線照射処理を行う際、当該有機膜を熱処理する他の熱処理部をさらに有することを特徴とする、請求項11又は12に記載の成膜システム。
  14. 前記熱処理部と前記紫外線照射部は同一装置内に設けられ、
    当該装置内には、前記熱処理部と前記紫外線照射部との間を移動自在で、且つ基板を保持して保温する保温材が設けられていることを特徴とする、請求項11又は12に記載の成膜システム。
  15. 前記紫外線照射処理が行われた前記有機膜の膜厚を測定する膜厚測定部をさらに有し、
    前記制御部は、前記膜厚測定部による測定結果に基づいて、前記紫外線照射処理の処理条件を補正することを特徴とする、請求項11〜14のいずれかに記載の成膜システム。
JP2013033216A 2013-02-22 2013-02-22 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム Active JP5934665B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2013033216A JP5934665B2 (ja) 2013-02-22 2013-02-22 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
US14/764,687 US9741559B2 (en) 2013-02-22 2014-01-23 Film forming method, computer storage medium, and film forming system
CN201480009698.1A CN105074883B (zh) 2013-02-22 2014-01-23 成膜方法和成膜***
PCT/JP2014/051361 WO2014129259A1 (ja) 2013-02-22 2014-01-23 成膜方法、コンピュータ記憶媒体及び成膜システム
KR1020157022381A KR101959108B1 (ko) 2013-02-22 2014-01-23 성막 방법, 컴퓨터 기억 매체 및 성막 시스템
TW105138170A TWI598703B (zh) 2013-02-22 2014-02-14 成膜方法、電腦記憶媒體及成膜系統
TW103104953A TWI565533B (zh) 2013-02-22 2014-02-14 成膜方法、電腦記憶媒體及成膜系統

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013033216A JP5934665B2 (ja) 2013-02-22 2013-02-22 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016093649A Division JP6149139B2 (ja) 2016-05-09 2016-05-09 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム

Publications (3)

Publication Number Publication Date
JP2014165252A JP2014165252A (ja) 2014-09-08
JP2014165252A5 JP2014165252A5 (ja) 2015-03-05
JP5934665B2 true JP5934665B2 (ja) 2016-06-15

Family

ID=51391055

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013033216A Active JP5934665B2 (ja) 2013-02-22 2013-02-22 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム

Country Status (6)

Country Link
US (1) US9741559B2 (ja)
JP (1) JP5934665B2 (ja)
KR (1) KR101959108B1 (ja)
CN (1) CN105074883B (ja)
TW (2) TWI598703B (ja)
WO (1) WO2014129259A1 (ja)

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN105499069B (zh) * 2014-10-10 2019-03-08 住友重机械工业株式会社 膜形成装置及膜形成方法
JP6254516B2 (ja) 2014-12-19 2017-12-27 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6277952B2 (ja) 2014-12-26 2018-02-14 東京エレクトロン株式会社 基板処理方法、記憶媒体及び加熱装置
KR102310120B1 (ko) 2015-01-30 2021-10-08 삼성전자주식회사 하드마스크 물질막의 형성 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6928745B2 (ja) * 2015-06-02 2021-09-01 東京エレクトロン株式会社 スピンオン・カーボンの平坦化のための技術
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6596257B2 (ja) * 2015-08-03 2019-10-23 東京応化工業株式会社 紫外線照射装置及び紫外線照射方法
JP6518548B2 (ja) * 2015-08-10 2019-05-22 東京応化工業株式会社 紫外線照射装置、レジストパターン形成装置、紫外線照射方法及びレジストパターン形成方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6775036B2 (ja) * 2017-02-07 2020-10-28 東京エレクトロン株式会社 成膜システム、成膜方法及びコンピュータ記憶媒体
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP7001374B2 (ja) 2017-06-19 2022-02-04 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜システム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
KR20190015666A (ko) * 2017-08-04 2019-02-14 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR102200759B1 (ko) * 2019-06-24 2021-01-12 세메스 주식회사 기판 처리 장치 및 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111644344B (zh) * 2020-05-23 2021-09-03 东南大学 一种大功率紫外杀菌灯用石英玻璃管保护膜涂覆***
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61194830A (ja) * 1985-02-25 1986-08-29 Dainippon Screen Mfg Co Ltd 基板の有機物除去装置
JPH03246942A (ja) 1990-02-23 1991-11-05 Seiko Epson Corp 半導体基板の製造方法
JPH06267909A (ja) * 1993-03-10 1994-09-22 Hitachi Ltd 有機物除去装置
JPH08136910A (ja) * 1994-11-07 1996-05-31 Hitachi Ltd カラー液晶表示装置およびその製造方法
JP2000241819A (ja) 1998-12-25 2000-09-08 Internatl Business Mach Corp <Ibm> 紫外線による有機分子除去装置及び有機高分子膜の除去方法
US7014521B1 (en) * 1999-08-05 2006-03-21 Canon Kabushiki Kaisha Display panel having a color filter and a protective layer of heat melted material and method of manufacturing the display panel
KR100701718B1 (ko) * 1999-09-14 2007-03-29 동경 엘렉트론 주식회사 기판처리방법
TW516116B (en) * 2002-01-16 2003-01-01 Taiwan Semiconductor Mfg Backside grinding method for bumped wafer
JP2003218116A (ja) 2002-01-23 2003-07-31 New Japan Radio Co Ltd 半導体装置及びその製造方法
JP4348320B2 (ja) * 2005-06-16 2009-10-21 日本電信電話株式会社 配線構造の製造方法
JP2009094218A (ja) * 2007-10-05 2009-04-30 Dainippon Printing Co Ltd 被加工物の平坦化方法
JP2009164519A (ja) 2008-01-10 2009-07-23 Shimadzu Corp 低温ポリシリコン用保護膜の成膜方法、低温ポリシリコン用保護膜の成膜装置および低温ポリシリコンtft
US8584612B2 (en) * 2009-12-17 2013-11-19 Lam Research Corporation UV lamp assembly of degas chamber having rotary shutters
KR20140036128A (ko) * 2010-12-17 2014-03-25 다즈모 가부시키가이샤 패터닝 방법
EP2693241A4 (en) 2011-03-28 2014-08-27 Jx Nippon Oil & Energy Corp METHOD FOR MANUFACTURING SUBSTRATE WITH TEXTURED STRUCTURE, AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENTS USING THE SAME
WO2012165263A1 (ja) 2011-06-03 2012-12-06 東京エレクトロン株式会社 ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置

Also Published As

Publication number Publication date
KR20150124950A (ko) 2015-11-06
CN105074883A (zh) 2015-11-18
JP2014165252A (ja) 2014-09-08
TW201708982A (zh) 2017-03-01
TWI565533B (zh) 2017-01-11
US9741559B2 (en) 2017-08-22
US20150357188A1 (en) 2015-12-10
TWI598703B (zh) 2017-09-11
CN105074883B (zh) 2017-09-08
TW201440900A (zh) 2014-11-01
WO2014129259A1 (ja) 2014-08-28
KR101959108B1 (ko) 2019-03-15

Similar Documents

Publication Publication Date Title
JP5934665B2 (ja) 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
US11443964B2 (en) Substrate processing apparatus and substrate processing system
TWI627489B (zh) Substrate processing method, program, computer memory medium and substrate processing system
JP5443070B2 (ja) インプリントシステム
KR20220119346A (ko) 기판 처리 방법 및 열처리 장치
JP5060517B2 (ja) インプリントシステム
JP5917459B2 (ja) 紫外線照射装置及び基板処理方法
WO2011145611A1 (ja) インプリントシステム、インプリント方法及びコンピュータ記憶媒体
JP5411201B2 (ja) インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
WO2011114926A1 (ja) テンプレート処理方法、コンピュータ記憶媒体及びテンプレート処理装置
JP6775036B2 (ja) 成膜システム、成膜方法及びコンピュータ記憶媒体
JP6149139B2 (ja) 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
JP5231366B2 (ja) テンプレート処理方法、プログラム、コンピュータ記憶媒、テンプレート処理装置及びインプリントシステム
JP2011066113A (ja) 疎水化処理装置、疎水化処理方法、プログラム及びコンピュータ記憶媒体
JP4319201B2 (ja) 基板の処理方法、プログラム及び基板処理システム
JP6673432B2 (ja) 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
TW202032281A (zh) 基板處理方法及基板處理裝置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150120

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160419

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160509

R150 Certificate of patent or registration of utility model

Ref document number: 5934665

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250