KR20150083800A - 가상 계측을 위한 케이블 전력 손실 결정 - Google Patents

가상 계측을 위한 케이블 전력 손실 결정 Download PDF

Info

Publication number
KR20150083800A
KR20150083800A KR1020150003289A KR20150003289A KR20150083800A KR 20150083800 A KR20150083800 A KR 20150083800A KR 1020150003289 A KR1020150003289 A KR 1020150003289A KR 20150003289 A KR20150003289 A KR 20150003289A KR 20150083800 A KR20150083800 A KR 20150083800A
Authority
KR
South Korea
Prior art keywords
power
cable
generator
impedance matching
matching network
Prior art date
Application number
KR1020150003289A
Other languages
English (en)
Other versions
KR102247844B1 (ko
Inventor
아서 엠. 하워드
주니어 존 씨. 발코어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150083800A publication Critical patent/KR20150083800A/ko
Application granted granted Critical
Publication of KR102247844B1 publication Critical patent/KR102247844B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31721Power aspects, e.g. power supplies for test circuits, power saving during test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R21/00Arrangements for measuring electric power or power factor
    • G01R21/06Arrangements for measuring electric power or power factor by measuring current and voltage
    • G01R21/07Arrangements for measuring electric power or power factor by measuring current and voltage in circuits having distributed constants
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R11/00Electromechanical arrangements for measuring time integral of electric power or current, e.g. of consumption
    • G01R11/02Constructional details
    • G01R11/25Arrangements for indicating or signalling faults
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R21/00Arrangements for measuring electric power or power factor
    • G01R21/133Arrangements for measuring electric power or power factor by using digital technique
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R27/00Arrangements for measuring resistance, reactance, impedance, or electric characteristics derived therefrom
    • G01R27/02Measuring real or complex resistance, reactance, impedance, or other two-pole characteristics derived therefrom, e.g. time constant
    • G01R27/04Measuring real or complex resistance, reactance, impedance, or other two-pole characteristics derived therefrom, e.g. time constant in circuits having distributed constants, e.g. having very long conductors or involving high frequencies
    • G01R27/06Measuring reflection coefficients; Measuring standing-wave ratio
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/50Testing of electric apparatus, lines, cables or components for short-circuits, continuity, leakage current or incorrect line connections
    • G01R31/58Testing of lines, cables or conductors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma Technology (AREA)

Abstract

케이블 손실을 모델링하기 위한 방법이 기술된다. 이 방법은 무선 주파수 (RF) 생성기에서의 역방향 전력의 측정치 및 순방향 전력의 측정치를 수신하는 단계를 포함한다. 이 방법은 상기 임피던스 매칭 네트워크에 전달된 이론상 전력을 상기 순방향 전력과 상기 역방향 전력 간의 차로서 산출하는 (computing) 단계; RF 전력 반사 비를 생성하도록 상기 순방향 전력에 대한 상기 역방향 전력의 비를 계산하는 단계를 더 포함한다. 이 방법은 상기 RF 생성기의 상기 동작 주파수에 기초하여서 상기 RF 케이블의 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하는 단계; 상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전력의 함수로서 케이블 전력 손실을 계산하는 단계를 더 포함한다. 이 방법은 상기 임피던스 매칭 네트워크에 전달될 실제 전력 (actual power) 을 상기 이론상 전력 및 상기 케이블 전력 손실에 기초하여서 계산하는 단계; RF 신호를 생성하도록 상기 계산된 실제 전력을 상기 RF 생성기에 전송하는 단계를 더 포함한다.

Description

가상 계측을 위한 케이블 전력 손실 결정{CABLE POWER LOSS DETERMINATION FOR VIRTUAL METROLOGY}
본 실시예들은 가상 계측을 위한 케이블 전력 손실의 결정에 대한 것이다.
플라즈마 시스템들은 전력을 플라즈마 챔버로 공급하는데 사용된다. 전력은 RF 생성기에 의해서 생성되어서 플라즈마 챔버 내에서 플라즈마를 생성하도록 매칭박스를 통해서 RF 생성기에 의해서 공급된다.
플라즈마 챔버 내에서 웨이퍼가 플라즈마에 의해서 프로세싱된다. 웨이퍼는 플라즈마로 에칭, 또는 증착 또는 세정된다. 웨이퍼 프로세싱 동안에, 프로세싱 정확성을 달성하고 웨이퍼 수율을 증가시키도록 플라즈마를 제어하는 것이 중요하다.
공급된 전력의 특성들을 측정하고 플라즈마의 특성들을 측정하기 위해서, 센서가 매칭박스의 입력부에 접속된다. 그러나, 센서의 사용은 비용이 들고 시간 소모적이며 오차가 있을 가능성이 있다.
이러한 맥락에서 본 개시에서 기술된 실시예들이 나타난 것이다.
본 개시의 실시예들은 가상 계측을 사용하여서 케이블 전력 손실을 결정하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 다양한 방식들로, 예를 들어서, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터 판독가능한 매체 상에서의 방법으로서 구현될 수 있다. 몇몇 실시예들이 이하에서 기술된다.
일부 실시예들에서, 임피던스 매칭 네트워크의 입력부에 접속된 센서 대신에, 프로세서가 사용되어서 이 입력부에서의 실제 전달된 전력을 결정한다. 전압 및 전류 프로브가 무선 주파수 (RF) 생성기의 출력부에서의 복소 전압 및 전류를 측정한다. 복소 전압 및 전류는 RF 생성기의 출력부에서의 이론상 전달된 전력을 계산하는데 사용된다. 임피던스 매칭 네트워크의 입력부에서의 실제 전달된 전력은 이론상 전달된 전력, 임피던스 매칭 네트워크를 RF 생성기에 접속시키는 RF 케이블의 케이블 전력 감쇠 분율 및 RF 전력 반사 비의 함수로서 결정된다. RF 전력 반사 비는 RF 생성기의 출력부에서 측정된 역방향 전력 및 RF 생성기의 출력부에서 측정된 순방향 전력에 기초하여서 프로세서에 의해서 결정된다.
다양한 실시예들에서, 케이블 손실을 모델링하기 위한 방법이 기술된다. 이 방법은 무선 주파수 (RF) 생성기에서의 역방향 전력의 측정치를 수신하는 단계를 포함하며, 상기 RF 생성기는 RF 케이블을 통해서 임피던스 매칭 네트워크에 커플링된다. 상기 임피던스 매칭 네트워크는 RF 전송 라인을 통해서 플라즈마 챔버에 커플링된다. 상기 RF 생성기는 동작 주파수를 갖는다. 이 방법은 상기 RF 생성기에서의 순방향 전력의 측정치를 수신하는 단계; 상기 임피던스 매칭 네트워크에 전달된 이론상 전력을 상기 순방향 전력과 상기 역방향 전력 간의 차로서 산출하는 (computing) 단계; RF 전력 반사 비를 생성하도록 상기 순방향 전력에 대한 상기 역방향 전력의 비를 계산하는 단계를 더 포함한다. 이 방법은 상기 RF 생성기의 상기 동작 주파수에 기초하여서 상기 RF 케이블의 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하는 단계; 상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전력의 함수로서 케이블 전력 손실을 계산하는 단계를 더 포함한다. 이 방법은 상기 임피던스 매칭 네트워크에 전달될 실제 전력 (actual power) 을 상기 이론상 전력 및 상기 케이블 전력 손실에 기초하여서 계산하는 단계; RF 신호를 생성하도록 상기 계산된 실제 전력을 상기 RF 생성기에 전송하는 단계를 더 포함한다. 상기 방법은 프로세서에 의해서 실행된다.
몇몇 실시예들에서, 방법은 무선 주파수 (RF) 생성기에서의 역방향 전력의 측정치를 수신하는 단계를 포함하며, 상기 RF 생성기는 RF 케이블을 통해서 임피던스 매칭 네트워크에 커플링된다. 상기 임피던스 매칭 네트워크는 RF 전송 라인을 통해서 플라즈마 챔버에 커플링된다. 상기 RF 생성기는 동작 주파수를 갖는다. 이 방법은 상기 RF 생성기에서의 순방향 전력의 측정치를 수신하는 단계; 상기 임피던스 매칭 네트워크에 전달된 이론상 전력을 상기 순방향 전력과 상기 역방향 전력 간의 차로서 산출하는 (computing) 단계; RF 전력 반사 비를 생성하도록 상기 순방향 전력에 대한 상기 역방향 전력의 비를 계산하는 단계를 더 포함한다. 이 방법은 상기 RF 생성기의 상기 동작 주파수에 기초하여서 상기 RF 케이블의 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하는 단계; 상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전달된 전력의 함수로서 케이블 전력 손실을 계산하는 단계를 더 포함한다. 이 방법은 상기 임피던스 매칭 네트워크에 전달될 실제 전력 (actual power) 을 상기 이론상 전달된 전력 및 상기 케이블 전력 손실에 기초하여서 계산하는 단계; 및 상기 임피던스 매칭 네트워크에 전달된 실제 전력에 기초하여서 컴퓨터-생성된 모델과 연관된 노드에서의 실제 전력을 결정하는 단계를 더 포함한다. 상기 방법은 프로세서에 의해서 실행된다.
일부 실시예들에서, 플라즈마 시스템은 순방향 전력을 공급하기 위한 무선 주파수 (RF) 생성기를 포함한다. RF 생성기는 동작 주파수를 갖는다. 플라즈마 시스템은 상기 RF 생성기에 커플링되어서 상기 순방향 전력을 수신하고 상기 순방향 전력에 기초하여서 수정된 RF 신호를 생성하는 임피던스 매칭 회로를 더 포함한다. 플라즈마 시스템은 상기 임피던스 매칭 네트워크로의 상기 순방향 전력의 전달을 실현하기 위해서 상기 RF 생성기를 상기 임피던스 매칭 회로로 커플링시키는 RF 케이블; RF 전송 라인을 통해서 상기 임피던스 매칭 회로에 커플링된 플라즈마 챔버를 더 포함한다. 플라즈마 챔버는 상기 수정된 RF 신호에 기초하여서 플라즈마를 생성하기 위해서 사용된다. 플라즈마 시스템은 상기 순방향 전력을 측정하고 역방향 전력을 측정하도록 상기 RF 생성기에 커플링된 센서를 더 포함한다. 상기 역방향 전력은 상기 플라즈마로부터 상기 RF 케이블을 통해서 상기 RF 생성기로 향해서 반사된다. 플라즈마 시스템은 상기 센서에 커플링되어서 상기 순방향 전력의 측정치 및 상기 역방향 전력의 측정치를 수신하는 호스트 시스템을 더 포함한다. 상기 호스트 시스템은, 상기 임피던스 매칭 회로에 전달된 이론상 전력을 상기 순방향 전력과 상기 역방향 전력 간의 차로서 산출하고; RF 전력 반사 비를 생성하도록 상기 순방향 전력에 대한 상기 역방향 전력의 비를 계산하고; 상기 RF 생성기의 상기 동작 주파수에 기초하여서 상기 RF 케이블의 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하도록 구성된다. 호스트 시스템은 상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전달된 전력의 함수로서 케이블 전력 손실을 계산하도록 더 구성된다. 호스트 시스템은 상기 임피던스 매칭 회로에 전달될 실제 전력 (actual power) 을 상기 이론상 전력 및 상기 케이블 전력 손실에 기초하여서 계산하고; RF 생성기를 제어하도록 상기 계산된 실제 전력을 상기 RF 생성기에 전송하도록 구성된다.
상술된 실시예들의 일부 이점들은 RF 케이블의 케이블 전력 감쇠 분율, RF 생성기의 출력부의 RF 전력 반사 비 및 RF 생성기의 출력부에서의 이론상 전달된 전력을 사용하여서 임피던스 매칭 네트워크의 입력부에서의 실제 전달된 전력을 결정하는 것을 포함한다. 임피던스 매칭 네트워크의 입력부에서의 실제 전달된 전력을 측정하기 위해서 이 입력부에서 센서를 사용할 필요가 없다. 센서의 사용은 센서를 접속시키고 입력부에서의 실제 전달된 전력을 측정하는데 시간이 걸린다. 또한, 센서는 비용이 들며 센서에 의해서 생성된 임의의 측정치들은 오차를 가지는 경향이 있다.
다른 양태들이 첨부 도면들과 함께 취해진, 다음의 상세한 설명으로부터 명백해질 것이다.
실시예들은 첨부 도면들과 함께 취해진 다음의 설명을 참조하여서 최상으로 이해될 수 있다.
도 1은 본 개시에서 기술된 일부 실시예들에 따른, 케이블 전력 손실 결정을 위한 플라즈마 시스템의 도면이다.
도 2a는 본 개시에서 기술된 일부 실시예들에 따른, 도 1의 플라즈마 시스템의 무선 주파수 (RF) 케이블의 컴퓨터-생성된 모델인, 케이블 모델의 도면이다.
도 2b는 본 개시에서 기술된 일부 실시예들에 따른, 다른 케이블 모델의 도면이다.
도 3은 본 개시에서 기술된 일부 실시예들에 따른, RF 케이블의 전력 감쇠와 연관된 케이블 전력 감쇠 분율이 RF 케이블에 접속된 RF 생성기의 동작 주파수 및 RF 케이블의 길이에 의존하는 것을 예시하는데 사용되는 도면이다.
도 4는 본 개시에서 기술된 일부 실시예들에 따른, RF 케이블을 통해 RF 신호를 제공하기 위해서 RF 케이블에 접속된 RF 생성기의 주파수 및 RF 케이블의 케이블 전력 감쇠 분율 간의 관계를 예시하는 그래프의 실시예이다.
도 5는 본 개시에서 기술된 일부 실시예들에 따른, 등식을 사용하지 않고서 변수의 모델링된 값을 사용하여서 생성된 변수의 값과 변수의 측정된 값 간의 오차의 관계를 예시하는 그래프들의 실시예들을 도시한다.
도 6은 본 개시에서 기술된 일부 실시예들에 따른, 케이블 전력 손실을 결정하는데 등식이 사용되는 때의 변수의 오차의 감소를 예시하는 그래프들의 실시예들을 도시한다.
도 7은 본 개시에서 기술된 일부 실시예들에 따른, 플라즈마 시스템의 다른 부분의 모델 노드의 출력부에서의 실제 전력을 계산하기 위해서 RF 케이블 모델의 출력부에서의 실제 전달된 전력의 사용을 예시하는데 사용된 메모리 디바이스의 실시예의 블록도이다.
도 8은 본 개시에서 기술된 일부 실시예들에 따른, 호스트 시스템의 도면이다.
다음의 실시예들은 가상 계측을 사용하여서 케이블 전력 손실을 결정하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들은 이러한 특정 세부사항들 전부 또는 일부 없이도 실시될 수 있음이 자명하다. 다른 실례에서, 잘 알려진 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다.
도 1은 케이블 전력 손실 결정을 위한 플라즈마 시스템 (100) 의 실시예의 도면이다. 플라즈마 시스템 (100) 은 x 메가헤르쯔 (MHz) 무선 주파수 (RF) 생성기, y MHz RF 생성기, 및 z MHz RF 생성기를 포함한다. x MHz의 실례들은 2 MHz, 27 MHz, 및 60 MHz 를 포함한다. y MHz의 실례들은 2 MHz, 27 MHz, 및 60 MHz를 포함한다. z MHz의 실례들은 2 MHz, 27 MHz, 및 60 MHz를 포함한다.
일부 실시예들에서, x MHz는 y MHz 및 z MHz 와 상이하며, y MHz 는 z MHz 와 상이하다. 예를 들어서, x MHz가 2 MHz일 때에, y MHz 는 27 MHz 이고 z MHz 는 60 MHz 이다.
일부 실시예들에서, RF 생성기들 각각은 디지털 신호 프로세서 (DSP) 및 RF 공급부를 포함한다. 예를 들어서, x MHz RF 생성기는 DSP (160) 및 RF 공급부 (162) 를 포함한다. DSP (160) 는 RF 공급부 (162) 및 호스트 시스템 (118) 에 커플링된다. 마찬가지로, 호스트 시스템 (118) 은 y MHz RF 생성기의 DSP (미도시) 및 z MHz RF 생성기의 DSP (미도시) 에 커플링된다. RF 생성기의 DSP는 RF 생성기의 RF 공급부에 커플링된다.
각 RF 생성기는 복소 전압 및 전류 센서를 포함한다. 예를 들어서, x MHz RF 생성기는 센서 (116) 를 포함하며 이 센서는 x MHz RF 생성기의 출력부 (170) 에서 RF 케이블 (104A) 에 커플링되어서 이 출력부에서 복소 전압 및 전류를 측정한다. 다양한 실시예들에서, RF 생성기의 출력부에서 x, y, 또는 z MHz RF 생성기를 캘리브레이션하는데 사용되는 복소 전압 및 전류 센서는 NIST (National Institute of Standard들 and Technology) 표준을 따른다. 예를 들어서, x MHz RF 생성기를 캘리브레이션하는데 사용된 센서 (116) 는 NIST 추적가능하다. NIST 표준은 NIST 표준에 의해서 복소 전압 및 전류 센서에 대해 특정된 정확도를 제공한다.
일부 실시예들에서, 복소 전압 및 전류는 전류의 크기, 전압의 크기, 및 전류와 전압 간의 위상을 포함한다. 일부 실시예들에서, 복소 변수, 예를 들어, 복소 임피던스, 복소 전력, 등은 변수의 크기 및 변수의 위상을 포함한다.
일부 실시예들에서, 센서 (116) 는 x MHz RF 생성기의 역방향 전력 Prev 및 x MHz RF 생성기의 순방향 전력 Pfwd 을 측정한다. 예를 들어서, 센서 (116) 는 x MHz RF 생성기의 출력부 (170) 에서 x MHz RF 생성기의 역방향 전력 Prev 및 x MHz RF 생성기의 출력부 (170) 에서 x MHz RF 생성기의 순방향 전력 Pfwd 을 측정한다. RF 생성기의 순방향 전력은 RF 생성기에 의해서 임피던스 매칭 네트워크 (102) 로 공급된 RF 신호의 전력이다. RF 생성기의 역방향 전력은 플라즈마 챔버 (166) 로부터 RF 전송 라인 (114), 임피던스 매칭 네트워크 (102), 및 대응하는 RF 케이블을 통해서 RF 생성기로 반사된 전력이다. 예를 들어서, x MHz RF 생성기의 역방향 전력은 플라즈마 챔버 (166) 내에서 형성된 플라즈마에 의해서 RF 전송 라인 (114), 임피던스 매칭 네트워크 (102), 및 RF 케이블 (104A) 을 통해서 x MHz RF 생성기로 반사된 전력이다.
일부 실시예들에서, 역방향 전력은 복소 전력이며 순방향 전력은 복소 전력이다.
몇몇 실시예들에서, 임피던스 매칭 네트워크 (102) 는 하나 이상의 인덕터들 및/또는 하나 이상의 커패시터들의 회로이다. 임피던스 매칭 네트워크 (102) 의 각 컴포넌트, 예를 들어, 인덕터, 커패시터, 등은 직렬로 또는 병렬로 접속되거나, 션트 역할을 하여서 임피던스 매칭 네트워크 (102) 의 다른 컴포넌트로 접속된다.
다양한 실시예들에서, RF 케이블은 재킷 (jacket) 에 의해서 더 둘러싸인 외측 도전체에 의해서 둘러싸인 절연 재료에 의해서 둘러싸인 내측 도전체를 포함한다. 몇몇 실시예들에서, 외측 도전체는 편조된 (braided) 와이어로 이루어지고 재킷은 절연 재료로 이루어진다. 일부 실시예들에서, RF 케이블은 내부 임피던스를 포함한다. 다양한 실시예들에서, RF 케이블은 특성 임피던스를 포함하며 이 특성 임피던스는 RF 케이블의 인덕턴스 및/또는 커패시턴스의 함수이다.
호스트 시스템 (118) 은 하나 이상의 프로세서들, 예를 들어, 프로세서 (110), 등, 및 하나 이상의 메모리 디바이스를 포함한다. 프로세서의 실례들은 중앙 프로세싱 유닛 (CPU), 마이크로프로세서, ASIC (application specific integrated circuit), 및 프로그램가능한 로직 디바이스 (PLD), 등을 포함한다. 메모리 디바이스의 실례들은 판독 전용 메모리 (ROM), 랜덤 액세스 메모리 (RAM), 또는 이들의 조합을 포함한다. 메모리 디바이스의 다른 실례들은 플래시 메모리, 비일시적 컴퓨터 판독가능한 저장 매체, RAID (redundant array of storage disks), 하드 디스크, 등을 포함한다.
플라즈마 시스템 (100) 은 x, y, 및 z MHz RF 생성기들에 커플링된 임피던스 매칭 네트워크 (102) 를 더 포함한다. 임피던스 매칭 네트워크 (102) 는 x MHz RF 생성기에 RF 케이블 (104A) 를 통해서 커플링되고, y MHz RF 생성기에 다른 RF 케이블 (104B) 을 통해서 커플링되고, z MHz RF 생성기에 RF 케이블 (104C) 을 통해서 커플링된다.
일부 실시예들에서, 임피던스 매칭 네트워크 (102) 는 서로 커플링된 RF 전기적 회로 요소들, 예를 들어, 커패시터들, 인덕터들, 등의 네트워크를 포함한다.
임피던스 매칭 네트워크 (102) 는 플라즈마 챔버 (112) 에 RF 전송 라인 (114) 을 통해서 커플링된다. 다양한 실시예들에서, RF 전송 라인 (114) 은 임피던스 매칭 네트워크 (102) 에 접속된 실린더, 예를 들어, 터널, 등을 포함한다. 실린더의 중공 내에는 절연체 및 RF 로드 (rod) 가 놓인다. RF 전송 라인 (114) 은 실린더의 RF 로드에 일 단부가 커플링된 RF 스푼, 예를 들어, RF 스트랩, 등을 포함한다. RF 스푼은 수직으로 배치된 실린더의 RF 로드에 다른 단부가 커플링되고 RF 로드는 플라즈마 챔버 (112) 의 척 (164) 에 커플링된다.
플라즈마 챔버 (112) 는 척 (164), 상부 전극 (166), 및 다른 부분들 (미도시), 예를 들어, 상부 전극 (166) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 연장부, 척 (164) 의 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 연장부, 상부 플라즈마 배제 존 (PEZ) 링, 하부 PEZ 링, 등을 포함한다. 상부 전극 (166) 은 척 (164) 의 반대편에 이를 마주하게 위치한다. 워크 피스 (168) 는 척 (164) 의 상부 표면 (171) 상에 지지된다. 하부 전극 및 상부 전극 (166) 각각은 금속, 예를 들어, 알루미늄, 알루미늄 합금, 구리 등으로 이루어진다. 척 (164) 은 정전 척 (ESC) 또는 자기 척일 수 있다. 상부 전극 (166) 은 기준 전압, 전압, 예를 들어, 접지 전압, 제로 전압, 음 전압, 등에 커플링된다.
일부 실시예들에서, 워크 피스 (168) 는 반도체 웨이퍼를 포함한다. 다양한 프로세스들, 예를 들어, 화학 기상 증착, 세정, 증착, 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 플라즈마-강화 CVD (PECVD), 금속 CVD, 고밀도 플라즈마 CVD (HDP-CVD) 기능, 포토레지스트 스트리핑 기능, 포토레지스트 표면 준비, 자외선 열적 프로세싱 (UVTP), 스퍼터링, 에칭, 이온 주입, 레지스트 스트리핑 등은 생산 동안에 워크 피스 (168) 상에서 수행된다. 집적 회로들, 예를 들어, ASIC (application specific integrated circuit), PLD (programmable logic device), 등이 반도체 웨이퍼 상에서 전개되고 집적 회로들은 다양한 전자 제품들, 예를 들어, 셀 전화, 태블릿, 스마트 폰, 컴퓨터, 랩탑, 네트워킹 장비 등에서 사용된다. 다양한 실시예들에서, 워크 피스 (168) 는 기판, 기판 상단 상의 하나 이상의 층들, 예를 들어, 산화물 층들, 등, 및 기판 상단 상의 집적 회로들을 포함한다. 몇몇 실시예들에서, 워크 피스 (168) 는 기판, 기판 상단 상의 하나 이상의 층들, 예를 들어, 산화물 층들, 등, 및 층들 상단 상의 집적 회로들을 포함한다. 다양한 실시예들에서, 워크 피스 (168) 는 기판 및 기판 상단 상에 형성된 집적 회로들을 포함한다.
다양한 실시예들에서, 상부 전극 (166) 은 하나 이상의 가스 유입구들, 예를 들어, 홀들, 등을 포함하고, 이들은 중앙 가스 피드 (미도시) 에 커플링된다. 중앙 가스 피드는 하나 이상의 프로세스 가스들을 가스 저장부 (미도시) 로부터 수용한다. 프로세스 가스의 실례들은 산소 함유 가스, 예를 들어 O2를 포함한다. 프로세스 가스의 다른 실례들은 불소 함유 가스, 예를 들어, 테트라플루오로메탄 (CF4), 설퍼 헥사플루오라이드 (sulfur hexafluoride) (SF6), 헥사플루오로에탄 (hexafluoroethane) (C2F6), 등을 포함한다.
호스트 시스템 (118) 은 제어 값들, 예를 들어, 복소 전력 값들, 동작 주파수 값들 등을 x, y, 및 z MHz RF 생성기들에 전송한다. 예를 들어서, 프로세서 (110) 는 이러한 복소 전력 값 및 동작 주파수 값을 x MHz RF 생성기의 DSP (160) 에 제공한다.
x, y, 및 z MHz RF 생성기들의 DSP들은 제어 값들을 수신하여서 공급 값들, 예를 들어, 복소 전력 값들, 동작 주파수 값들 등을 제어 값들에 기초하여서 생성하여서 RF 생성기들의 RF 공급부들에 제공한다. 예를 들어서, x MHz RF 생성기의 DSP (160) 는 복소 전력의 공급 값 및 동작 주파수의 공급 값을 생성하여서 x MHz RF 생성기의 RF 공급부 (162) 에 제공한다. 일부 실시예들에서, 공급 값은 제어 값과 동일하다. 다양한 실시예들에서, 공급 값은 제어 값에 기초하여서 RF 생성기의 DSP에 의해서 RF 생성기의 메모리 디바이스로부터 검색된 구동 값이다.
x, y, 및 z RF 생성기들의 RF 공급부들은 공급 값을 수신하면 RF 신호를 생성한다. 예를 들어서, RF 공급부 (162) 는, DSP (160) 로부터 구동 복소 전력 값 및 구동 동작 주파수 값을 수신하면, RF 공급 신호를 생성한다. 이와 유사하게, y 및 z MHz RF 생성기들도 RF 신호들을 생성한다.
x, y, 및 z MHz RF 생성기들에 의해서 생성된 RF 신호들은 생성기들을 임피던스 매칭 네트워크 (102) 에 커플링한 RF 케이블들을 통해서 공급된다. 예를 들어서, x MHz RF 생성기에 의해서 생성된 RF 신호는 RF 케이블 (104A) 을 통해서 임피던스 매칭 네트워크 (102) 로 공급된다.
x, y, 및 z MHz RF 생성기들로부터 RF 신호들을 수신하면, 임피던스 매칭 네트워크 (102) 는 임피던스 매칭 네트워크 (102) 에 커플링된 부하의 임피던스를 임피던스 매칭 네트워크 (102) 에 커플링된 소스의 임피던스로 매칭하여서 수정된 RF 신호를 생성한다. 예를 들어서, 임피던스 매칭 네트워크 (102) 는 RF 전송 라인 (114) 및 플라즈마 챔버 (112) 의 임피던스를 x MHz RF 생성기, y MHz RF 생성기, z MHz RF 생성기, RF 케이블 (104A), RF 케이블 (104B), 및 RF 케이블 (104C) 의 임피던스와 매칭하여서 수정된 RF 신호를 생성한다. 다른 실례로서, 임피던스 매칭 네트워크 (102) 는 부하로서 임피던스 매칭 네트워크 (102) 에 커플링된 플라즈마 시스템 (100) 의 임의의 컴포넌트들의 임피던스를 소스로서 임피던스 매칭 네트워크 (102) 에 커플링된 플라즈마 시스템 (100) 의 임의의 컴포넌트들의 임피던스와 매칭하여서 수정된 RF 신호를 생성한다. 부하로서 임피던스 매칭 네트워크 (102) 에 커플링된 플라즈마 시스템 (100) 의 컴포넌트들의 실례들은 RF 전송 라인 (114), 플라즈마 챔버 (112), 및 플라즈마 챔버 (112) 가 위치하는 임피던스 매칭 네트워크 (102) 의 측 상에서 임피던스 매칭 네트워크 (102) 에 커플링된 임의의 다른 컴포넌트들, 예를 들어서, 필터 등을 포함한다. 소스로서 임피던스 매칭 네트워크 (102) 에 커플링된 플라즈마 시스템 (100) 의 컴포넌트들의 실례들은 x, y, 및 z RF 생성기들, RF 케이블들 (104A), (104B), 및 (104C), 및 RF 생성기들이 위치하는 임피던스 매칭 네트워크 (102) 의 측에 커플링된 다른 컴포넌트들, 예를 들어, 필터, 등을 포함한다.
수정된 신호는 임피던스 매칭 네트워크 (102) 에 의해서 RF 전송 라인 (114) 을 통해서 척 (164) 으로 전송된다. 프로세스 가스들이 상부 전극 (166) 과 척 (164) 간에 공급되고 수정된 RF 신호가 척 (164) 에 공급되면, 프로세스 가스들이 점화되어서 플라즈마 챔버 (112) 내에서 플라즈마를 생성한다.
센서 (116) 에 의해서 감지된 역방향 전력 및 순방향 전력 Prev Pfwd 은 프로세서 (110) 에 의해서 케이블 (172A), 예를 들어서, 데이터의 병렬 전달을 용이하게 하는 케이블, 데이터의 직렬 전달을 용이하게 하는 케이블, 또는 USB (Universal Serial Bus) 케이블을 통해서 수신된다. 마찬가지로, y 및 z MHz RF 생성기들의 센서들에 의해서 측정된 역방향 및 순방향 전력들이 프로세서 (110) 에 의해서 케이블들 (172B 및 172C) 을 통해서 수신된다.
프로세서 (110) 는 x MHz RF 생성기에 의해서 임피던스 매칭 네트워크 (102) 로 전달된 이론상 전력 Pdeltheor, 예를 들어, Pdelin, 등을 함수로서, 예를 들어, 순방향 전력 Pfwd 과 역방향 전력 Prev 간의 차 등으로서 산출한다. 마찬가지로, 프로세서 (110) 는 y 및 z MHz RF 생성기들에 의해서 임피던스 매칭 네트워크 (102) 로 전달된 이론상 전력을 y 및 z RF 생성기들에 의해서 공급된 순방향 전력과 플라즈마 챔버 (112) 로부터 y 및 z MHz RF 생성기들로 향해서 반사된 역방향 전력의 함수로서 계산한다.
일부 실시예들에서, 센서 (116) 는 임피던스 매칭 네트워크 (102) 의 입력부 (176) 에서의 이론상 전력 Pdeltheor 을 측정한다.
프로세서 (110) 는 순방향 전력 Pfwd 에 대한 역방향 전력 Prev 의 비를 계산하여서 RF 전력 반사 비 Γ2 을 더 계산하고 RF 전력 반사 비의 크기 |Γ2| 를 더 산출한다. 예를 들어서, 프로세서 (110) 는 순방향 전력 Pfwd 에 대한 역방향 전력 Prev 의 제산을 수행하여서 RF 전력 반사 비 Γ2 을 생성한다. 다른 실례로서, RF 전력 반사 비는 다음으로서 제공된다:
Γ2 = Prev/Pfwd (1)
마찬가지로, 프로세서 (110) 는 y 및 z MHz RF 생성기들의 센서들로부터 수신된 순방향 및 역방향 전력들로부터 RF 전력 반사 비들을 계산한다.
또한, 프로세서 (110) 는 RF 케이블 (104A) 의 케이블 전력 감쇠 분율을 x MHz RF 생성기의 동작 주파수에 기초하여서 결정한다. 예를 들어서, 프로세서 (110) 는 RF 케이블 (104A) 의 케이블 전력 감쇠 분율을, x MHz RF 생성기의 대응하는 동작 주파수를 탐색함으로써 호스트 시스템 (118) 의 메모리 디바이스 내의 다수의 RF 생성기들의 동작 주파수 리스트를 포함하는 탐색 테이블 (lookup table) 로부터, 식별한다. x MHz RF 생성기의 동작 주파수에 기초하여서, 케이블 전력 감쇠 분율이 식별된다. 마찬가지로, 프로세서 (110) 는 RF 케이블들 (104B) 및 (104C) 의 케이블 전력 감쇠 분율들을 결정한다.
프로세서 (110) 는 RF 케이블 (104A) 과 연관된, 예를 들어서 RF 케이블 (104A) 에 의해서 유발된 케이블 전력 손실 Pdelloss 을, RF 전력 반사 비 Γ2 및 케이블 전력 감쇠 분율 A의 함수로서 더 계산한다. 예를 들어서, 케이블 전력 손실 Pdelloss 은 다음으로서 제공된다:
Figure pat00001
케이블 전력 손실 Pdelloss 은 이론상 전력 Pdeltheor, 케이블 전력 감쇠 분율 A 와 일 항의 곱이다. 이 항은 1 과 RF 전력 반사 비 Γ2 간의 합과 1 과 RF 전력 반사 비 Γ2 간의 차 간의 비를 포함한다. 일부 실시예들에서, 케이블 전력 손실 Pdelloss 은 RF 케이블 (104A) 내에서 발생하는 전달된 전력의 손실이다. 마찬가지로, 프로세서 (110) 는 RF 케이블들 (104B) 및 (104C) 과 연관된 케이블 전력 손실들을 산출한다.
프로세서 (110) 는 x MHz RF 생성기에 의해서 임피던스 매칭 네트워크 (102) 로 전달될 실제 전력 Pdelactual 을, 이론상 전달된 전력 Pdeltheor 및 케이블 전력 손실 Pdelloss 에 기초하여서 계산한다. 실제 전력 Pdelactual 은 전달된 전력 Pdeltheor 과 RF 케이블 (104A) 의 케이블 전력 손실 Pdelloss 간의 차로서 산출된다. 마찬가지로, 프로세서 (110) 는 y 및 z MHz RF 생성기들에 의해서 임피던스 매칭 네트워크 (102) 로 전달될 실제 전력들을 계산한다.
일부 실시예들에서, 프로세서 (110) 는 계산된 실제 전력 Pdelactual을 케이블 (174A) 을 통해서 x MHz RF 생성기의 DSP (160) 로 전송한다. 마찬가지로, 프로세서 (110) 는 y 및 z MHz RF 생성기들에 대응하는 계산된 실제 전력들을 케이블들 (174B 및 174C) 을 통해서 y 및 z MHz RF 생성기들의 DSP들에 전송한다. 각 케이블 (174A, 174B, 및 174C) 의 실례들은 데이터의 병렬 전달을 용이하게 하는 케이블, 데이터의 직렬 전달을 용이하게 하는 케이블 및 USB 케이블을 포함한다.
DSP (160) 는 계산된 실제 전력 Pdelactual 을 수신하고 실제 전력 Pdelactual 에 대응하는 구동 실제 전력 값을 검색, 예를 들어서 판독 등을 하여서 이 구동 실제 전력 값을 RF 공급부 (162) 에 제공한다. 예를 들어서, DSP (160) 는 x MHz RF 생성기의 메모리 디바이스 내에 저장된 탐색 테이블 내에서, 계산된 실제 전력 Pdelactual 에 대응하는 구동 실제 전력 값을 식별한다. 다른 실례로서, 구동 실제 전력 값은 계산된 실제 전력 Pdelactual 과 동일하다. 마찬가지로, y 및 z MHz RF 생성기들의 DSP들도 계산된 실제 전력값들을 프로세서 (110) 로부터 케이블들 (174B 및 174C) 을 통해서 수신하고 구동 실제 전력 값을 검색하여서 y 및 z MHz RF 생성기들의 RF 공급부들에 제공한다.
RF 공급부 (162) 는 구동기 (미도시) 및 구동기에 접속된 증폭기 (미도시) 를 포함한다. RF 공급부 (162) 의 구동기는 DSP (160) 로부터 구동 실제 전력 값을 수신하여서 이 구동 실제 전력 값을 갖는 RF 신호를 생성한다. RF 공급부 (162) 의 증폭기는 생성된 RF 신호를 증폭, 예를 들어, 그 크기를 증가시켜서 증폭된 RF 신호를 RF 케이블 (104A) 을 통해서 임피던스 매칭 네트워크 (102) 에 전송한다. 일부 실시예들에서, 증폭이 수행되지 않아서 증폭된 RF 신호의 크기가 RF 공급부 (162) 의 구동기에 의해서 생성된 RF 신호의 것과 동일하다. 마찬가지로, 증폭된 RF 신호들이 y 및 z MHz RF 생성기들의 RF 공급부들에 의해서 생성된다.
임피던스 매칭 네트워크 (102) 부하의 임피던스를 소스의 임피던스와, RF 케이블 (104A) 을 통해서 RF 공급부 (162) 로부터 수신된 증폭된 RF 신호 및 y 및 z MHz RF 생성기들의 RF 공급부들로부터 수신된 증폭된 RF 신호들에 기초하여서 근사적으로 매칭하여서 수정된 RF 신호를 생성하고, 이 수정된 RF 신호를 RF 전송 라인 (114) 을 통해서 척 (164) 으로 제공하여서 플라즈마 챔버 (112) 내에서 플라즈마를 생성하거나 수정한다. 예를 들어서, 임피던스 매칭 네트워크 (102) 는 부하의 임피던스가 소스의 임피던스의 임계치 내에 있도록 매칭시킨다. 다른 실례로서, 임피던스 매칭 네트워크 (102) 는 부하의 임피던스를 소스의 임피던스와 실질적으로 매칭시킨다.
위에서 기술된 바와 유사한 방식으로, 임피던스 매칭 네트워크 (102) 는 수정된 RF 신호를 x, y, 및 z MHz RF 생성기들로부터 수신된 RF 신호들에 기초하여서 생성하여서 수정된 RF 신호를 RF 전송 라인 (114) 을 통해서 플라즈마 챔버 (112) 로 제공하여서 플라즈마 챔버 (112) 내에서 플라즈마를 생성하거나 수정한다.
일부 실시예들에서, 프로세서가 계산된 실제 전력 Pdelactual을 생성하는데 사용되는 경우에 임피던스 매칭 네트워크 (102) 의 입력부 (176) 에서 센서 (120) 를 사용할 필요가 없음이 주목되어야 한다. 센서 (120) 가 사용되어서, RF 케이블 (104A) 을 통해서 입력부 (176) 로 전달된 실제 전력 Pdelout 을 계산하기 위해서 더 사용되는 입력부 (176) 에서의 순방향 전력 및 역방향 전력을 측정한다. 센서 (120) 는 비용이 들고 부정확성을 갖는다. 이러한 부정확성은 센서 (120) 에 의해서 정밀하지 않은 실제 전력 값을 생성한다. 또한, 센서 (120) 를 입력부 (176) 에 커플링하고 센서 (120) 를 입력부 (176) 로부터 분리하는 것은 시간 소모성이다. 입력부 (176) 는 x MHz RF 생성기의 출력부 (170) 에 접속된다.
일부 실시예들에서, 플라즈마 시스템 (100) 은 임의의 개수의 RF 생성기들을 포함한다. 예를 들어서, 플라즈마 시스템 (100) 은 1 개 또는 2 개 또는 4 개의 RF 생성기들을 포함한다.
일부 실시예들에서, x, y, 및 z RF 생성기들과 연관된 순방향 전력들, RF 생성기들과 연관된 역방향 전력들, RF 생성기들과 연관된 이론상 전력들, RF 생성기들과 연관된 전력 반사 비들, RF 생성기들과 연관된 RF 전력 반사 비들의 크기들, RF 케이블들 (104A), (104B), 및 (104C) 과 연관된 케이블 전력 감쇠 분율들, 및 RF 케이블들 (104A), (104B), 및 (104C) 과 연관된 케이블 전력 손실들, 및 RF 생성기들에 의해서 임피던스 매칭 네트워크 (102) 로 전달된 실제 전력들은 호스트 시스템 (118) 의 메모리 디바이스 내에 저장된다는 것이 또한 주목되어야 한다.
다양한 실시예들에서, 프로세서 (110) 에 의해서 수행되는 것으로 본 명세서에서 기술된 동작들은 다수의 프로세서들, 예를 들어, 2 개 또는 이보다 많은 프로세서들에 의해서 수행된다.
일부 실시예들에서, 등식 (2) 이 유도된다. 이러한 유도는 프로세서 (110) 에 의해서 수행되며 다음과 같이 기술된다:
Figure pat00002
Pfwd (1 -A) 는 임피던스 매칭 네트워크 (102) 에 도달하는 이론상 전력이며, RPfwd (1 -A) 는 임피던스 매칭 네트워크 (102) 에서 반사된 이론상 전력이며, 여기서 R은 임피던스 매칭 네트워크 (102) 에서의 실제 전력 반사 분율이다. (1-R)Pfwd (1 -A) 는 임피던스 매칭 네트워크 (102) 로 전송된, 예를 들어 전달된 이론상 전력이다. 케이블 전력 감쇠 분율 A을 갖는 RF 케이블에 커플링된 RF 생성기로 복귀된 이론상 전력은 다음과 같다:
Figure pat00003
. 임피던스 매칭 네트워크 (102) 로 실제로 전달될 전력은 다음과 같다:
Figure pat00004
(4)
도 2a는 RF 케이블, 예를 들어, RF 케이블 (104A), 또는 (104B), 또는 (104C) (도 1), 등의 컴퓨터-생성된 모델인 케이블 모델 (180) 의 실시예의 도면이다. 케이블 모델 (180) 은 프로세서 (110) (도 1) 에 의해서 생성되어서 RF 케이블의 케이블 전력 손실을 나타낸다. 예를 들어서, 케이블 모델 (180) 은 프로세서 (110) 에 의해서 RF 케이블 (104A) 로부터 기인되는 전달된 전력의 손실인 케이블 전력 손실 Pdelloss 을 나타낸다. 케이블 모델의 출력부 (184) 에 전달된 전력은 케이블 모델 (180) 의 입력부 (182) 에 전달된 전력 및 케이블 모델에 의해서 표현된 RF 케이블로부터 기인되는 케이블 전력 손실의 함수이다. 예를 들어서, 케이블 모델 (180) 의 출력부 (184) 에 전달된 전력 Pdelout 은 케이블 모델 (180) 의 입력부 (182) 에 전달된 전력 Pdelin 와 RF 케이블 (104A) 로부터 기인되는 케이블 전력 손실 Pdelloss 간의 차이다.
RF 케이블의 케이블 전력 손실은 예를 들어, RF 케이블 (104A), 또는 (104B), 또는 (104C) (도 1), 등과 같은 RF 케이블의 케이블 전력 감쇠 분율 및 이 RF 케이블과 연관된 RF 전력 반사 비의 함수이다. 예를 들어서, 프로세서 (110) 에 의해서 RF 케이블 (104A) 로부터 기인되는 케이블 전력 손실 Pdelloss 은 RF 케이블 (104A) 의 케이블 전력 감쇠 분율 A 및 RF 케이블 (104A) 의 RF 전력 반사 비에 의존한다.
도 2b는 RF 케이블의 컴퓨터-생성된 모델인 다른 케이블 모델 (186) 의 실시예의 도면이다. 케이블 모델 (186) 은 케이블 모델 (180) (도 2a) 의 실례이다. 프로세서 (110) 에 의해서 RF 케이블로부터 기인되는 케이블 전력 손실은 케이블 모델 (186) 의 입력부 (188) 에 전달된 전력, RF 케이블의 케이블 전력 감쇠 분율, 및 이 RF 케이블과 연관된 RF 전력 반사 비의 함수이다. 예를 들어서, 케이블 전력 손실 Pdelloss 은 위의 등식 (2) 에 의해서 제공된다. 케이블 모델의 출력부 (190) 에 전달된 전력은 입력부 (188) 에 전달된 전력 및 케이블 모델 (186) 과 연관된 케이블 전력 손실의 함수이다. 예를 들어서, 출력부 (190) 에 전달된 전력 Pdelout 은 입력부 (188) 에 전달된 전력 Pdelin 과 RF 케이블 (104A) 의 케이블 전력 손실 Pdelloss 간의 차로서 계산된다.
입력부 (188) 는 입력부 (182) (도 2a) 의 실례이며 출력부 (190) 는 출력부 (184) (도 2a) 의 함수이다는 것이 주목되어야 한다.
도 3은 RF 케이블에 의한 전력의 감쇠와 연관된 케이블 전력 감쇠 분율이 이 RF 케이블의 길이 및 RF 케이블에 접속된 RF 생성기의 동작 주파수에 의존함을 예시하는데 사용되는 도면이다. 예를 들어서, 프로세서 (110) (도 1) 는 RF 케이블 (104A) 의 길이 L 및 RF 케이블 (104A) 에 접속되어서 RF 신호를 RF 케이블 (104A) 을 통해서 임피던스 매칭 네트워크 (102) (도 1) 로 공급하는 x MHz RF 생성기의 동작 주파수에 기초하여서 RF 케이블 (104A) (도 1) 의 케이블 전력 감쇠 분율을 결정한다.
도 4는 RF 케이블의 케이블 전력 감쇠 분율과 RF 신호를 RF 케이블 및 임피던스 매칭 네트워크 (102) (도 1) 를 통해서 플라즈마 챔버 (112) (도 1) 로 제공하기 위해서 RF 케이블에 접속된 RF 생성기의 주파수 간의 관계를 예시하도록 도시된 그래프 (190) 의 실시예이다. 그래프 (190) 는 RF 케이블의 100 피트당 데시벨로 측정된 케이블 전력 감쇠 분율 대 RF 케이블에 커플링된 RF 생성기의 동작 주파수의 제곱 근의 플롯을 포함한다. 케이블 전력 감쇠 분율은 y 축 상에 플롯팅되며 동작 주파수의 제곱 근은 x 축 상에 플롯팅된다.
그래프 (190) 는 RF 케이블 1의 플롯 (192) 및 다른 RF 케이블 2의 플롯 (194) 을 포함한다. 플롯 (194) 은 선형이며 플롯 (192) 은 다항식 플롯이다. 일부 실시예들에서, 플롯 (192) 은 지수 함수이다.
RF 케이블의 케이블 전력 감쇠 분율은 RF 케이블에 커플링된 RF 생성기의 동작 주파수와 함께 증가함이 주목되어야 한다.
일부 실시예들에서, RF 케이블의 매 100 피트 대신에, 케이블 전력 감쇠 분율은 RF 케이블의 매 10 피트 또는 1 피트 또는 2 피트 또는 임의의 다른 수치의 피트로 측정된다. 다양한 실시예들에서, 피트 대신에, 임의의 다른 길이 단위, 예를 들어, 미터 또는 센티미터 또는 인치 등이 사용된다.
다양한 실시예들에서, RF 생성기에 커플링된 RF 케이블의 케이블 전력 감쇠 분율은 고 주파수들, 예를 들어, 100 MHz 보다 높은 주파수들, 90 MHz 보다 높은 주파수들, 60 MHz 보다 높은 주파수들 등에 기초하여서 그리고 이러한 주파수들에 대응하는 케이블 전력 감쇠들에 기초하여서 프로세서 (110) 에 의해서 RF 생성기의 동작 주파수에 외삽법에 의해 추정된다 (extrapolated).
도 5는 등식 (2) 의 사용 없이 변수의 모델링 값을 사용하여서 생성된 변수, 예를 들어, 전압, 전류, 등의 값과 이 변수의 측정된 값 간의 오차의 관계를 예시하기 위한 그래프들 (196 및 198) 의 실시예들을 도시한다. 예를 들어서, 그래프 (196) 는 입력부에서 측정된 전압에 대한, 임피던스 매칭 네트워크의 컴퓨터-생성된 모델의 입력부에서 생성된 모델링된 전압에서의 퍼센티지 오차를 플롯팅한다. 또한, 이 실시예에서, 퍼센티지 오차는 y 축 상에 플롯팅되고 측정된 전압은 x 축 상에 플롯팅된다. 이 실례에서, 측정된 전압은 컴퓨터-생성된 모델에 의해서 표현되고 임피던스 매칭 네트워크에 커플링된 RF 케이블의 출력부에서의 전압 센서를 사용하여서 감지된다. 또한, 이 실례에서, 모델링된 전압은 RF 케이블의 컴퓨터-생성된 모델을 통해서 RF 케이블의 컴퓨터-생성된 모델의 입력부에서의 전압의 값을 프로파게이션 (propagation) 함으로써 생성된다. 구체적으로, 모델링된 전압은 RF 케이블의 컴퓨터-생성된 모델의 하나 이상의 요소들의 모델링된 전압들과 전압의 방향성 합 (directional sum) 을 생성함으로써 프로파게이션된다. 이 예시에서, 요소들은 커패시터들, 또는 인덕터들, 또는 이들의 조합을 포함한다. RF 케이블의 컴퓨터-생성된 모델의 요소들은 컴퓨터-생성된 모델에 의해서 표현되는 RF 케이블 블록의 컴포넌트들의 것과 동일하거나 유사한 특성들을 갖는다. 예를 들어서, RF 케이블이 M 커패시턴스 및 N 인덕턴스를 갖는 경우에, 요소들도 M 커패시턴스 및 N 인덕턴스를 갖는다. 다른 실례로서, 컴포넌트들이 실제 인덕터와 직렬로 커플링된 실제 커패시터를 포함하는 경우에, 요소들은 모델링된 인덕터와 직렬로 커플링된 모델링된 커패시터를 포함한다. 또 다른 실례로서, 컴포넌트들이 실제 인덕터와 병렬로 커플링된 실제 커패시터를 포함하는 경우에, 요소들은 모델링된 인덕터와 병렬로 커플링된 모델링된 커패시터를 포함한다. 컴퓨터-생성된 모델의 요소들의 실례들은 하나 이상의 커패시터들, 하나 이상의 인덕터들, 또는 이들의 조합을 포함하는 것이 주목되어야 한다.
마찬가지로, 그래프 (198) 는 입력부에서 측정된 전류에 대한, 임피던스 매칭 네트워크의 컴퓨터-생성된 모델의 입력부에서 생성된 모델링된 전류에서의 퍼센티지 오차를 플롯팅한다. 전류에서의 퍼센티지 오차가 y 축 상에 플롯팅되며 측정된 전류는 x 축 상에 플롯팅된다.
그래프들 (196 및 198) 에서 도시된 바와 같이, 퍼센티지 오차는 1 퍼센트에 가깝고 그 위에 있다.
일부 실시예들에서, 임피던스 매칭 네트워크의 컴퓨터-생성된 모델의 입력부는 임피던스 매칭 네트워크에 접속된 RF 케이블의 컴퓨터-생성된 모델의 출력부에 커플링된다.
도 6은 등식 (2) 가 케이블 전력 손실을 결정하는데 사용되는 경우에 변수에서의 오차의 감소를 예시하기 위한 그래프들 (202 및 204) 의 실시예들이다. 그래프 (202) 는 컴퓨터-생성된 모델에 의해서 표현된 임피던스 매칭 네트워크의 입력부에 전압 센서를 커플링시킴으로써 측정된 전압인 측정된 전압에 대한, 등식 (2) 을 사용하여서 계산된 케이블 전력 손실에 기초하여서 결정된 모델링 전압에서의 퍼센티지 오차를 플롯팅한다. 임피던스 매칭 네트워크의 컴퓨터-생성된 모델은 그의 입력부에서 모델링된 전압을 갖는다. 모델링된 전압은 케이블 전력 손실로부터 프로세서 (110) (도 1) 에 의해서 생성된다. 모델링된 전압에서의 퍼센티지 오차는 y 축 상에 플롯팅되고 측정된 전압은 x 축 상에 플롯팅된다.
마찬가지로, 그래프 (204) 는 임피던스 매칭 네트워크의 컴퓨터-생성된 모델에 의해서 표현된 임피던스 매칭 네트워크의 입력부에 전류 센서를 커플링시킴으로써 측정된 전류인 측정된 전류에 대한, 등식 (2) 의 케이블 전력 손실로부터 결정된 모델링 전류에서의 퍼센티지 오차를 플롯팅한다.
도 6에서 예시된 퍼센티지 오차들은 도 5에 예시된 퍼센티지 오차보다 작다는 것이 주목되어야 한다.
도 7은 모델 노드의 출력부에서의 실제 전달된 전력을 계산하기 위해서 실제 전달된 전력 Pdelactual 의 사용을 예시하는데 사용되는 메모리 디바이스 (122) 의 실시예의 블록도이다. 메모리 디바이스 (122) 는 호스트 시스템 (118) 의 일부이다. 메모리 디바이스 (122) 는 임피던스 매칭 모델 (150), RF 전송 모델 (152), 및 척 모델 (154) 을 포함한다.
임피던스 매칭 모델 (150) 은 모델 (180) (도 2) 에 커플링되어서 실제 전력 Pdelactual을 모델 (180) 의 출력부로부터 수신한다. RF 전송 모델 (152) 은 모델 노드 O1에서 임피던스 매칭 모델 (150) 에 커플링되며, 이 모델 노드는 RF 전송 모델 (152) 의 입력부에서 그리고 임피던스 매칭 모델 (150) 의 출력부에서의 노드이다.
또한, 척 모델 (154) 은 RF 전송 모델 (152) 에 모델 노드 O2 에서 커플링되며, 이 모델 노드는 RF 전송 모델 (152) 의 출력부에서 그리고 척 모델 (154) 의 입력부에서의 노드이다. 또한, 척 모델 (154) 은 모델 노드 O3 을 갖는다.
일부 실시예들에서, 모델은 플라즈마 시스템 (100) (도 1) 의 대응하는 부분의 특성들과 유사한 특성들을 갖는다. 예를 들어서, 임피던스 매칭 모델 (150) 은 임피던스 매칭 네트워크 (102) 의 특성들, 예를 들어, 커패시턴스들, 인덕턴스들, 저항들, 복소 전력, 복소 전압 및 전류들, 등과 유사한 특성들, 예를 들어, 커패시턴스들, 인덕턴스들, 저항들, 복소 전력, 복소 전압 및 전류들, 등을 갖는다. 실례로서, 임피던스 매칭 모델 (150) 은 임피던스 매칭 네트워크 (102) 내의 것들과 동일한 개수의 커패시터들 및/또는 인덕터들 및/또는 저항기들을 가지며 임피던스 매칭 네트워크 (102) 내에서와 동일한 방식으로 커패시터들 및/또는 인덕터들 및/또는 저항기들이 서로 연결되는데 예를 들어, 직렬, 병렬 등으로 연결된다. 예를 제공하자면, 임피던스 매칭 네트워크 (102) 가 인덕터에 직렬로 커플링된 커패시터를 포함하는 경우에, 임피던스 매칭 모델 (150) 도 인덕터에 직렬로 커플링된 커패시터를 포함한다.
다른 실례로서, 임피던스 매칭 네트워크 (102) 는 하나 이상의 전기적 회로 컴포넌트들을 포함하며, 임피던스 매칭 모델 (150) 은 임피던스 매칭 네트워크 (102) 의 설계, 예를 들어, 컴퓨터-생성된 모델을 포함한다. 컴퓨터-생성된 모델은 입력 하드웨어 유닛을 통해서 사용자로부터 수신된 입력 신호들에 기초하여서 프로세서 (110) 에 의해서 생성될 수 있다. 입력 신호들은 모델 내에 포함시킬 전기적 회로 컴포넌트들, 예를 들어, 커패시터들, 인덕터들, 저항기들, 등에 대한 신호들 및 전기적 회로 컴포넌트들을 서로 커플링하는 방식, 예를 들어, 직렬, 병렬 방식 등을 포함한다. 다른 실례로서, 임피던스 매칭 네트워크 (102) 는 하드웨어 전기적 회로 컴포넌트들 및 전기적 회로 컴포넌트들 간의 하드웨어 접속부들을 포함하며, 임피던스 매칭 모델 (150) 은 하드웨어 전기적 회로 컴포넌트들의 소프트웨어 표현들 및 하드웨어 접속부들의 소프트웨어 표현들을 포함한다. 또 다른 실례로서, 임피던스 매칭 모델 (152) 은 소프트웨어 프로그램을 사용하여서 설계되고 임피던스 매칭 네트워크 (102) 는 인쇄 회로 보드 상에서 제조된다.
본 명세서에서 사용되는 바와 같이, 일부 실시예들에서, 전기적 회로 컴포넌트들은 저항기들, 커패시터들, 인덕터들, 저항기들 간의 접속부들, 인덕터들 간의 접속부들, 커패시터들 간의 접속부들 및/또는 저항기들, 인턱터들 과 커패시터들의 조합 간의 접속부들을 포함한다. 저항기들 간의 접속부들, 인덕터들 간의 접속부들, 및/또는 커패시터들 간의 접속부들의 실례들은 하나 이상의 도전체들을 포함한다.
마찬가지로, RF 전송 모델 (152) 및 RF 전송 라인 (114) 은 동일한 특성들을 갖는다. 예를 들어서, RF 전송 모델 (152) 은 RF 전송 라인 (114) 내의 것과 동일한 개수의 커패시터들 및/또는 인덕터들을 가지며 커패시터들 및/또는 인덕터들은 RF 전송 라인 (114) 내에서의 접속 방식과 동일한 방식으로 서로 접속되는데, 예를 들어, 직렬, 병렬 등으로 접속된다. 더 예를 들면, RF 전송 라인 (114) 이 인덕터와 병렬로 커플링된 커패시터를 포함하는 경우에, RF 전송 모델 (152) 도 인덕터와 병렬로 커플링된 커패시터를 포함한다. 또 다른 실례로서, RF 전송 라인 (114) 은 하나 이상의 전기적 회로 컴포넌트들을 포함하며, RF 전송 모델 (152) 은 RF 전송 라인 (114) 의 설계, 예를 들어, 컴퓨터-생성된 모델을 포함한다.
마찬가지로, 척 모델 (154) 과 척 (164) 도 동일한 특성들을 갖는다. 실례로서, 척 모델 (154) 의 인덕턴스는 척 (164) 의 인덕턴스와 동일하다. 또 다른 실례로서, 척 모델 (154) 의 저항은 척 (164) 의 저항과 동일하다. 다른 실례로서, 척 모델 (154) 은 척 (164) 의 컴퓨터-생성된 모델이다.
임피던스 매칭 모델 (150), RF 전송 모델 (152), 및 척 모델 (154) 은 프로세서 (110) 에 의해서 생성된다.
실제 전력 Pdelactual은 임피던스 매칭 모델 (150) 을 통해서 모델 노드 O1로 프로파게이션된다. 예를 들어서, 실제 전력 Pdelactual 과 임피던스 매칭 모델 (150) 의 전기적 회로 컴포넌트들과 연관된 전달된 전력의 전력 값들의 방향성 합이 계산되어서 모델 노드 O1에서 실제 전달된 전력을 생성한다. 또한, 일부 실시예들에서, 모델 노드 O1에서의 실제 전달된 전력은 RF 전송 모델 (152) 의 전기적 회로 컴포넌트들을 통해서 프로파게이션되어서 모델 노드 O2에서의 실제 전달된 전력을 생성한다. 또한, 다양한 실시예들에서, 모델 노드 O2에서의 실제 전달된 전력은 척 모델 (154) 의 전기적 회로 컴포넌트들을 통해서 프로파게이션되어서 모델 노드 O3에서의 실제 전달된 전력을 생성한다. 예를 들어서, 모델 노드 O2에서의 실제 전달된 전력과 척 모델 (154) 의 전기적 회로 컴포넌트들의 실제 전달된 전력의 방향성 합이 계산되어서 출력부 노드 03에서의 실제 전달된 전력을 생성한다.
다양한 실시예들에서, 실제 전력 Pdelactual 은 임피던스 매칭 모델 (150) 의 일부를 통해서 프로파게이션되어서 임피던스 매칭 모델 (150) 내의 중간의 모델 노드에서의 실제 전달된 전력을 생성한다. 중간 모델 노드는 중간 모델 노드의 일 측에서의 임피던스 매칭 모델 (150) 의 전기적 회로 컴포넌트들과 중간 모델 노드의 다른 측에서의 임피던스 매칭 모델 (150) 의 전기적 회로 컴포넌트들 간에 있다.
마찬가지로, 일부 실시예들에서, 모델 노드 O1에서의 실제 전달된 전력은 RF 전송 모델 (152) 의 일부를 통해서 프로파게이션되어서 RF 전송 모델 (152) 내의 중간 모델 노드에서의 실제 전달된 전력을 생성한다. RF 전송 모델 (152) 의 중간 모델 노드는 이 중간 모델 노드의 일 측에서의 RF 전송 모델 (152) 의 전기적 회로 컴포넌트들과 중간 모델 노드의 다른 측에서의 RF 전송 모델 (152) 의 전기적 회로 컴포넌트들 간에 있다.
또한, 다양한 실시예들에서, 모델 노드 O2에서의 실제 전달된 전력은 척 모델 (154) 의 일부를 통해서 프로파게이션되어서 척 모델 (154) 내의 중간 모델 노드에서의 실제 전달된 전력을 생성한다. 척 모델 (154) 의 중간 모델 노드는 이 중간 모델 노드의 일 측에서의 척 모델 (154) 의 전기적 회로 컴포넌트들과 중간 모델 노드의 다른 측에서의 척 모델 (154) 의 전기적 회로 컴포넌트들 간에 있다.
일부 실시예들에서, 실제 전력 Pdelactual, 모델 노드 O1에서의 실제 전력, 모델 노드 02에서의 실제 전력, 및/또는 모델 노드 O3에서의 실제 전력은 프로세서 (110) 에 의해서 x, y, 및 z MHz RF 생성기들 중 하나 이상으로 전송되어서 x, y, 및 z MHz RF 생성기들 중 하나 이상에 의해서 생성된 RF 신호들을 제어하여서 플라즈마 챔버 (112) 내의 플라즈마를 제어한다. 예를 들어서, 프로세서 (110) 는 실제 전력 Pdelactual이 임계치를 초과한다고 식별한다. 프로세서 (110) 는 RF 공급부 (162) 에 의해서 공급된 RF 신호의 전력을 변화시키는 신호를 x MHz RF 생성기의 DSP (160) 에 전송한다. RF 신호는 변화된 전력량과 함께 RF 케이블 (104A) (도 1), 임피던스 매칭 네트워크 (102), 및 RF 전송 라인 (114) (도 1) 을 통해서 척 (164) 으로 전송되어서 플라즈마 챔버 (112) 내의 플라즈마의 특성을 수정하여서 임계치를 달성한다.
일부 실시예들에서, 본 명세서에서 프로세서 (110) 에 의해서 수행되는 기술된 임의의 기능들은 RF 생성기의 프로세서 또는 프로세서 (110) 와 RF 생성기의 프로세서의 조합에 의해서 수행된다.
도 8은 호스트 시스템 (118) 의 실시예의 도면이다. 호스트 시스템 (118) 은 프로세서 (110), 메모리 디바이스 (122), 입력 디바이스 (220), 출력 디바이스 (222), 입력/출력 (I/O) 인터페이스 (270), I/O 인터페이스 (272), 네트워크 인터페이스 제어기 (NIC) (274), 및 버스 (275) 를 포함한다. 프로세서 (110), 저장 디바이스 (278), 입력 디바이스 (266), 출력 디바이스 (268), I/O 인터페이스 (270), I/O 인터페이스 (272), 및 NIC (274) 는 버스 (275) 를 통해서 서로 커플링된다. 입력 디바이스 (220) 의 실례는 마우스, 키보드, 스타일러스 등을 포함한다. 출력 디바이스 (222) 의 실례는 디스플레이, 스피커, 또는 이들의 조합을 포함한다. 디스플레이는 액정 디스플레이, LED 디스플레이, CRT, 플라즈마 디스플레이, 등을 포함한다. NIC (274) 의 실례는 네트워크 인터페이스 카드, 네트워크 어댑터, 등을 포함한다.
I/O 인터페이스의 예는 인터페이스에 결합된 하드웨어의 피스들 사이의 호환성을 제공하는 인터페이스를 포함한다. 예를 들어, I/O 인터페이스 (270) 는 입력 디바이스 (266) 로부터 수신된 신호를 버스 (275) 와 호환되는 형태, 진폭 및/또는 속도로 변환한다. 다른 예로서, I/O 인터페이스 (272) 는 출력 디바이스 (268) 와 호환되는 형태, 진폭 및/또는 속도로 버스 (275) 로부터 수신된 신호를 변환한다.
전술한 실시예는 예를 들어서 용량 결합형 플라즈마 챔버와 같은 평행 평판 플라즈마 챔버를 참조하여 설명되었지만, 일부 실시예들에서, 상술한 동작들은 예를 들면, ICP 리액터 (inductively coupled plasma reactor) 를 포함하는 플라즈마 챔버, ECR 리액터 (electron-cyclotron resonance reactor) 등을 포함한 플라즈마 챔버 등과 같은 다른 유형의 플라즈마 챔버에도 적용된다는 것이 또한 주목된다. 예를 들어, x, y 및 z MHz RF 생성기들은 ICP 플라즈마 챔버 내의 인덕터에 커플링된다.
위의 동작들이 프로세서 (110) 에 의해서 수행되는 것으로서 기술되었지만, 일부 실시예들에서, 동작들은 호스트 시스템 (118) 의 하나 이상의 프로세서들에 의해서 또는 다수의 호스트 시스템의 다수의 프로세서들에 의해서 또는 RF 생성기들의 다수의 프로세서들에 의해서 수행될 수도 있다는 것이 주목된다.
전술한 실시예들이 플라즈마 챔버의 척의 하부 전극으로 RF 신호를 제공하고 플라즈마 챔버의 상부 전극을 접지시키는 것에 대한 것이지만, 일부 실시예들에서, RF 신호는 상부 전극에 제공되며 하부 전극은 접지된다는 것이 주목되어야 한다.
본 명세서에서 기술된 실시예들은 휴대용 하드웨어 유닛, 마이크로프로세서 시스템, 마이크로프로세서 기반 또는 프로그래밍 가능한 소비자 전자 장치, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성들에서 실시될 수 있다. 실시예들은 또한 네트워크를 통해서 링크된 원격 프로세싱 장치들에 의해서 태스크들이 수행되는 분산형 산출 환경에서 실시될 수도 있다.
상술한 실시들을 염두에 두면서, 본 발명은 컴퓨터 시스템들 내에 저장된 데이터를 참여케 하는 다양한 컴퓨터 구현 동작들을 사용할 수 있다. 이러한 동작들은 물리적 정량들의 물리적 조작을 요구하는 동작들이다. 본 발명의 일부를 형성하면서 본 명세서에서 개시된 동작들 중 임의의 것은 유용한 머신 동작들이다. 또한, 본 발명은 이러한 동작들을 수행하기 위한 디바이스 또는 장치에 대한 것이다. 이 장치는 특정 목적용 컴퓨터와 같이 요구된 목적을 위해서 특정하게 구성될 수 있다. 특정 목적용 컴퓨터로서 규정될 때에, 컴퓨터는 여전히 이 특정 목적을 위해서 동작하면서 이 특정 목적이 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 이와 달리, 동작들은 컴퓨터 메모리, 캐시 내에 저장되거나 네트워크를 통해서 획득된 하나 이상의 컴퓨터 프로그램들에 의해서 선택적으로 활성화 또는 구성되는 범용 컴퓨터에 의해서 처리될 수 있다. 데이터가 네트워크를 통해서 획득될 때에, 데이터는 산출 리소스들의 클라우드와 같은 네트워크상의 다른 컴퓨터들에 의해서 처리될 수 있다.
하나 이상의 실시예들은 또한 비일시적 컴퓨터 판독가능한 매체 상의 컴퓨터 판독 가능한 코드로서 가공될 수 있다. 비일시적 컴퓨터 판독 가능한 매체는 이후에 컴퓨터 시스템에 의해서 판독될 수 있는 데이터를 저장할 수 있는 메모리 디바이스이다. 비일시적 컴퓨터 판독 가능한 매체의 실례는 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프 및 임의의 다른 광학적 데이터 저장 하드웨어 유닛 및 비광학적 데이터 저장 하드웨어 유닛을 포함한다. 비일시적 컴퓨터 판독 가능한 매체는 컴퓨터 판독가능한 코드가 배포되는 방식으로 저장 및 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해서 배포되는 컴퓨터 판독 가능한 유형의 매체를 포함할 수 있다.
위의 방법 동작들이 특정 순서로 기술되었지만, 다른 하우스키핑 (housekeeping) 동작들이 동작들 간에 수행되거나, 동작들이 근소하게 상이한 시간에 발생하도록 조절되거나, 오버레이 (overlay) 동작들에 대한 프로세싱이 소망하는 방식으로 수행되는 한, 프로세싱과 연관된 다양한 인터벌에서 프로세싱 동작들이 발생하도록 하는 시스템 내에서 동작들이 분포할 수 있다.
임의의 실시예에서 하나 이상의 특징들은 본 개시에 기재된 다양한 실시예에 기재된 범위를 벗어나지 않으면서 다른 실시예의 하나 이상의 특징과 조합될 수 있다.
전술한 실시예들은 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 소정의 변경 및 수정이 첨부된 청구 범위 내에서 가능하다. 따라서, 본 실시예들은 한정적인 아닌 예시적으로 해석되어야 하며 본 발명은 본 명세서에서 제공된 세부 사항들로 한정되는 것이 아니라 첨부된 청구 범위 및 이의 균등 범위 내에서 수정될 수 있다.

Claims (20)

  1. 케이블 손실을 모델링하기 위한 방법으로서,
    무선 주파수 (radio frequency: RF) 생성기에서의 역방향 전력의 측정치를 수신하는 단계로서, 상기 RF 생성기는 RF 케이블을 통해서 임피던스 매칭 네트워크에 커플링되고, 상기 임피던스 매칭 네트워크는 RF 전송 라인을 통해서 플라즈마 챔버에 커플링되고, 상기 RF 생성기는 동작 주파수를 갖는, 상기 역방향 전력의 측정치를 수신하는 단계;
    상기 RF 생성기에서의 순방향 전력의 측정치를 수신하는 단계;
    상기 임피던스 매칭 네트워크에 전달된 이론상 전력을 상기 순방향 전력과 상기 역방향 전력 간의 차로서 산출하는 (computing) 단계;
    RF 전력 반사 비를 생성하도록 상기 순방향 전력에 대한 상기 역방향 전력의 비를 계산하는 단계;
    상기 RF 생성기의 상기 동작 주파수에 기초하여서 상기 RF 케이블의 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하는 단계;
    상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전력의 함수로서 케이블 전력 손실을 계산하는 단계;
    상기 임피던스 매칭 네트워크에 전달될 실제 전력 (actual power) 을 상기 이론상 전력 및 상기 케이블 전력 손실에 기초하여서 계산하는 단계; 및
    RF 신호를 생성하도록 상기 계산된 실제 전력을 상기 RF 생성기에 전송하는 단계를 포함하며,
    상기 방법은 프로세서에 의해서 실행되는, 케이블 손실 모델링 방법.
  2. 제 1 항에 있어서,
    상기 순방향 전력의 측정치 및 상기 역방향 전력의 측정치는 복소 전압 및 전류 센서로부터 수신되며,
    상기 복소 전압 및 전류 센서는 상기 RF 생성기에서의 상기 역방향 전력 및 상기 RF 생성기에서의 상기 순방향 전력을 측정하도록 상기 RF 생성기의 출력부에 커플링된, 케이블 손실 모델링 방법.
  3. 제 1 항에 있어서,
    상기 역방향 전력은 반사된 전력을 포함하며,
    상기 반사된 전력은 상기 플라즈마 챔버로부터 상기 RF 생성기를 향해서 반사된 전력을 포함하는, 케이블 손실 모델링 방법.
  4. 제 1 항에 있어서,
    상기 RF 생성기는 RF 전력 공급부 및 상기 RF 전력 공급부에 커플링된 디지털 신호 프로세서 (DSP) 를 포함하며,
    상기 DSP는 상기 RF 신호의 전력의 값을 상기 RF 전력 공급부에 제공하기 위해서 사용되는, 케이블 손실 모델링 방법.
  5. 제 1 항에 있어서,
    상기 임피던스 매칭 네트워크는 부하 (load) 의 임피던스를 소스의 임피던스와 매칭시키기 위해서 사용되며,
    상기 부하는 상기 임피던스 매칭 네트워크의 일 단부에서 상기 임피던스 매칭 네트워크에 커플링되고,
    상기 소스는 상기 임피던스 매칭 네트워크의 다른 단부에서 상기 임피던스 매칭 네트워크에 커플링되는, 케이블 손실 모델링 방법.
  6. 제 1 항에 있어서,
    상기 RF 전송 라인은 RF 스트랩에 커플링된 RF 로드 (rod) 를 포함하고 추가 RF 로드를 포함하며,
    상기 추가 RF 로드는 그의 일 단부에서 상기 RF 스트랩에 커플링되고 그의 다른 단부에서 상기 플라즈마 챔버의 척에 커플링되는, 케이블 손실 모델링 방법.
  7. 제 1 항에 있어서,
    상기 플라즈마 챔버는 척 및 상부 전극을 포함하며,
    상기 상부 전극을 상기 척을 마주보는, 케이블 손실 모델링 방법.
  8. 제 1 항에 있어서,
    상기 순방향 전력은 상기 RF 생성기에 의해서 공급되는 전력을 포함하는, 케이블 손실 모델링 방법.
  9. 제 1 항에 있어서,
    상기 동작 주파수를 주파수들의 리스트로부터 식별하는 단계를 더 포함하며,
    상기 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하는 단계는 상기 동작 주파수에 대응하는 상기 케이블 전력 감쇠 분율을 결정하는 단계를 포함하는, 케이블 손실 모델링 방법.
  10. 제 1 항에 있어서,
    상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전달된 전력의 함수로서 상기 케이블 전력 손실을 계산하는 단계는,
    제 1 결과를 생성하도록 1과 상기 RF 전력 반사 비 간의 합을 계산하는 단계;
    제 3 결과를 생성하기 위해서, 제 2 결과를 생성하도록 1과 상기 RF 전력 반사 비 간의 차를 계산하는 단계;
    상기 제 2 결과에 대한 상기 제 1 결과의 비를 계산하는 단계; 및
    상기 이론상 전달된 전력, 상기 케이블 전력 감쇠 분율 및 상기 제 3 결과 간의 곱을 산출하여서 상기 케이블 전력 손실을 생성하는 단계를 포함하는, 케이블 손실 모델링 방법.
  11. 제 1 항에 있어서,
    상기 임피던스 매칭 네트워크에 전달될 실제 전력 (actual power) 을 상기 이론상 전달된 전력 및 상기 케이블 전력 손실에 기초하여서 계산하는 단계는,
    상기 이론상 전달된 전력과 상기 케이블 전력 손실 간의 차로서 상기 실제 전력을 계산하는 단계를 포함하는, 케이블 손실 모델링 방법.
  12. 제 1 항에 있어서,
    상기 RF 신호는 상기 계산된 실제 전력에 기초하여서 생성된 전력을 갖는, 케이블 손실 모델링 방법.
  13. 방법으로서,
    무선 주파수 (RF) 생성기에서의 역방향 전력의 측정치를 수신하는 단계로서, 상기 RF 생성기는 RF 케이블을 통해서 임피던스 매칭 네트워크에 커플링되고, 상기 임피던스 매칭 네트워크는 RF 전송 라인을 통해서 플라즈마 챔버에 커플링되고, 상기 RF 생성기는 동작 주파수를 갖는, 상기 역방향 전력의 측정치를 수신하는 단계;
    상기 RF 생성기에서의 순방향 전력의 측정치를 수신하는 단계;
    상기 임피던스 매칭 네트워크에 전달된 이론상 전력을 상기 순방향 전력과 상기 역방향 전력 간의 차로서 산출하는 (computing) 단계;
    RF 전력 반사 비를 생성하도록 상기 순방향 전력에 대한 상기 역방향 전력의 비를 계산하는 단계;
    상기 RF 생성기의 상기 동작 주파수에 기초하여서 상기 RF 케이블의 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하는 단계;
    상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전달된 전력의 함수로서 케이블 전력 손실을 계산하는 단계;
    상기 임피던스 매칭 네트워크에 전달될 실제 전력 (actual power) 을 상기 이론상 전달된 전력 및 상기 케이블 전력 손실에 기초하여서 계산하는 단계; 및
    상기 임피던스 매칭 네트워크에 전달된 실제 전력에 기초하여서 컴퓨터-생성된 모델과 연관된 노드에서의 실제 전력을 결정하는 단계를 포함하며,
    상기 방법은 프로세서에 의해서 실행되는, 방법.
  14. 제 13 항에 있어서,
    상기 순방향 전력의 측정치 및 상기 역방향 전력의 측정치는 복소 전압 및 전류 센서로부터 수신되며,
    상기 복소 전압 및 전류 센서는 상기 RF 생성기에서의 상기 역방향 전력 및 상기 RF 생성기에서의 상기 순방향 전력을 측정하도록 상기 RF 생성기의 출력부에 커플링된, 방법.
  15. 제 13 항에 있어서,
    상기 임피던스 매칭 네트워크에 전달된 실제 전력에 기초하여서 컴퓨터-생성된 모델과 연관된 노드에서의 실제 전력을 결정하는 단계는,
    상기 노드에서의 실제 전력의 값을 계산하도록 상기 임피던스 매칭 네트워크에 전달된 실제 전력을 상기 컴퓨터-생성된 모델을 통해서 프로파게이션 (propagation) 시키는 단계를 포함하는, 방법.
  16. 제 15 항에 있어서,
    상기 임피던스 매칭 네트워크에 전달된 실제 전력을 상기 컴퓨터-생성된 모델을 통해서 프로파게이션 (propagation) 시키는 단계는,
    상기 임피던스 매칭 네트워크에 전달된 실제 전력과 상기 컴퓨터-생성된 모델의 하나 이상의 전기적 회로 컴포넌트들과 연관된 하나 이상의 전력 값들의 방향성 합 (directional sum) 을 계산하는 단계를 포함하는, 방법.
  17. 제 13 항에 있어서,
    상기 노드는 상기 컴퓨터-생성된 모델의 입력부에서의 입력 노드 또는 상기 컴퓨터-생성된 모델의 출력부에서의 출력 노드 또는 상기 컴퓨터-생성된 모델 내에서의 중간 노드를 포함하는, 방법.
  18. 제 13 항에 있어서,
    상기 RF 생성기를 제어하도록 상기 노드에서의 실제 전력을 상기 RF 생성기에 전송하는 단계를 더 포함하며,
    상기 RF 생성기를 제어하도록 상기 노드에서의 실제 전력을 상기 RF 생성기에 전송하는 단계는, 임계치를 달성하도록 RF 신호를 생성하기 위해 상기 실제 전력의 값을 전송하는 단계를 포함하는, 방법.
  19. 플라즈마 시스템으로서,
    순방향 전력을 공급하기 위한 무선 주파수 (RF) 생성기로서, 동작 주파수를 갖는, 상기 RF 생성기;
    상기 RF 생성기에 커플링되어서 상기 순방향 전력을 수신하고 상기 순방향 전력에 기초하여서 수정된 RF 신호를 생성하는 임피던스 매칭 회로;
    상기 임피던스 매칭 네트워크로의 상기 순방향 전력의 전달을 실현하기 위해서 (facilitating) 상기 RF 생성기를 상기 임피던스 매칭 회로로 커플링시키는 RF 케이블;
    RF 전송 라인을 통해서 상기 임피던스 매칭 회로에 커플링된 플라즈마 챔버로서, 상기 수정된 RF 신호에 기초하여서 플라즈마를 생성하는, 상기 플라즈마 챔버;
    상기 순방향 전력을 측정하고 역방향 전력을 측정하도록 상기 RF 생성기에 커플링된 센서로서, 상기 역방향 전력은 상기 플라즈마로부터 상기 RF 케이블을 통해서 상기 RF 생성기로 향해서 반사된, 상기 센서; 및
    상기 센서에 커플링되어서 상기 순방향 전력의 측정치 및 상기 역방향 전력의 측정치를 수신하는 호스트 시스템을 포함하며,
    상기 호스트 시스템은,
    상기 임피던스 매칭 회로에 전달된 이론상 전력을 상기 순방향 전력과 상기 역방향 전력 간의 차로서 산출하고;
    RF 전력 반사 비를 생성하도록 상기 순방향 전력에 대한 상기 역방향 전력의 비를 계산하며;
    상기 RF 생성기의 상기 동작 주파수에 기초하여서 상기 RF 케이블의 케이블 전력 감쇠 분율 (cable power attenuation fraction) 을 식별하고;
    상기 RF 전력 반사 비, 상기 케이블 전력 감쇠 분율 및 상기 이론상 전달된 전력의 함수로서 케이블 전력 손실을 계산하며;
    상기 임피던스 매칭 회로에 전달될 실제 전력 (actual power) 을 상기 이론상 전력 및 상기 케이블 전력 손실에 기초하여서 계산하고;
    RF 생성기를 제어하도록 상기 계산된 실제 전력을 상기 RF 생성기에 전송하도록 구성된, 플라즈마 시스템.
  20. 제 19 항에 있어서,
    상기 임피던스 매칭 회로는 상기 임피던스 매칭 회로에서의 순방향 전력 및 상기 임피던스 매칭 회로에서 반사된 역방향 전력을 측정하는데 사용되는 센서로의 접속을 가지지 않는, 플라즈마 시스템.
KR1020150003289A 2014-01-10 2015-01-09 가상 계측을 위한 케이블 전력 손실 결정 KR102247844B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/152,791 2014-01-10
US14/152,791 US9594105B2 (en) 2014-01-10 2014-01-10 Cable power loss determination for virtual metrology

Publications (2)

Publication Number Publication Date
KR20150083800A true KR20150083800A (ko) 2015-07-20
KR102247844B1 KR102247844B1 (ko) 2021-05-04

Family

ID=53521176

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150003289A KR102247844B1 (ko) 2014-01-10 2015-01-09 가상 계측을 위한 케이블 전력 손실 결정

Country Status (4)

Country Link
US (2) US9594105B2 (ko)
KR (1) KR102247844B1 (ko)
SG (1) SG10201408735XA (ko)
TW (1) TWI650563B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170030892A (ko) * 2015-09-10 2017-03-20 세메스 주식회사 플라즈마 발생 장치, rf 신호 공급 방법 및 기판 처리 장치

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170117311A (ko) * 2016-04-13 2017-10-23 램 리써치 코포레이션 Rf 생성기의 복수의 상태들 동안 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템들 및 방법들
EP4010718A4 (en) * 2019-08-09 2023-04-19 Telefonaktiebolaget Lm Ericsson (Publ) REMOTE DIAGNOSTICS OF POWER CABLES SUPPLYING RADIO UNITS IN A RADIO SITE
CN113189417B (zh) * 2021-04-09 2022-07-26 国网浙江乐清市供电有限公司 基于线损动态分析的线损异常判断装置及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020185227A1 (en) * 2001-06-07 2002-12-12 Lam Research Corporation Plasma processor method and apparatus
US20040154540A1 (en) * 2003-02-12 2004-08-12 Toshihiro Hayami Plasma processing unit and high-frequency electric power supplying unit
KR20140000172A (ko) * 2012-06-22 2014-01-02 램 리써치 코포레이션 플라즈마 프로세싱 시스템에서의 제어를 위한 방법 및 장치

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
DE9109503U1 (de) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier Schaltungsanordnung für ein Stromversorgungsgerät für Geräte und Anlagen der Plasma- und Oberflächentechnik
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
JP2006507662A (ja) 2002-06-28 2006-03-02 東京エレクトロン株式会社 プラズマ処理システム内のアーク抑制方法およびシステム
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
WO2004112950A2 (en) 2003-06-20 2004-12-29 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
TWI232942B (en) * 2003-10-03 2005-05-21 Rich Wave Technology Corp Analytical apparatus and method for power gain and loss in multi-stage RF amplifier
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
WO2006135515A1 (en) 2005-06-10 2006-12-21 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
EP2087778A4 (en) 2006-08-22 2010-11-17 Mattson Tech Inc INDUCTIVE PLASMA SOURCE WITH HIGH COUPLING EFFICIENCY
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
EP3062589A1 (en) 2007-08-06 2016-08-31 Plasma Surgical Investments Limited Pulsed plasma device
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101918044B (zh) 2007-11-06 2014-08-27 克里奥医药有限公司 微波等离子体灭菌***及其施放器
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8264154B2 (en) 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
JP2011530833A (ja) 2008-08-12 2011-12-22 アプライド マテリアルズ インコーポレイテッド 静電チャックアセンブリ
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
KR101888324B1 (ko) 2009-11-19 2018-09-06 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US20130119018A1 (en) 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
WO2014070838A1 (en) 2012-10-30 2014-05-08 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020185227A1 (en) * 2001-06-07 2002-12-12 Lam Research Corporation Plasma processor method and apparatus
US20040154540A1 (en) * 2003-02-12 2004-08-12 Toshihiro Hayami Plasma processing unit and high-frequency electric power supplying unit
KR20140000172A (ko) * 2012-06-22 2014-01-02 램 리써치 코포레이션 플라즈마 프로세싱 시스템에서의 제어를 위한 방법 및 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170030892A (ko) * 2015-09-10 2017-03-20 세메스 주식회사 플라즈마 발생 장치, rf 신호 공급 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
US20170146581A1 (en) 2017-05-25
TW201538991A (zh) 2015-10-16
KR102247844B1 (ko) 2021-05-04
TWI650563B (zh) 2019-02-11
US9594105B2 (en) 2017-03-14
SG10201408735XA (en) 2015-08-28
US20150198639A1 (en) 2015-07-16
US9927481B2 (en) 2018-03-27

Similar Documents

Publication Publication Date Title
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US10748748B2 (en) RF impedance model based fault detection
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US9779196B2 (en) Segmenting a model within a plasma system
US10340127B2 (en) Using modeling to determine wafer bias associated with a plasma system
KR102127017B1 (ko) Rf 송신 모델 상의 변수의 값의 결정
US9620337B2 (en) Determining a malfunctioning device in a plasma system
US9530620B2 (en) Dual control modes
US20160109863A1 (en) System, Method and Apparatus for Improving Accuracy of RF Transmission Models for Selected Portions of an RF Transmission Path
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
US9927481B2 (en) Cable power loss determination for virtual metrology
KR102313223B1 (ko) 모델링, 피드백 및 임피던스 매칭을 사용하는 에칭 레이트 제어
KR102223834B1 (ko) 전력 제어 모드를 위한 챔버 정합
US9107284B2 (en) Chamber matching using voltage control mode
KR20140113602A (ko) 모델링을 사용하여서 플라즈마 시스템과 연관된 이온 에너지 결정
TWI639182B (zh) 電漿蝕刻腔室中之rf功率補償用系統、方法、及設備
JP2016081933A (ja) プラズマシステムでの不良に関連する構成要素の識別
KR20140133475A (ko) 플라즈마 시스템 내의 모델의 세그먼트화
CN104730372B (zh) 基于rf阻抗模型的故障检测

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant