KR20140036228A - 높은 압력 베벨 에칭 프로세스 - Google Patents

높은 압력 베벨 에칭 프로세스

Info

Publication number
KR20140036228A
KR20140036228A KR1020137032988A KR20137032988A KR20140036228A KR 20140036228 A KR20140036228 A KR 20140036228A KR 1020137032988 A KR1020137032988 A KR 1020137032988A KR 20137032988 A KR20137032988 A KR 20137032988A KR 20140036228 A KR20140036228 A KR 20140036228A
Authority
KR
South Korea
Prior art keywords
aluminum
bevel edge
gas
edge processing
layer
Prior art date
Application number
KR1020137032988A
Other languages
English (en)
Other versions
KR101958037B1 (ko
Inventor
통 팡
에스. 김윤상
안드레아스 피셔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140036228A publication Critical patent/KR20140036228A/ko
Application granted granted Critical
Publication of KR101958037B1 publication Critical patent/KR101958037B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02021Edge treatment, chamfering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 기판이 반도체 기판 지지부 상에 지지되는 베벨 플라즈마 프로세싱 챔버에서 반도체를 베벨 에지 프로세싱하는 방법이 제공된다. 방법은, 3 내지 100토르의 압력으로 베벨 에칭기를 비우고, 임계값 아래에서 RF 전압을 유지하는 단계; 베벨 플라즈마 프로세싱 챔버로 프로세스 가스를 흐르게 하는 단계; 반도체 기판의 주변에서 플라즈마로 프로세스 가스를 에너자이징하는 단계; 및 플라즈마를 이용하여 반도체 기판을 베벨 프로세싱하는 단계를 포함한다.

Description

높은 압력 베벨 에칭 프로세스{HIGH PRESSURE BEVEL ETCH PROCESS}
반도체 기판이 반도체 기판 지지부 상에 지지되는 베벨 플라즈마 프로세싱 챔버에서 반도체를 베벨 에지 프로세싱하는 방법이 여기에 기재된다. 방법은, 3 내지 100 토르의 압력으로 베엘 에칭기 (etcher) 를 비우고 (evacuate), RF 전압을 유지하는 단ㄱ; 베벨 플라즈마 프로세싱 챔버로 프로세스 가스를 흐르게 하는 단계; 반도체 기판의 주변에서 플라즈마로 프로세스 가스를 에너자이징하는 단계; 및 플라즈마를 이용하여 반도체 기판을 베벨 프로세싱하는 단계를 포함한다.
발명의 다른 표현에서, 웨이퍼의 베벨 상의 알루미늄을 포함하는 적어도 하나의 층을 갖는 스택을 갖춘 반도체 웨이퍼를 프로세싱하기 위한 방법이 제공된다. 웨이퍼는 베벨 에지 프로세싱 챔버에 배치된다. 베벨 에지 프로세싱 챔버는 3 내지 100토르의 압력으로 제공된다. 알루미늄을 포함하는 적어도 하나의 층은 웨이퍼의 베벨 주변에서 에칭되며, 이는, 염소 함유 컴포넌트를 포함하는 알루미늄 에칭 가스를 베벨 에지 프로세싱 챔버로 흐르게 하는 것, 알루미늄을 포함하는 스택의 적어도 하나의 층을 에칭하는 플라즈마로 알루미늄 에칭 가스를 형성하는 것, 및 알루미늄을 포함하는 적어도 하나의 층이 에칭된 이후, 알루미늄 에칭 가스의 흐름을 중지시키는 것을 포함한다. 알루미늄 에칭 가스의 흐름을 중지시킨 이후, 알루미늄을 포함하는 적어도 하나의 층의 일부는 패시베이팅되며, 이는, 플루오르 함유 컴포넌트를 포함하는 알루미늄 패시베이션 가스를 베벨 에지 프로세싱 챔버로 흐르게 하는 것, 3 내지 100토르의 압력을 유지하면서 알루미늄을 포함하는 적어도 하나의 층의 노출된 부분들을 패시베이팅하는 패시베이션 가스를 플라즈마로 형성하는 것, 및 패시베이션 가스의 흐름을 중지시키는 것을 포함한다.
도 1은 일 실시형태에 따른 베벨 에칭기의 개략적인 단면도이다.
도 2는 VCI 전압 측정들에 기초한 아킹-프리 (arcing-free) 프로세스 조건들을 도시한 그래프이다.
도 3a 및 도 3b는 본 발명의 실시형태들에서 사용되는 제어기 (290) 를 구현하는데 적합한 컴퓨터 시스템 (300) 을 도시한다.
도 4는 금속 에칭 및 패시베이션을 제공하는 본 발명의 일 실시형태의 흐름도이다.
도 5는 스택이 형성되는 기판 웨이퍼의 일부의 확대된 단면도의 개략도이다.
도 6은, 에칭 이후의 기판 웨이퍼 및 스택의 일부의 확대된 단면도의 개략도이다.
베벨 클린 모듈들 (베벨 에칭기), 예를 들어, CA 프레몬트 소재의 램 리써치 코포레이션에 의해 제조된 2300 CORONUSTM 제품은 에지 한정 플라즈마 기술을 사용하여 웨이퍼의 에지 상에서 막들을 제거한다. 65nm 이하의 기술들에 대해, 디바이스 수율 제한기들의 주요 (primary) 소스는 웨이퍼 에지로부터 전달되는 결함들로부터 도래한다. 디바이스 패터닝 동안, 막 증착, 리소그래피, 에칭 및 화학적 기계적 연마의 복잡한 상호작용들은, 웨이퍼 에지 상의 광범위한 범위의 안정되지 않은 막 스택들을 초래한다. 후속 단계들에서, 이들 막 층들은, 웨이퍼의 디바이스 영역으로 전달되는 결함들을 생성할 수 있다. 집적 흐름에서 선택 포인트들에서의 이들 막들의 제거는 감소된 결함들 및 더 높은 디바이스 수율들을 초래한다. 따라서, 에지 한정 플라즈마는 디바이스 제조 프로세스 동안 다수의 단계들에서 웨이퍼 에지 구축 (buildup) 의 제어를 제공한다.
베벨 에칭된 웨이퍼들은, 민감한 BEOL (Back-End-Of-Line) 웨이퍼들 상에서 매크로-아킹, 매크로-아킹, 표면 충전 및 방전 문제들을 나타낼 수 있다. 웨이퍼에서의 RF 전압은 아킹 확률들과 상관되는 것으로 발견되었다. 여기에 기재된 바와 같이, 웨이퍼에서 관측된 (VCI 프로브에 의해 측정된) RF 전압은, 플라즈마 챔버 내의 압력을 증가시킴으로써 주어진 전극 전력 셋팅에 대해 감소될 수 있다. 따라서, 더 높은 압력 레지메 (regime) 들에서, 아킹 문제를 회피하면서 전력 셋팅 및 가스 케미스트리의 관점들에서 프로세스 윈도우를 확대시키는 것이 가능하다. RF 전압은, 디바이스 구조 또는 아킹에 대한 웨이퍼 민감도에 의존하는 임계값 아래에서 유지되는 것이 바람직하다.
예를 들어, 반도체 기판으로부터 베벨 에지 구축을 제거하기 위한 베벨 에칭기 (200) 에서의 플라즈마 프로세싱은, 플루오르-함유 플라즈마를 이용하여 베벨 에지를 에칭하는 것을 포함할 수 있다. 반도체 기판은, 예를 들어, 구리 BEOL (Back-End-Of-Line) 다마신 프로세스를 이용하여 제작된 웨이퍼를 포함할 수도 있다. 반도체 기판은 약 300mm의 직경을 가질 수도 있다. 반도체 기판은, 베벨 에지의 내부에서 노출된 구리를 포함하는 다층 집적 회로 (IC) 디바이스 구조들을 둘러싼 베벨 에지 부분 (예를 들어, 폭이 약 2mm 까지임) 을 포함할 수도 있다. 노출된 구리 표면들은 웨이퍼에 걸쳐있는 탄탈륨-함유 시드층들 상에 구리 표면들을 포함할 수도 있다.
이제 도 1을 참조하면, 그의 개시물이 여기에 참조로서 포함되는 공동 양도된 미국 특허 출원 공개공보 제 2008/0182412호에 기재된 바와 같이, 일 실시형태에 따른 기판 (218) 의 베벨 에지를 세정하기 위한 기판 에칭 시스템 또는 베벨 에칭기 (200) 의 개략적인 단면도가 도시되어 있다.
베벨 에칭기 (200) 는 일반적으로, 선대층 형상을 갖고 (하지만 이에 제한되지는 않음), 간략화를 위해, 측 단면도의 절반만이 도 1에 도시되어 있다. 도시된 바와 같이, 베벨 에칭기 (200) 는, 기판 (218) 이 로딩/언로딩되는 도어 또는 게이트 (242) 를 갖는 챔버 벽 (202); 상부 전극 어셈블리 (204); 상부 전극 어셈블리 (204) 가 매달려 있는 (suspend) 지지부 (208); 및 하부 전극 어셈블리 (206) 를 포함한다. (도 1에 도시되지 않은) 정밀 구동 메커니즘은, 상부 전극 어셈블리 (204) 와 기판 (218) 사이의 갭이 정확히 제어되도록 (양쪽 화살표의 방향으로) 상부 전극 어셈블리 (204) 를 위 아래로 이동시키기 위해 지지부 (208) 에 부착된다.
금속 벨로우들 (250) 은, 지지부 (208) 가 챔버 벽 (202) 에 관해 수직 이동을 갖게 하면서 챔버 벽 (202) 과 지지부 (208) 사이에서 진공 씨일 (seal) 을 형성하는데 사용된다. 지지부 (208) 는 중앙 가스 피드 (통로) (212) 및 에지 가스 피드 (통로) (220) 를 갖는다. 가스 피드들 (212, 220) 중 하나 또는 양자는 베벨 에지를 세정하기 위해 플라즈마로 에너자이징될 프로세스 가스를 전달할 수 있다. 동작 동안, 플라즈마는, 기판 (218) 의 베벨 에지 주변에서 형성되고, 일반적으로 링 형상을 갖는다. 플라즈마가 기판 (218) 의 중앙 부분에 도달하는 것을 방지하기 위해, 상부 전극 어셈블리 (204) 상의 절연체 플레이트 (216) 와 기판 (218) 사이의 공간은 작으며, 일 실시형태에서는 스탭된 홀 (stepped hole) (214) 을 통해 프로세스 가스가 중앙 피드로부터 피드된다. 그 후, 가스는, 기판의 방사 방향으로 상부 전극 어셈블리 (204) 와 기판 (218) 사이의 갭을 통과한다. 각각의 가스 피드는 동일한 프로세스 가스 또는 퍼지 (purge) 가스와 같은 다른 가스들을 제공하는데 사용된다. 예를 들어, 퍼지 가스는 중앙 가스 피드 (212) 를 통해 주입될 수 있지만, 프로세스 가스는 에지 가스 피드 (220) 를 통해 주입될 수 있다. 플라즈마/프로세스 가스는 챔버 공간 (251) 으로부터 바닥 공간 (240) 으로 복수의 홀들 (유출구들) (241) 을 통해 회수되며, 예를 들어, 진공 펌프 (243) 는 세정 동작 동안 바닥 공간 (240) 을 비우는데 사용될 수 있다. 베벨 세정 동작 동안, 챔버 압력은 3 내지 100 토르 (예를 들어, 3 내지 5토르, 5 내지 10토르, 10 내지 50토르 또는 50 내지 100토르) 의 압력으로 유지된다. 그러한 압력은, 그의 개시물이 여기에 참조로서 포함되는 공동 할당된 미국 특허 공개 공보 제 2008/0227301, 2008/0050923, 2008/0156772 및 2008/0190448호에 기재된 예시적인 압력들보다 더 높다.
프로세스 가스는 O2, N2O, CO, COS 및/또는 CO2와 같은 산소-함유 가스를 포함할 수 있다. 예를 들어, SF6, NF3, CxFy (예를 들어, CF4, C2F4, C2F6), CxFyH2 (예를 들어, C3HF, CHF3, CH2F2, C2H2F4) 와 같은 플루오르-함유 가스가 또한 프로세스 가스에 부가될 수 있다. 프로세스 가스에서 플루오르-함유 가스의 양은 베벨 (에지) 에칭에 의해 제거되는 특정한 막(들)에 의존할 수 있다. 예를 들어, 플루오르-함유 가스의 <10 체적% 와 같은 작은 양들 또는 >80 체적% 또는 >90 체적% 와 같은 큰 양들이 프로세스 가스에 존재할 수 있다. 상이한 실시형태들에서, 프로세스 가스는, 예를 들어, 약 5 체적% NF3/밸런스 CO2 또는 약 10 체적% CF4/밸런스 CO2를 포함할 수 있다.
상부 전극 어셈블리 (204) 는, 상부 유전체 플레이트 또는 상부 유전체 컴포넌트 (216); 및 적절한 조임 (fastening) 메커니즘에 의해 지지부 (208) 에 고정되고 지지부 (208) 를 통해 접지된 상부 금속 컴포넌트 (210) 를 포함한다. 상부 금속 컴포넌트 (210) 는 알루미늄과 같은 금속으로 형성되며, 애노드화될 수도 있다. 상부 금속 컴포넌트 (210) 는 하나 이상의 에지 가스 통로들 또는 스루 홀들 (222a, 222b) 및 에지 가스 플래넘 (224a) 을 가지며, 여기서, 에지 가스 통로들 또는 스루 홀들 (222a, 222b) 은 동작 동안 유체 연통하기 위해 에지 가스 피드 (220) 에 커플링된다. 상부 유전체 플레이트 (216) 는 상부 금속 컴포넌트 (210) 에 부착되고, 유전체 재료, 예를 들어, 세라믹으로 형성된다. 원한다면, 상부 유전체 플레이트 (216) 는 Y2O3의 코팅을 가질 수도 있다. 벌크 Y2O3 또는 Y2O3 코팅된 부분들, 및 SiC, SiN, Si, AlN 등과 같은 세라믹들은, 웨이퍼 상의 전하들을 소산 (dissipate) 시키고, 따라서, 하부 RF 전압 측정들에 의해 표시된 바와 같이 아킹의 확률을 감소시키기 위한 그들의 능력으로 인해 알루미늄 또는 애노드화된 알루미늄 부분들보다 선호된다. 통상적으로, Al2O3와 같은 몇몇 세라믹들에서 깊은 직선 홀을 드릴 (drill) 하는 것이 어려우며, 따라서, 스탭된 홀 (214) 이 깊은 직선 홀 대신에 사용될 수 있다. 상부 유전체 플레이트 (216) 가 단일 중앙 홀을 갖는 것으로 도시되어 있지만, 상부 유전체 플레이트 (216) 는 임의의 적절한 수의 유출구들을 가질 수도 있으며, 예를 들어, 유출구들은 원한다면 샤워헤드 홀 패턴으로 배열될 수 있다.
하부 전극 어셈블리 (206) 는, 상부 부분 (226a) 및 하부 부분 (226b) 를 갖고, 동작 동안 적소에 기판 (218) 을 홀딩하기 위해 진공 척으로서 기능하도록 선택적으로 동작하는 전력공급된 전극 (226); 기판 (218) 을 위 아래로 이동시키기 위한 리프트 핀들 (230); 핀 동작 유닛 (232); 상부 부분 (238a) 및 하부 부분 (238b) 를 갖는 바닥 유전체 링 (238) 을 포함한다. 일 실시형태에서, 척은 정전척일 수 있다. 이후, 전력공급된 전극이라는 용어는 상부 및 하부 부분들 (226a, 226b) 중 하나 또는 양자를 지칭한다. 유사하게, 바닥 유전체 링 (238) 이라는 용어는 상부 및 하부 부분들 (238a, 238b) 중 하나 또는 양자를 지칭한다. 전력공급된 전극 (226) 은 동작 동안 RF 전력을 수신하도록 무선 주파수 (RF) 전력 소스 (270) 에 커플링된다.
리프트 핀들 (230) 은 실린더형 홀들 또는 경로들 (231) 내에서 수직으로 이동하며, 전력공급된 전극 (226) 에 위치된 핀 동작 유닛 (232) 에 의해 상부 및 하부 위치들 사이에서 이동된다. 핀 동작 유닛 (232) 은, 핀들 주변에서 진공 씨일링된 환경을 유지하기 위해 각각의 리프트 핀 주변에 하우징을 포함한다. 핀 동작 유닛 (232) 은, 로봇 아암 (233) (예를 들어, 각각의 하우징으로 연장하는 세그먼트들을 갖고 각각의 핀에 부착된 수평 아암) 및 아암 액츄에이팅 디바이스 (도 1에 도시되지 않음) 과 같은 임의의 적절한 리프트 핀 메커니즘을 포함하고, 핀 가이드 어셈블리 (233a) 를 갖는다. 간략화를 위해, 로봇 아암의 세그먼트의 팁 부분만이 도 1에 도시되어 있다. 3개 또는 4개의 리프트 핀들이, 예를 들어, 300mm 웨이퍼와 같은 웨이퍼를 리프팅하기 위해 사용될 수 있지만, 임의의 적절한 수의 리프트 핀들 (230) 이 베벨 에칭기 (230) 에서 사용될 수도 있다. 또한, 리프터 벨로우들과 같은 임의의 적절한 메커니즘들은 핀 동작 유닛 (232) 으로서 사용될 수 있다.
기판 (218) 은 하부 전극 또는 하부 구성가능한 플라즈마-배제-구역 (PEZ) 링 (260) 상에 탑재되며, 여기서, PEZ라는 용어는 기판의 중앙으로부터 영역의 외측 에지로의 방사 거리를 지칭하며, 여기서, 베벨 에지를 세정하기 위한 플라즈마가 배제될 것이다. 링 (260) 은 유전체 재료인 것이 바람직하다. 벌크 Y2O3 또는 Y2O3 코팅된 부분들, 및 SiC, SiN, Si, AlN 등과 같은 세라믹들은, 웨이퍼 상의 전하들을 소산시키고, 따라서, 하부 RF 전압 측정들에 의해 표시된 바와 같이 아킹의 확률을 감소시키기 위한 그들의 능력으로 인해 알루미늄 또는 애노드화된 알루미늄 부분들보다 선호된다. 일 실시형태에서, 전력공급된 전극 (226) 의 상부 표면, 기판 (218) 의 바닥 표면, 및 하부 구성가능한 PEZ 링 (260) 의 내부 주변은, 진공 펌프 (236) 와 같은 진공 소스와 유체 연통하는 인클로즈된 (cnclosed) 진공 영역 리세스 (진공 영역) (219) 를 형성한다. 리프트 핀들 (230) 에 대한 실린더형 홀들 또는 경로들은 또한, 가스 통로들로서 공유되며, 그 가스 통로들을 통해, 진공 펌프 (236) 는 동작 동안 진공 영역 (219) 을 비운다. 전력공급된 전극 (226a, 226b) 은, 진공 영역 (219) 에서 임시적인 압력 변동들을 감소시키기 위한, 그리고 다수의 리프트 핀들이 사용되는 경우에서는, 실린더형 홀들에 대한 균일한 흡입 레이트를 제공하기 위한 플래넘 (234) 을 포함한다.
기판 (218) 의 상단 표면 상에 집적 회로들이 존재하며, 그 집적 회로들은, 일련의 프로세스들에 의해 형성된 탄탈륨-함유 시드층들일 수도 있는 노출된 구리 표면들을 포함할 수 있다. 프로세스들 중 하나 이상은 기판에 열 에너지를 전달할 수도 있는 플라즈마의 사용에 의해 수행될 수도 있어서, 기판 상에서 열 응력 (stress) 를 전개지키고, 그에 의해, 웨이퍼 보잉 (bowing) 을 초래한다. 베벨 세정 동작 동안, 기판 보잉은, 기판 (218) 의 상단 및 바닥 표면들 사이의 압력 차이의 사용에 의해 감소될 수 있다. 진공 영역 (219) 에서의 압력은, 플래넘 (234) 에 커플링된 진공 펌프 (236) 에 의해 동작 동안 진공 하에서 유지된다. 상부 유전체 플레이트 (216) 와 기판 (218) 의 상단 표면 사이의 갭을 조정함으로써, 갭 내의 가스 압력은 프로세스 가스(들)의 전체 유동율을 변경시키지 않으면서 변경될 수 있다. 따라서, 갭 내의 가스 압력을 제어함으로써, 기판 (218) 의 상단 및 바닥 표면들 사이의 압력 차이는 변경될 수 있고, 그에 의해, 기판 (218) 상에 인가된 굽힘력 (bending force) 가 제어될 수 있다.
바닥 유전체 링 (238a, 238b) 은, Al2O3, Y2O3 등을 포함하는 세라믹과 같은 유전체 재료로 형성되고, 챔버 벽 (202) 으로부터 전력공급된 전극 (226) 을 전기적으로 분리시킨다. 벌크 Y2O3 또는 Y2O3 코팅된 부분들, 및 SiC, SiN, Si, AlN 등과 같은 세라믹들은, 웨이퍼 상의 전하들을 소산시키고, 따라서, 하부 RF 전압 측정들에 의해 표시된 바와 같이 아킹의 확률을 감소시키기 위한 그들의 능력으로 인해 알루미늄 또는 애노드화된 알루미늄 부분들보다 선호된다. 일 실시형태에서, 바닥 유전체 링의 하부 부분 (238b) 은, 전력공급된 전극 (226) 의 하부 에지 상의 리세스와 맞물림 (mate) 하도록 그의 상부 표면의 내부 주변 상에 형성된 스텝 (252) 을 갖는다. 일 실시형태에서, 하부 부분 (238b) 은, 포커스 링으로서 지칭되는 바닥 유전체 링의 상부 부분 (238a) 상의 스텝된 표면과 맞물림하도록 그의 외측 주변 상에 형성된 스텝 (250) 을 갖는다. 스텝들 (250, 252) 은 전력공급된 전극 (226) 과 바닥 유전체 링 (238) 을 정렬시킨다. 스텝 (250) 은 또한, 전력공급된 전극 (226) 과 챔버 벽 (202) 사이의 직결선 (direct line-of-sight) 을 제거하기 위해 그의 표면을 따라 구불구불한 (tortuous) 갭을 또한 형성하며, 그에 의해, 전력공급된 전극 (226) 과 챔버 벽 (202) 사이의 2차 플라즈마 스트라이크 (strike) 의 확률을 감소시킨다.
베벨 에지 세정 플라즈마 프로세싱은, 예를 들어, NF3 또는 CF4를 포함하는 가스 혼합물을 베벨 에칭기로 피드하고, 가스 혼합물을 플라즈마 상태로 에너자이징하는 단계를 포함할 수 있다. 특히 가스 혼합물은 NF3 및 CO2 또는 CF4 및 CO2를 포함할 수도 있다. 예를 들어, 가스 혼합물은 약 5 체적% NF3/밸런스 CO2 또는 약 10 체적% CF4/밸런스 CO2를 포함할 수도 있다. 가스 혼합물은 반도체 기판의 주변 및/또는 중앙에서 베벨 에칭기로 피드될 수도 있다. 예를 들어, 플루오르-함유 가스 혼합물이 반도체 기판의 주변에서 베벨 에칭기로 피드된 경우, N2 가스는 반도체 기판의 중앙에서 베벨 에칭기로 피드될 수도 있다.
베벨 에칭은 제조되는 디바이스들의 수율의 손실을 유도하는 웨이퍼의 외측 주변에서의 아킹을 초래할 수 있다. 그러한 수율 손실들은 웨이퍼 수율의 3%를 초과할 수 있다. 따라서, 베벨 에지 세정된 웨이퍼의 외측 영역에서 아킹을 제거하는 것은, 프로세싱된 웨이퍼 상에서의 디바이스들의 수율을 개선시키기 위해 바람직하다.
일 실시형태에서, 플라즈마를 이용한 반도체 웨이퍼의 프로세싱을 위한 조건들은 약 5초, 예를 들어, 약 30초보다 큰 노출 시간, 및 약 50와트, 예를 들어, 50 내지 2000와트보다 큰 RF 전력을 포함한다. 일 실시형태에서, 2 내지 60MHz의 더 높은 RF 전력은 5 내지 3000sccm에서 챔버로 흐르는 프로세스 가스로부터 플라즈마를 생성한다.
도 2는 10sccm NF3, 2000sccm CO2 및 500sccm N2의 프로세스 가스를 이용하여 에칭된 테스트 웨이퍼 베벨을 지지하는 바닥 전극으로의 RF 피드에 접속된 VCI 프로브에 의해 측정된 VCI 전압을 도시한 그래프이다. 도 2에 관측될 수 있는 바와 같이, ≤2토르의 낮은 압력에서, 아킹이 500와트 및 600와트의 전력 레벨들에서 발생했다. 아킹 문제는, 챔버 압력이 그러한 동일한 전력 셋팅들에 대해 5토르 내지 9토르로 증가되었던 경우 관측되지 않았다. 아킹 없는 (arcing free) 프로세스 윈도우는, 아킹을 회피하기에 충분히 낮은 값으로 웨이퍼에서 관측된 RF 전압을 유지하면서, 적어도 3토르의 챔버 압력 내에 놓여 있는 것으로 보여진다. 임계값은 디바이스 구조 및 아킹에 대한 웨이퍼 민감도에 의존할 것이다. 선호되는 실시형태에서, VCI 프로브 모니터링 웨이퍼 전압에 의해 측정된 RF 전압은 약 220볼트보다 크지 않다. 상승된 챔버 압력의 아킹의 제거는 기대되지 않았으며, 높은 압력 베벨 에지 세정 프로세스의 구현은, 베벨 세정된 웨이퍼 상에서 추가적인 프로세싱 단계들을 경험하는 반도체 디바이스들의 수율에서의 실질적인 증가를 제공하도록 기대될 수 있다.
베벨 에칭기 (200) 는 제어기 (290) 에 의해 제어된다. 도 3은 본 발명의 실시형태들에서 사용되는 제어기 (290) 를 구현하는데 적합한 컴퓨터 시스템 (300) 을 도시하는 고레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 보드, 및 작은 핸드헬드 디바이스로부터 거대한 슈퍼 컴퓨터까지의 범위에 있는 많은 물리적인 형태들을 가질 수도 있다. 컴퓨터 시스템 (300) 은 하나 이상의 프로세서들 (302) 을 포함하며, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (304), 메인 메모리 (306) (예를 들어, 랜덤 액세스 메모리 (RAM)), 저장 디바이스 (308) (예를 들어, 하드 디스크 드라이브), 착탈형 저장 디바이스 (310) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (312) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들 등), 및 통신 인터페이스 (314) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (314) 는 소프트웨어 및 데이터가 컴퓨터 시스템 (300) 과 외부 디바이스들 사이에서 링크를 통해 전달되게 한다. 시스템은 또한, 전술된 디바이스들/모듈들이 접속되는 통신 인프라구조 (316) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (314) 를 통해 전달된 정보는, 유선 또는 케이블, 광섬유, 전화 라인, 셀룰러 전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있고 신호들을 운반하는 통신 링크를 통해 통신 인터페이스 (314) 에 의해 수신될 수 있는 전자, 전자기, 광학, 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 그러한 통신 인터페이스를 이용하여, 하나 이상의 프로세서들 (302) 이 네트워크로부터 정보를 수신할 수도 있거나, 상술된 방법 단계들을 수행하는 과정에서 네트워크에 정보를 출력할 수도 있음을 고려한다. 또한, 본 발명의 방법 실시형태들은 프로세서들 상에서만 실행할 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세스들과 함께 인터넷과 같은 네트워크를 통하여 실행할 수도 있다.
"비-일시적인 컴퓨터 판독가능 매체" 라는 용어는 일반적으로, 메인 메모리, 보조 메모리, 착탈형 저장부, 및 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 영속적인 메모리의 다른 형태들과 같은 저장 디바이스들과 같은 매체들을 지칭하는데 사용되며, 캐리어파들 또는 신호들과 같은 일시적인 사항을 커버하도록 해석되지는 않아야 한다. 컴퓨터 코드의 예들은, 예를 들어, 컴파일러에 의해 생성되는 머신 코드, 및 해석기를 사용하여 컴퓨터에 의해 실행되는 고레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체들은 또한, 캐리어파에 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
금속층 에칭 및 패시베이션
도 4는 금속 에칭 및 패시베이션을 제공하는 본 발명의 일 실시형태의 흐름도이다. 웨이퍼는 베벨 플라즈마 프로세싱 챔버에 배치된다 (단계 (404)). 베벨 플라즈마 프로세싱 챔버는 도 2의 베벨 에칭기 (200) 와 동일할 수도 있다. 이러한 실시형태에서, 베벨 에칭기 (200) 는 에칭에 부가하여 패시베이션과 같은 다른 베벨 플라즈마 프로세싱에서 사용된다. 이러한 예에서, 상부 유전체 플레이트 (216) 는 웨이퍼의 상부 표면으로부터 0.35mm 로 배치된다. 다양한 실시형태들에서, 유전체 플레이트는 웨이퍼의 상부 표면으로부터 0.30mm 로부터 0.65mm 까지의 범위에 배치된다.
도 5는 스택이 형성되는 반도체 웨이퍼 (218) 의 일부의 확대된 단면도의 개략도이다. 도면은, 스택의 다양한 층들을 더 명확하게 예시하기 위해 스케일되지 않는다. 스택은, 반도체 디바이스들을 형성할 시에 웨이퍼 상에서 수행되는 다양한 프로세스들의 결과일 수도 있으며, 이는 웨이퍼 베벨 상에 상이한 층들을 부작용으로서 형성할 수도 있다. 이러한 실시형태에서, 스택은, 웨이퍼 (218) 위의 도핑되지 않은 글래스 (실리콘 산화물) USG 층 (504), USG 층 (504) 위의 탄탈륨 질화물 TaN 층 (508), TaN 층 (58) 위의 티타늄 질화물 TiN 층 (512), 및 그 TiN 층 (512) 위의 알루미늄 Al 층 (516) 을 포함한다. 다른 상이한 층 구성들이 다른 실시형태들에서 사용될 수도 있으며, 부가적인 층들은 스택 내의 원하는 층들 사이에서 형성될 수도 있다. 예를 들어, 스택들은 높은-K 금속 게이트 애플리케이션들에 대해 Al, TiN, TaN, TiAlN, TiAl, AlTi, AlTiO 를 포함할 수 있다. 다른 실시형태들은 Al, TiN, TaN, TiAl, TiAlN, 및 W와 같은 다른 금속막들 등에 대해 적용가능하다.
웨이퍼 베벨 위의 스택의 일부가 에칭된다 (단계 (408)). 염소 함유 에칭 가스가 베벨 플라즈마 프로세싱 챔버로 흐른다 (단계 (412)). 바람직하게, 염소 함유 에칭 가스는 BCl3 및 Cl2를 포함한다. 에칭 가스는 플라즈마로 형성된다 (단계 (416)). 플라즈마가 소멸된다. 그 후, 에칭 가스의 흐름이 중지된다 (단계 (420)). 스택의 적어도 하나의 층이 알루미늄 또는 그의 합금인 스택을 에칭하기 위한 특정한 레시피 (recipe) 의 일 예는 90sccm Cl2, 90sccm BCl3, 및 500sccm N2의 에칭 가스를 제공한다. 바람직하게, Cl2 및 BCl3는 웨이퍼 베벨 근처의 웨이퍼의 주변에 직접 제공되지만, N2는 웨이퍼의 중앙에 제공된다. 플라즈마를 형성하기 위해, 5토르의 압력 및 60℃의 온도가 유지되며, 13.6MHz RF 전력의 60와트는 에칭 가스의 흐름이 중지되기 전에 30 내지 90초 동안 제공된다. 플라즈마는, 에칭 가스의 흐름이 중지되기 전에 소멸될 수도 있다.
이러한 에칭이 성공적인 것으로 발견되기 전에, 베벨 상의 Al 합금 제거는, 현재 상업적으로 이용가능하고 반도체 제조들에 의해 광범위하게 적응된 습식 화학적 프로세스에 의해 대부분 달성되었다. 본 발명은 베벨에서의 알루미늄 합금 제거를 위해 건식 베벨 플라즈마 접근법을 사용하며, 이는 다른 모든 대안적인 접근법들보다 더 효율적이고 효과적인 방법을 제공한다. 발명자들은, 본 발명의 방법이 작동하는 것으로 발견되기 전에 베벨 플라즈마 프로세싱 챔버에서 실온으로 알루미늄을 에칭하는 것이 가능하지 않았다고 믿었다. 따라서, N2 또는 Ar 또는 He를 갖는; 플루오르 가스들을 갖거나 없는 케미스트리 Cl2/BCl3가 베벨 프로세싱 챔버에서 알루미늄을 성공적으로 에칭하는데 사용될 수도 있다는 것을 발견한 것은 기대치않은 결과였다. 100mT로부터 100토르까지의 압력이 챔버에 제공되는 것이 선호된다. RF의 100와트로부터 800와트까지의 전력이 제공된다. 200로부터 1000sccm까지의 총 가스 흐름이 10초 내지 300초의 프로세스 시간 동안 제공된다. 척 온도 15℃ 내지 100℃가 유지된다.
부가적으로, 실온 20℃에서의 에칭이 증가된 부식도를 초래했다는 것이 기대치 않게 발견되었다. 에칭이 수행되는 온도, 바람직하게는 적어도 40℃, 더 바람직하게는 적어도 55℃, 가장 바람직하게는 적어도 60℃를 증가시킴으로써, 부식이 감소되거나 제거된다는 것이 기대치 않게 발견되었다.
도 6은, 에칭 이후의 기판 웨이퍼 (218) 및 스택의 일부의 확대된 단면도의 개략도이다. 스택은 웨이퍼의 베벨 영역으로부터 제거된다. 이러한 예에서, 에칭 베벨 영역은 웨이퍼의 에지로부터 1 내지 3mm에 존재한다. 에칭은 알루미늄 층의 측면 상에 알루미늄 층 (516) 의 노출된 표면을 생성한다. 제거 경계에서의 알루미늄 층의 측면 상의 노출된 표면은 부식을 겪는다. 본래의 (native) 알루미늄 산화물층이 부식을 방지하는 알루미늄의 상단 표면 상에 형성되는 것으로 믿어지기 때문에, 알루미늄의 상단 표면은 부식을 겪지 않을 수도 있다. 노출된 표면이 부식을 방지하기에 충분한 알루미늄 산화물 층을 형성하지 않는다고 믿어진다.
KLA-TencorTM에 의한 VisEdgeTM 툴, XSEM (단면 SEM), 상하 SEM (스캐닝 전자 현미경), EDX (에너지-분산형 x-레이 분광기) 를 사용하는 것과 같은 다양한 검출 방법들이 패시베이션 없는 노출된 표면 상에서 발생하는 알루미늄 부식의 양을 결정하는데 사용되었다. 충분한 양의 부식이 발견되었다.
부식을 방지하기 위해, 패시베이션 단계가 수행된다 (단계 (424)). 패시베이션 단계에서, 플루오르 함유 패시베이션 가스가 베벨 플라즈마 프로세싱 챔버로 흐르게 된다 (단계 (428)). 바람직하게, 패시베이션 가스는 CF4, 및 SF6 또는 NF3 중 적어도 하나를 포함한다. 패시베이션 가스는 플라즈마로 형성된다 (단계 (432)). 패시베이션 가스의 흐름이 중지된다 (단계 (436)). 플라즈마는, 패시베이션 가스의 흐름이 중지되기 전에 소멸될 수도 있다. 스택을 패시베이팅하기 위한 특정한 레시피의 일 예는 20sccm SF6, 90sccm CF4, 및 500sccm N2의 패시베이팅 가스를 제공한다. 바람직하게, SF6 및 CF4는 웨이퍼의 주변 근처의 가스 유출구들에 의해 제공되며, N2는 TiN 및 TaN을 에칭하기 위해 및 q-시간 결함들을 완화시키기 위해 웨이퍼의 중앙 근처에 제공되며, 그 시간은 주변의 습기와의 반응들에 의해 초래되는 시간 의존 결함들이다. 플라즈마를 형성하기 위해, 5토르의 압력 및 60℃의 온도가 유지되며, 13.6MHz RF 전력의 400와트는 패시베이션 가스의 흐름이 중지되기 전에 30 내지 90초 동안 제공된다. 그 후, 웨이퍼는 베벨 플라즈마 프로세싱 챔버로부터 제거된다 (단계 (440)).
베벨 플라즈마 프로세싱 챔버에서 패시베이션을 제공하는 레시피를 발견하기 위해, 다양한 케미스트리들, 온도들, 압력들, 및 전력들의 다양한 실험들, 플라즈마 및 비 플라즈마 프로세스들을 사용하는 것, 퍼지 및 비 퍼지 프로세스들을 사용하는 것이 수행되었으며, 여기서, 습식 박스가 부식을 가속화시키기 위해 사용되었다. 패시베이션 레시피가, 알루미늄 또는 그의 합금과 같은 금속층 베벨 에칭 이후 부식을 방지함으로써 작동하는 것으로 기대치 않게 발견되었다. 베벨 플라즈마 프로세싱 챔버를 사용하여 인시츄 패시베이션을 제공할 수 있는 것은, 부식을 감소시키고, 웨이퍼를 프로세싱하는데 필요한 툴들의 수를 감소시킨다. 3토르보다 큰 높은 압력 및 40℃보다 큰 높은 온도가 부식을 감소시켰고 패시베이션을 개선시켰다는 것이 기대치 않게 발견되었다. 적어도 5토르의 압력 및 55℃의 온도가 부식을 추가적으로 감소시켰고 패시베이션을 개선시켰다는 것이 추가적으로 발견되었다. 적어도 60℃의 온도가 부식을 더 추가적으로 감소시켰고 패시베이션을 개선시켰다는 것이 추가적으로 발견되었다. 상기 예는, 에칭 및 패시베이션이 동일한 온도로 및 동일한 챔버에서 수행되게 하며, 이는 더 신속한 스루풋을 허용한다.
층이 알루미늄을 포함하는 것으로 특정된 경우, 이는 그 층이 알루미늄 또는 알루미늄 합금이라는 것을 의미함을 유의해야 한다.
본 발명의 수 개의 선호되는 실시형태들의 관점들에서 설명되었지만, 본 발명의 범위 내에 있는 수정물들, 치환물들, 및 다양한 대체적인 등가물들이 존재한다. 본 발명의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 존재함을 또한 유의해야 한다. 따라서, 다음의 첨부한 청구항들이 본 발명의 실제 사상 및 범위 내에 있는 것으로 그러한 모든 수정물들, 치환물들, 및 다양한 대체적인 등가물들을 포함하는 것으로서 해석됨이 의도된다.

Claims (25)

  1. 반도체 기판이 반도체 기판 지지부 상에서 지지되는 베벨 에지 프로세싱 챔버에서 플라즈마를 이용하여 상기 반도체 기판을 베벨 에지 프로세싱하는 방법으로서,
    상기 베벨 에지 프로세싱 챔버를 3 내지 100토르의 압력으로 비우면서, 상기 베벨 에지 프로세싱 챔버에서 상기 플라즈마를 이용하여 상기 반도체 기판을 베벨 에지 프로세싱하는 단계를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 베벨 에지 프로세싱은,
    CF4, 및 SF6 또는 NF3 중 적어도 하나를 포함하는 프로세싱 가스를 상기 베벨 에지 프로세싱 챔버로 흐르게 하는 단계;
    상기 프로세싱 가스를 플라즈마로 형성하는 단계; 및
    상기 프로세싱 가스의 흐름을 중지하는 단계를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 베벨 에지 프로세싱은, 베벨 에지 상에 스택 에칭을 제공하는 단계를 포함하고,
    상기 스택의 적어도 하나의 층은 알루미늄을 포함하며,
    상기 스택 에칭을 제공하는 단계는,
    염소 함유 컴포넌트를 포함하는 알루미늄 에칭 가스를 상기 베벨 에지 프로세싱 챔버로 흐르게 하는 단계;
    상기 알루미늄을 포함하는 스택의 적어도 하나의 층을 에칭하는 플라즈마로 상기 알루미늄 에칭 가스를 형성하는 단계; 및
    상기 알루미늄을 포함하는 적어도 하나의 층이 에칭된 이후, 상기 알루미늄 에칭 가스의 흐름을 중지하는 단계를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  4. 제 3 항에 있어서,
    상기 베벨 에지 프로세싱은,
    상기 알루미늄 에칭 가스의 흐름을 중지한 이후, 플루오르 함유 컴포넌트를 포함하는 알루미늄 패시베이션 가스 (passivation gas) 를 상기 베벨 에지 프로세싱 챔버로 흐르게 하는 단계;
    3 내지 100토르의 압력을 유지하면서 알루미늄을 포함하는 적어도 하나의 층의 노출된 부분들을 패시베이팅하는 상기 패시베이션 가스를 플라즈마로 형성하는 단계; 및
    상기 패시베이션 가스의 흐름을 중지하는 단계를 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  5. 제 4 항에 있어서,
    상기 알루미늄 패시베이션 가스는 CF4, 및 SF6 또는 NF3 중 적어도 하나를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  6. 제 5 항에 있어서,
    상기 알루미늄 에칭 가스는 BCl3 및 Cl2를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  7. 제 6 항에 있어서,
    상기 베벨 에지 프로세싱은, 40℃ 보다 큰 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  8. 제 6 항에 있어서,
    상기 베벨 에지 프로세싱은 적어도 55℃의 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  9. 제 8 항에 있어서,
    상기 스택은, TiN의 적어도 하나의 층, TaN의 적어도 하나의 층, 및 적어도 하나의 실리콘 산화물 층을 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  10. 제 3 항에 있어서,
    상기 베벨 에지 프로세싱은 적어도 55℃의 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  11. 제 3 항에 있어서,
    상기 알루미늄 에칭 가스는 BCl3 및 Cl2를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  12. 제 11 항에 있어서,
    상기 베벨 에지 프로세싱은 40℃ 보다 큰 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  13. 제 1 항에 있어서,
    상기 베벨 에지 프로세싱은,
    상기 알루미늄 에칭 가스의 흐름을 중지한 이후, 플루오르 함유 컴포넌트를 포함하는 알루미늄 패시베이션 가스를 상기 베벨 에지 프로세싱 챔버로 흐르게 하는 단계;
    3 내지 100 토르의 압력을 유지하면서 상기 알루미늄의 노출된 부분들을 패시베이팅하는 상기 베이베이션 가스를 플라즈마로 형성하는 단계; 및
    상기 패시베이션 가스의 흐름을 중지하는 단계를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  14. 반도체 웨이퍼의 베벨 상에 알루미늄을 포함하는 적어도 하나의 층을 갖는 스택을 갖춘 상기 반도체 웨이퍼를 프로세싱하기 위한 방법으로서,
    베벨 에지 프로세싱 챔버에 상기 웨이퍼를 배치시키는 단계;
    3 내지 100 토르 사이의 베벨 에지 프로세싱 챔버 압력을 제공하는 단계; 및
    상기 웨이퍼의 베벨 주변에 알루미늄을 포함하는 적어도 하나의 층을 에칭하는 단계를 포함하며,
    상기 적어도 하나의 층을 에칭하는 단계는,
    염소 함유 컴포넌트를 포함하는 알루미늄 에칭 가스를 상기 베벨 에지 프로세싱 챔버로 흐르게 하는 단계;
    상기 알루미늄을 포함하는 스택의 적어도 하나의 층을 에칭하는 플라즈마로 상기 알루미늄 에칭 가스를 형성하는 단계;
    상기 알루미늄을 포함하는 적어도 하나의 층이 에칭된 이후, 상기 알루미늄 에칭 가스의 흐름을 중지하는 단계; 및
    상기 알루미늄 에칭 가스의 흐름을 중지한 이후, 상기 알루미늄을 포함하는 적어도 하나의 층의 일부를 패시베이팅하는 단계를 포함하고,
    상기 적어도 하나의 층의 일부를 패시베이팅하는 단계는,
    플루오르 함유 컴포넌트를 포함하는 알루미늄 패시베이션 가스를 상기 베벨 에지 프로세싱 챔버로 흐르게 하는 단계;
    3 내지 100토르의 압력을 유지하면서, 상기 알루미늄을 포함하는 적어도 하나의 층의 노출된 부분들을 패시베이팅하는 상기 패시베이션 가스를 플라즈마로 형성하는 단계; 및
    상기 패시베이션 가스의 흐름을 중지하는 단계를 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 알루미늄 패시베이션 가스는 CF4, 및 SF6 또는 NF3 중 적어도 하나를 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 알루미늄 에칭 가스는 BCl3 및 Cl2를 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 베벨 에지 프로세싱은, 40℃ 보다 큰 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  18. 제 17 항에 있어서,
    상기 베벨 에지 프로세싱은 적어도 55℃의 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  19. 제 14 항 또는 제 15 항에 있어서,
    상기 알루미늄 에칭 가스는 BCl3 및 Cl2를 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  20. 제 14 항, 제 15 항 또는 제 19 항에 있어서,
    상기 베벨 에지 프로세싱은, 40℃ 보다 큰 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  21. 제 14 항, 제 15 항 또는 제 19 항에 있어서,
    상기 베벨 에지 프로세싱은 적어도 55℃의 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 웨이퍼를 프로세싱하기 위한 방법.
  22. 제 3 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 알루미늄 에칭 가스는 BCl3 및 Cl2를 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  23. 제 1 항 내지 제 5 항 또는 제 22 항 중 어느 한 항에 있어서,
    상기 베벨 에지 프로세싱은, 40℃ 보다 큰 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  24. 제 1 항 내지 제 5 항 또는 제 22 항 중 어느 한 항에 있어서,
    상기 베벨 에지 프로세싱은 적어도 55℃의 챔버 온도를 제공하는 단계를 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
  25. 제 3 항 내지 제 5 항 또는 제 22 항 내지 제 24 항 중 어느 한 항에 있어서,
    상기 스택은, TiN의 적어도 하나의 층, TaN의 적어도 하나의 층, 및 적어도 하나의 실리콘 산화물 층을 더 포함하는, 반도체 기판을 베벨 에지 프로세싱하는 방법.
KR1020137032988A 2011-05-11 2012-05-08 높은 압력 베벨 에칭 프로세스 KR101958037B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/105,674 2011-05-11
US13/105,674 US8323523B2 (en) 2008-12-17 2011-05-11 High pressure bevel etch process
PCT/US2012/036954 WO2012154747A2 (en) 2011-05-11 2012-05-08 High pressure bevel etch process

Publications (2)

Publication Number Publication Date
KR20140036228A true KR20140036228A (ko) 2014-03-25
KR101958037B1 KR101958037B1 (ko) 2019-03-13

Family

ID=47139944

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137032988A KR101958037B1 (ko) 2011-05-11 2012-05-08 높은 압력 베벨 에칭 프로세스

Country Status (5)

Country Link
US (1) US8323523B2 (ko)
KR (1) KR101958037B1 (ko)
SG (1) SG194879A1 (ko)
TW (1) TWI576908B (ko)
WO (1) WO2012154747A2 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
CN103972051B (zh) * 2014-05-20 2016-08-17 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法
CN106206236B (zh) * 2016-08-30 2018-05-04 上海华力微电子有限公司 刻蚀设备以及用于去除晶背边缘薄膜的晶背边缘刻蚀方法
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
KR102116474B1 (ko) * 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US7002175B1 (en) * 2004-10-08 2006-02-21 Agency For Science, Technology And Research Method of making resonant tunneling diodes and CMOS backend-process-compatible three dimensional (3-D) integration
JP2007043149A (ja) * 2005-08-04 2007-02-15 Jusung Engineering Co Ltd プラズマエッチング装置
WO2008097996A1 (en) * 2007-02-08 2008-08-14 Lam Research Corporation Bevel clean device
US20100151686A1 (en) * 2008-12-17 2010-06-17 Lam Research Corporation High pressure bevel etch process
KR20100138923A (ko) * 2008-03-14 2010-12-31 램 리써치 코포레이션 웨이퍼 직경보다 큰 플라즈마 배제 구역 링을 이용한 베벨 에칭 필름 프로파일의 제어

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4325984B2 (en) 1980-07-28 1998-03-03 Fairchild Camera & Inst Plasma passivation technique for the prevention of post-etch corrosion of plasma-etched aluminum films
US5438009A (en) * 1993-04-02 1995-08-01 United Microelectronics Corporation Method of fabrication of MOSFET device with buried bit line
US6197388B1 (en) 1999-03-31 2001-03-06 Lam Research Corporation Methods of preventing post-etch corrosion of an aluminum neodymium-containing layer
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
DE10326273B4 (de) * 2003-06-11 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Reduzierung der Scheibenkontaminierung durch Entfernen von Metallisierungsunterlagenschichten am Scheibenrand
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20060016459A1 (en) * 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060054279A1 (en) 2004-09-10 2006-03-16 Yunsang Kim Apparatus for the optimization of atmospheric plasma in a processing system
US20070228008A1 (en) 2004-12-06 2007-10-04 University Of Houston Medium pressure plasma system for removal of surface layers without substrate loss
US7651585B2 (en) 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8083890B2 (en) 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US8475624B2 (en) 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US7718542B2 (en) 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US20080156772A1 (en) 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
WO2009085238A1 (en) 2007-12-27 2009-07-09 Lam Research Corporation Copper discoloration prevention following bevel etch process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US7002175B1 (en) * 2004-10-08 2006-02-21 Agency For Science, Technology And Research Method of making resonant tunneling diodes and CMOS backend-process-compatible three dimensional (3-D) integration
JP2007043149A (ja) * 2005-08-04 2007-02-15 Jusung Engineering Co Ltd プラズマエッチング装置
WO2008097996A1 (en) * 2007-02-08 2008-08-14 Lam Research Corporation Bevel clean device
KR20100138923A (ko) * 2008-03-14 2010-12-31 램 리써치 코포레이션 웨이퍼 직경보다 큰 플라즈마 배제 구역 링을 이용한 베벨 에칭 필름 프로파일의 제어
US20100151686A1 (en) * 2008-12-17 2010-06-17 Lam Research Corporation High pressure bevel etch process
WO2010077299A2 (en) * 2008-12-17 2010-07-08 Lam Research Corporation High pressure bevel etch process

Also Published As

Publication number Publication date
KR101958037B1 (ko) 2019-03-13
WO2012154747A3 (en) 2013-01-17
US8323523B2 (en) 2012-12-04
SG194879A1 (en) 2013-12-30
WO2012154747A4 (en) 2013-03-14
TW201306122A (zh) 2013-02-01
WO2012154747A2 (en) 2012-11-15
TWI576908B (zh) 2017-04-01
US20110275219A1 (en) 2011-11-10

Similar Documents

Publication Publication Date Title
US9960031B2 (en) Plasma processing apparatus and plasma processing method
US7829463B2 (en) Plasma processing method and plasma processing apparatus
US9337056B2 (en) Semiconductor device manufacturing method
KR101958037B1 (ko) 높은 압력 베벨 에칭 프로세스
JP6284786B2 (ja) プラズマ処理装置のクリーニング方法
KR102260339B1 (ko) 반도체 장치의 제조 방법
US20080227301A1 (en) Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US20090221148A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US8262923B2 (en) High pressure bevel etch process
US20110130007A1 (en) In-situ clean to reduce metal residues after etching titanium nitride
WO2009085238A1 (en) Copper discoloration prevention following bevel etch process
JP2017045869A (ja) プラズマ処理方法
TW201820388A (zh) 用於半導體處理之矽基沉積
JP2008226879A (ja) プラズマ処理装置のクリーニング方法、プラズマ処理装置、制御プログラム及びコンピュータ記憶媒体
KR20160149151A (ko) 플라즈마 처리 방법
US7488689B2 (en) Plasma etching method
US11127599B2 (en) Methods for etching a hardmask layer
JP2017059750A (ja) 被処理体を処理する方法
US20230127597A1 (en) High aspect ratio dielectric etch with chlorine
US9711371B2 (en) Method of etching organic film
US11139149B2 (en) Gas injector
US20220028670A1 (en) Plasma processing method and plasma processing apparatus
US20220351980A1 (en) Waterborne dispersion composition
TW202135293A (zh) 對於高深寬比之3d nand 蝕刻的側壁缺口縮減

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant