KR20130141639A - 2개의 스테이지들에서의 균일한 건식 에칭 - Google Patents

2개의 스테이지들에서의 균일한 건식 에칭 Download PDF

Info

Publication number
KR20130141639A
KR20130141639A KR1020137018287A KR20137018287A KR20130141639A KR 20130141639 A KR20130141639 A KR 20130141639A KR 1020137018287 A KR1020137018287 A KR 1020137018287A KR 20137018287 A KR20137018287 A KR 20137018287A KR 20130141639 A KR20130141639 A KR 20130141639A
Authority
KR
South Korea
Prior art keywords
dry
etching
silicon oxide
stage
trenches
Prior art date
Application number
KR1020137018287A
Other languages
English (en)
Other versions
KR101931134B1 (ko
Inventor
동칭 양
징 탕
니틴 인글
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130141639A publication Critical patent/KR20130141639A/ko
Application granted granted Critical
Publication of KR101931134B1 publication Critical patent/KR101931134B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

트렌치들 사이의 보다 균질한 에칭 레이트들을 가능하게 하는, 복수의 트렌치들로부터 실리콘 산화물을 에칭하는 방법이 개시된다. 에칭 이후의 트렌치 내의 에칭된 실리콘 산화물의 표면들이 또한 보다 매끄러울(smoother) 수 있을 것이다. 그러한 방법은 승화 단계가 후속되는 2개의 건식 에칭 스테이지들을 포함한다. 제 1 건식 에칭 스테이지는 실리콘 산화물을 신속하게 제거하고 그리고 큰 고체 잔류물 과립들을 생성한다. 제 2 건식 에칭 스테이지는 실리콘 산화물을 서서히 제거하고 그리고 큰 고체 잔류물 과립들 사이의 작은 고체 잔류물 과립들을 생성한다. 작고 큰 고체 잔류물들 모두는 이어지는 승화 단계에서 제거된다. 2개의 건식 에칭 스테이지들 사이에는 승화 단계가 존재하지 않는다.

Description

2 스테이지들에서의 균일한 건식 에칭{UNIFORM DRY ETCH IN TWO STAGES}
본원은 2010년 12월 14일자로 출원된 미국 가특허출원 제 61/422,942 호의 이익 향유를 주장하고, 상기 가특허출원 전체는 모든 목적에 대해서 본원에서 참조로 포함된다.
기판의 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해서 집적 회로들의 형성이 가능해진다. 기판 상에 패터닝된 재료를 생성하는 것은 노출된 재료를 제거하기 위한 제어된 방법들을 필요로 한다. 화학적 에칭은, 하부 층들 내로 포토레지스트의 패턴을 전사시키는 단계, 층들을 박층화(thinning)하는 단계, 또는 표면 상에 이미 존재하는 피처들(features)의 측방향 치수들을 박층화하는 단계를 포함하는 다양한 목적들을 위하여 이용된다. 종종, 하나의 재료를 다른 재료 보다 더 빨리 에칭하여, 예를 들어, 패턴 전사 프로세스 진행을 돕는, 에칭 프로세스를 가지는 것이 요구될 수 있다. 이러한 에칭 프로세스는 제 2 재료에 대해서 선택적(selective)이라고 지칭된다. 재료들, 회로들 및 프로세스들의 다양성의 결과로서, 다양한 재료들에 대한 선택성을 가지는 에칭 프로세스들이 개발되어 왔다.
SiconiTM 에칭은, 기판을 H2, NF3 및 NH3 플라즈마 부산물들에 동시에 노출시키는 것을 포함하는 원격 플라즈마 보조식 건식 에칭 프로세스이다. 수소 및 불소 종들(species)의 원격 플라즈마 여기는 플라즈마-손상이 없는(plasma-damage-free) 기판 프로세싱을 가능하게 한다. SiconiTM 에칭은 주로 등각적이고(largely conformal) 그리고 실리콘 산화물 층들을 향해서 선택적이나, 실리콘이 비정질인지, 결정질인지 또는 다결정질인지의 여부와 관계없이 실리콘을 용이하게 에칭하지는 못한다. 선택도(selectivity)는 쉘로우 트렌치 아이솔레이션(STI) 및 층-간 유전체(ILD) 리세스(recess) 형성과 같은 적용예들에서 장점들을 제공한다.
도 1-2는 건식 에칭 프로세싱 단계들의 흐름도 및 패터닝된 기판 상의 트렌치들로부터 트리밍된(trimmed) 실리콘 산화물의 연관된 개략적인 측면도들을 도시한다. 프로세스는 패터닝된 기판이 프로세싱 영역으로 이송될 때 시작된다(동작 110). 실리콘 산화물 선택적 건식 에칭은 플라즈마 부산물들이 프로세싱 영역으로 전달될 때 시작된다(동작 120). 선택적인 건식 에칭은 트렌치 내로부터의 실리콘 산화물(150-1)의 소모(consumption) 및 잔류 실리콘 산화물(150-2) 위의 고체 잔류물(155)의 연관된 생성을 초래한다.
SiconiTM 프로세스는, 기판 재료가 제거됨에 따라 기판의 표면 상에서 성장하는 고체 부산물들(155)을 생성한다. 고체 부산물들은, 기판의 온도가 상승될 때, 승화를 통해 후속해서 제거된다(동작 130). 실리콘 산화물 표면 조도 및 트렌치-간(inter-trench) 에칭 레이트(rate) 변동성이 승화 이후에 관찰될 수 있을 것이다.
건식 에칭 프로세스들의 보다 큰 균일도를 제공하기 위한 방법들이 요구된다.
트렌치들 사이의 보다 균질한 에칭 레이트들을 가능하게 하는, 복수의 트렌치들로부터 실리콘 산화물을 에칭하는 방법이 개시된다. 에칭 이후의 트렌치 내의 에칭된 실리콘 산화물의 표면들이 또한 보다 매끄러울(smoother) 수 있을 것이다. 그러한 방법은 승화 단계가 후속되는 2개의 건식 에칭 스테이지들을 포함한다. 제 1 건식 에칭 스테이지는 실리콘 산화물을 신속하게 제거하고 그리고 큰 고체 잔류물 과립들(granules)을 생성한다. 제 2 건식 에칭 스테이지는 실리콘 산화물을 서서히 제거하고 그리고 큰 고체 잔류물 과립들 사이의 작은 고체 잔류물 과립들을 생성한다. 작고 큰 고체 잔류물들 모두는 이어지는 승화 단계에서 제거된다. 2개의 건식 에칭 스테이지들 사이에는 승화 단계가 존재하지 않는다.
본원 발명의 실시예들은 기판 프로세싱 챔버의 기판 프로세싱 영역 내의 패터닝된 기판의 표면 상의 복수의 트렌치들로부터 실리콘 산화물을 에칭하는 방법들을 포함한다. 그러한 방법들은 (1) 복수의 트렌치들 내의 잔류 실리콘 산화물의 표면 상에 제 1 고체 부산물들을 형성하기 위해서, 제 1 건식-에칭 스테이지에서 복수의 트렌치들의 각각 내의 실리콘 산화물을 건식 에칭하는 단계, (2) 복수의 트렌치들 내의 잔류 실리콘 산화물의 표면 상에 제 2 고체 부산물들을 형성하기 위해서, 제 2 건식-에칭 스테이지에서 복수의 트렌치들의 각각 내의 실리콘 산화물을 건식 에칭하는 단계, (3) 상기 복수의 트렌치들로부터 제 1 및 제 2 고체 부산물들을 승화시키는 단계를 순차적으로 포함한다. 실리콘 산화물은 제 1 건식-에칭 스테이지 중에 제 1 에칭 레이트로 제거된다. 제 2 건식-에칭 스테이지 중의 실리콘 산화물의 제 2 에칭 레이트는 제 1 에칭 레이트 보다 작다.
추가적인 실시예들 및 특징들이 부분적으로는 이하의 설명에서 기술되고, 그리고 부분적으로는 명세서를 검토한 당업자에게 자명하게 될 것이며, 또는 개시된 실시예들의 실행에 의해서 학습될 수 있을 것이다. 개시된 실시예들의 특징들 및 장점들은 본원 명세서에서 설명된 수단들(instrumentalities), 조합들 및 방법들에 의해서 실현되고 달성될 수 있을 것이다.
명세서의 나머지 부분들 및 도면들을 참조함으로써, 개시된 실시예들의 본질 및 장점들에 대한 추가적인 이해가 실현될 수 있을 것이다.
도 1a-1d는 건식 에칭 프로세싱 단계들의 흐름도 및 패터닝된 기판 상의 트렌치들로부터 트리밍된 실리콘 산화물의 연관된 개략적 측면도들이다.
도 2는 개시된 실시예들에 따른 건식 에칭 프로세스의 흐름도이다.
도 3a-3d는 개시된 실시예들에 따라 패터닝된 기판 상의 트렌치들로부터 트리밍된 실리콘 산화물의 개략적인 측면도들이다.
도 4는 개시된 실시예들에 따라 에칭 프로세스들을 실시하기 위한 프로세싱 챔버의 단면도이다.
도 5는 개시된 실시예들에 따라 에칭 프로세스들을 실시하기 위한 프로세싱 시스템을 도시한 도면이다.
첨부된 도면들에서, 유사한 성분들 및 특징부들이 동일한 참조 부호(label)를 가질 수 있을 것이다. 또한, 대시(dash) 및 유사한 성분들 간을 구별하는 제 2 부호가 후속하는 참조 부호에 의해서, 동일한 타입의 다양한 성분들이 구별될 수 있을 것이다. 만약 명세서에서 제 1 참조 부호만이 사용된다면, 제 2 참조 부호와 관계없이, 동일한 제 1 참조 부호를 가지는 유사한 성분들 중의 모든 하나의 성분에 대해서 해당 설명이 적용될 수 있을 것이다.
트렌치들 사이에서 보다 균질한 에칭 레이트들을 가능하게 하는, 복수의 트렌치들로부터 실리콘 산화물을 에칭하는 방법이 설명된다. 에칭 후의 트렌치 내의 에칭된 실리콘 산화물의 표면들은 또한 보다 매끄러울 수 있을 것이다. 그러한 방법은 승화 단계가 후속되는 2개의 건식 에칭 스테이지들을 포함한다. 제 1 건식 에칭 스테이지는 실리콘 산화물을 신속하게 제거하고 그리고 큰 고체 잔류물 과립들을 생성한다. 제 2 건식 에칭 스테이지는 실리콘 산화물을 서서히 제거하고 그리고 큰 고체 잔류물 과립들 사이의 작은 고체 잔류물 과립들을 생성한다. 작고 큰 고체 잔류물들 모두는 이어지는 승화 단계에서 제거된다. 2개의 건식 에칭 스테이지들 사이에는 승화 단계가 존재하지 않는다.
SiconiTM 에칭 프로세스들은 건식 에칭 프로세스의 예이고 그리고 삼불화질소(NF3)와 같은 불소 공급원과 조합된 암모니아(NH3)와 같은 수소 공급원을 이용한다. 그러한 조합이 원격 플라즈마 시스템(RPS) 내로 유동되고 그리고 그 내부에서 생성된 플라즈마 유출물들(effluents)이 기판 프로세싱 영역 내로 유동된다. 유출물들은 노출된 실리콘 산화물과 반응하여 고체 잔류물을 형성하고, 이어서 그러한 고체 잔류물이 표면으로부터 승화되어 에칭 프로세스를 완료한다. 그와 같은 건식 에칭으로 처리된 실리콘 산화물 표면에 걸쳐서 두께 변동이 관찰될 수 있을 것이다. 두께 변동은, 브랭킷(blanket) 필름, 넓은 트렌치 또는 심지어 좁은 트렌치 내의 실리콘 표면에 걸친 조도로서, 그 자체가 명백할 수 있을 것이다. 다른 명백한 두께 변동은 상대적으로 좁은 트렌치들 사이의 에칭 레이트 변동으로서 관찰될 수 있을 것이다. 실리콘 산화물 갭필(gapfill)이 근처의 트렌치들에서 상이한 레이트들로 에칭될 수 있을 것이다.
승화 스테이지가 후속되는 2개의 순차적인 건식-에칭 스테이지들을 이용하여 SiconiTM 에칭을 실시하는 것은 좁은 트렌치들 간의 에칭 레이트 변동을 감소시킨다. 제 1 건식-에칭 스테이지는 종래의 SiconiTM 프로세스들과 유사하다. 제 1 스테이지 중에 형성되는 고체 부산물들은 비교적 크고 그리고 개시된 실시예들에서 유사한 크기를 가지는(sized) 좁은 트렌치들 사이에서 가변적인(varing) 표면적들을 노출시킨다. 제 2 건식-에칭 스테이지는 보다 느린 레이트로 실리콘 산화물을 에칭한다. 제 2 건식-에칭 스테이지의 에칭제들(etchants)은 비교적 큰 고체 부산물들의 기공들(pores)을 통해서 확산될 수 있고 그리고 큰 고체 부산물들 하부의 실리콘 산화물의 가변적인 노출된 표면적들과 반응할 수 있다. 제 2 건식-에칭 스테이지들은 큰 부산물들 사이에서 비교적 작은 고체 부산물들을 생성한다. 작은 고체 부산물들의, 중량 단위의(by weight), 양은 보다 적은 양의 큰 고체 부산물들을 가지는 트렌치들 내에서 보다 클 수 있을 것이다. 이러한 방식에서, 실리콘 산화물의 소모(즉, 실리콘 산화물의 전체적인 에칭 레이트)가 분산되며(evened out), 그에 따라 복수의 좁은 트렌치들 사이의 에칭 레이트가 결국 보다 균일해진다. 그에 따라, 중간에 승화 단계가 없는 상태로 2개의 건식-에칭 스테이지들을 순차적으로 조합함으로써, 트렌치-대-트렌치의 균일도가 개선된다. 큰 그리고 작은 고체 부산물들 모두를 제거하기 위해서 2개의 건식-에칭 스테이지들 이후에 승화 단계가 실시된다.
본원 발명을 보다 잘 이해 및 평가하도록 하기 위해서, 이제, 개시된 실시예들에 따른, 각각의, 패터닝된 기판에 적용된 건식 에칭 프로세스의 흐름도 및 프로세스 중의 패터닝된 기판의 도면들인, 도 2 및 도 3a-3d을 참조한다. 복수의 트렌치들 내의 실리콘 산화물 갭필(350-1)을 가지는 패터닝된 기판이 프로세싱 챔버 내로 이송될 때 프로세스가 시작된다(도 3a, 동작 210). 프로세싱 영역과 분리된 플라즈마 영역 내로의 암모니아 및 삼불화질소의 유동들이 개시된다. 분리된 플라즈마 영역은 본원에서 원격 플라즈마 영역으로 지칭될 수 있을 것이고 그리고 샤워헤드(showerhead)에 의해서 기판 프로세싱 영역으로부터 분리된 프로세싱 챔버 내의 격실 또는 프로세싱 챔버로부터 구분된(distict) 모듈이 될 수 있을 것이다. 원격 플라즈마 영역 내에서 생성된 플라즈마 유출물들이 기판 프로세싱 영역 내로 이동되어 패터닝된 기판과 상호작용함으로써 실리콘 산화물을 제거한다.
플라즈마 유출물들과 실리콘 산화물의 상호작용이 2개의 페이즈들(phases)로 분리된다. 제 1 페이즈 중에, 실리콘 산화물 갭필 재료를 신속하게 제거하여(동작 220), 도 3b에 도시된 바와 같이, 제 1 고체 잔류물 과립들(355)을 남기도록, 프로세스 매개변수들이 선택된다. 이어서, 건식 에칭의 제 2 페이즈를 시작하기 위해서 프로세스 매개변수들이 조정된다. 이러한 건식 에칭의 제 2 페이즈 중에, 실리콘 산화물 갭필이 보다 느린 레이트로 제거되고(동작 240) 그리고, 도 3c에 도시된 바와 같이, 실리콘 산화물 갭필(350-3) 위에서 제 1 고체 잔류물 과립들(355) 사이에 제 2 고체 잔류물 과립들(360)을 생성한다. 에칭 레이트들의 측정 목적들을 위해서, 에칭 레이트가 제거되는 실리콘 산화물을 특성화한다는(charaterize) 것을 주지하여야 할 것이다. 에칭 계면(interface)은 고체 잔류물들(355, 360) 아래가 된다. 에칭 레이트를 계측(gauge)하기 위해서 고체 잔류물을 반드시 제거할 필요는 없으나, 고체 잔류물의 승화 이후에 계면을 관찰하는 것이 보다 더 용이해 진다. 플라즈마 유출물들과의 상호작용 동안의 실리콘 산화물의 온도가, 상이한 실시예들에서, 60℃, 50℃, 40℃, 또는 35℃ 중 하나의 미만이 될 수 있을 것이다.
기판을 가열하여 고체 부산물들을 승화시키고(동작 250) 그리고 그 결과가 도 3d에 개략적으로 도시되어 있다. 제 1 고체 잔류물 과립들(355) 및 제 2 고체 잔류물 과립들(360) 모두가 승화 프로세스에 의해서 제거된다. 여러 실시예들에서, 전체 승화 중에, 고체 잔류물 및 실리콘 산화물의 온도가 90℃, 100℃, 120℃, 또는 140℃ 중 하나의 초과로 상승될 수 있을 것이다. 승화의 지속시간은, 여러 실시예들에서, 45초, 60초, 75초, 90초 또는 120초 중 하나의 초과가 될 수 있을 것이다. 개시된 실시예들에서, 트렌치들의 폭이 35 nm, 30 nm, 25 nm, 20 nm, 또는 15 nm 중 하나의 미만일 수 있을 것이다.
플라즈마 유출물들의 유량들, 원격 플라즈마 전력(power) 및 에칭 프로세스의 지속시간들과 같은 프로세스 매개변수들을 변경하는 것은, 실시예들에서, 높은 건식 에칭 레이트 및 낮은 건식 에칭 레이트를 선택하기 위해서 필요한 탄력성(flexibility)을 제공한다. 제 1 건식-에칭 스테이지 중의 건식 에칭 레이트는 제 2 건식-에칭 스테이지 중의 건식 에칭 레이트 보다 더 크다. 제 1 건식-에칭 스테이지 중의 실리콘 산화물의 에칭 레이트는 제 2 건식-에칭 스테이지 중의 에칭 레이트 보다, 본원 발명의 개시된 실시예들에서, 적어도 약 2, 3 또는 5의 배수 인자 만큼 더 클 수 있을 것이다(또는 대략적으로 같을 수 있을 것이다). 제 1 건식-에칭 스테이지 중의 실리콘 산화물의 에칭 레이트가 또한 제 2 건식-에칭 스테이지 중의 에칭 레이트 보다, 실시예들에서, 약 10 또는 5의 배수 인자 만큼 더 작을 수 있을 것이다(또는 대략적으로 같을 수 있을 것이다). 이러한 상한선들이 하한선들과 조합되어 부가적인 실시예들을 형성할 수 있을 것이다. 특별한 실시예에서, 제 1 건식-에칭 스테이지 중의 에칭 레이트가 제 2 건식-에칭 스테이지 중의 에칭 레이트의 1배 내지 약 5배 사이가 될 수 있을 것이다.
실시예들에서, 제 1 건식-에칭 스테이지가 약 15초 또는 약 30초로, 또는 약 15초 또는 약 30초 초과로 지속될 수 있을 것이다. 실시예들에서, 제 1 건식-에칭 스테이지가 약 2 분 또는 약 1 분으로, 또는 약 2분 또는 약 1분 미만으로 지속될 수 있을 것이다. 유사하게, 본원 발명의 실시예들에서, 제 2 건식-에칭 스테이지가 약 30초, 20초, 또는 10초로, 또는 약 30초, 20초, 또는 10초 미만으로 지속될 수 있을 것이다. 제 2 건식-에칭 스테이지가 약 3초, 5초, 또는 10초로, 또는 약 3초, 5초, 또는 10초 보다 길게 지속될 수 있을 것이다. 상한선들 중 임의의 상한선이 하한선들 중 임의의 하한선과 조합되어 부가적으로 개시된 실시예들에서 존재하는 부가적인 범위들을 제공할 수 있을 것이다.
제 1 고체 부산물들은 제 1 건식-에칭 스테이지와 제 2 건식-에칭 스테이지 사이에서 승화되지 않는다. 제 1 건식-에칭 스테이지와 제 2 건식-에칭 스테이지 사이에는 지연이 거의 없거나 본질적으로 없다. 다른 실시예들에서, 프로세스 조건들의 2개의 세트들 사이에 중단이 존재하고, 그러한 중단 중에 에칭제들 및 기타 프로세스 유출물들이 기판 프로세싱 영역으로부터 제거된다. 본원에서 설명된 바와 같이, 플라즈마 유출물들을 생성하기 위해서 다양한 전구체들이 이용될 수 있을 것이다.
일반적으로 말해서, 원격 플라즈마 영역 내에서 불소-함유 전구체가 수소-함유 전구체와 조합되어 에칭 프로세스들에서 사용되는 플라즈마 유출물들을 형성할 수 있을 것이다. 불소-함유 전구체는 삼불화질소, 수소 불화물, 이원자 불소, 일원자 불소 및 불소-치환형 탄화수소들 중 하나 또는 둘 이상을 포함할 수 있을 것이다. 수소-함유 전구체는 원자 수소, 분자 수소, 암모니아, 탄화수소 및 불완전하게 할로겐-치환된 탄화수소(incompletely halogen-substituted hydrocarbon) 중 하나 또는 둘 이상을 포함할 수 있을 것이다.
청구항들의 권리 범위를 정확할 수 있고 또는 정확하지 않을 수 있는 가설적인 메커니즘들로 구속하기를 원치 않으면서, 제 2 건식-에칭 스테이지 중에 생성된 플라즈마 유출물들이 제 1 건식-에칭 스테이지에 의해서 남겨진 비교적 큰 고체 잔류물 주위로 확산될 수 있다는 것이 고려된다. 제 1 건식-에칭 스테이지 또는 제 2 건식-에칭 스테이지 각각은, 제 2 건식-에칭 스테이지가 후속되는 제 1 건식-에칭 스테이지의 순차적인 조합 보다 더 덜-균일한 실리콘 산화물 표면을 생성할 수 있을 것이다. 추가적인 가능한 메커니즘들은, 제 1 건식-에칭 스테이지 중에 보다 서서히 에칭되는, 보다 큰 노출된 실리콘 산화물 구역, 특히 트렌치들을 포함할 수 있을 것이다. 이는, 제 2 건식-에칭 스테이지 유출물들이 실리콘 산화물의 보다 많은 순량(net amount)을 제거할 수 있게 하고, 그에 따라 조합된 빠른-느린 건성 에칭 프로세스를 분산시킨다.
에칭 레이트는 프로세스 매개변수들의 복잡한 함수이다. 그러나, (각각, 제 1 및 제 2 건식-에칭 스테이지들 중의) 빠른 그리고 느린 건식 에칭 프로세스들의 예들이 결정되었다. 제 1 건식-에칭 스테이지들 중의 빠른 건식 에칭 프로세스는 보다 빠른 포화, 즉 에칭의 자기-제한(self-limiting)까지 보다 짧은 시간을 특징으로 한다. 제 1 건식-에칭 스테이지는, 예를 들어, 약 30 초 내에 자기-제한적이 될 수 있을 것인 반면, 제 2 건식-에칭 스테이지는 몇 분(couple minutes) 내에 자기-제한적이 되기 시작할 수 있을 것이다. 지연된 포화는 이미 생성된 고체 잔류물을 지나서 확산할 수 있는 강화된 능력의 지표가 된다(indicative). 하나의 실시예에서, 제 1 건식-에칭 스테이지의 에칭 프로세스(단계 220)가 1 분 또는 그 미만에 자기-제한적이 되기 시작할 수 있는 반면, 제 2 건식-에칭 스테이지의 에칭 프로세스(단계 230)는 적어도 2분까지는 자기-제한적이 되지 않을 수 있을 것이다. 다른 실시예에서, 제 1 건식-에칭 스테이지의 에칭 프로세스(단계 220)가 45-45초 내에 자기-제한적이 되기 시작할 수 있는 반면, 제 2 건식-에칭 스테이지의 에칭 프로세스(단계 230)는 적어도 3분까지는 자기-제한적이 되지 않을 수 있을 것이다. 다른 프로세스 매개변수들 상수에서, 제 1 건식-에칭 스테이지는 플라즈마 유출물들을 생성하기 위해서 원격 플라즈마 영역으로 공급되는 NH3:NF3 의 2:1 비율을 포함할 수 있는 반면, 제 2 건식-에칭 스테이지는 NH3:NF3 의 10:1 비율을 포함할 수 있을 것이다. 이는, 단지 빠른 그리고 느린 건식 에칭들을 달성하는 하나의 방법의 예시적인 예이다. 실시예들에서, 제 1 건식-에칭 스테이지로부터 제 2 건식-에칭 스테이지까지 플라즈마 전력을 30% 또는 50% 만큼 감소시키는 것이 또한, 유동 비율(1:1 NH3:NF3)의 적은 조정만으로도 건식 에칭 레이트들을 상당히 감소시킨다는 것을 발견하였다. 프로세스 매개변수들의 주어진 상호의존성(interdependence)에서 빠른 그리고 느린 건식 에칭 프로세스들을 달성하기 위한 보다 많은 방식들이 존재한다는 것을 이해할 수 있을 것이다.
추가적인 2개의 페이즈 건식 에칭 프로세스 매개변수들이 예시적인 프로세싱 시스템을 설명하는 과정에서 개시된다.
예시적인 프로세싱 시스템
도 4는, 본원 발명의 실시예들이 실시될 수 있는 예시적인 프로세싱 챔버(400)를 도시한 부분적인 단면도이다. 일반적으로, 수소-함유 전구체 및 불소-함유 전구체가 하나 또는 둘 이상의 개구들(451)을 통해서 원격 플라즈마 영역(들)(461-463) 내로 도입될 수 있고 그리고 플라즈마 전력 공급원(446)에 의해서 여기될 수 있을 것이다.
하나의 실시예에서, 프로세싱 챔버(400), 덮개 조립체(402), 및 지지 조립체(410)를 포함한다. 덮개 조립체(402)는 챔버 본체(412)의 상부 단부에 배치되고, 그리고 지지 조립체(410)가 상기 챔버 본체(412) 내에 적어도 부분적으로 배치된다. 프로세싱 챔버(400) 및 연관된 하드웨어가 바람직하게 하나 또는 둘 이상의 프로세스-양립형(compatible) 재료들(예를 들어, 알루미늄, 스테인리스 스틸 등)로 형성된다.
챔버 본체(412)는 그 챔버 본체의 측벽 내에 형성된 슬릿 밸브 개구부(460)를 포함하여, 프로세싱 챔버(400)의 내부에 대한 접근로를 제공한다. 슬릿 밸브 개구부(460)가 선택적으로 개방 및 폐쇄되어, 웨이퍼 핸들링 로봇(미도시)이 챔버 본체(412)의 내부로 접근할 수 있게 허용한다. 하나의 실시예에서, 웨이퍼가 슬릿 밸브 개구부(460)를 통해서 근처의 이송 챔버 및/또는 로드-록 챔버, 또는 클러스터 툴 내의 다른 챔버로, 프로세싱 챔버(400)의 내외로, 운반될 수 있다. 프로세싱 챔버(400)를 포함할 수 있는 예시적인 클러스터 툴이 도 7에 도시되어 있다.
하나 또는 둘 이상의 실시예들에서, 챔버 본체(412)를 통한 열 전달 유체의 유동을 위한 챔버 본체 채널(413)을 상기 챔버 본체(412)가 포함한다. 열 전달 유체는 가열 유체 또는 냉각제일 수 있고 그리고 프로세싱 및 기판 이송 중에 챔버 본체(412)의 온도를 제어하기 위해서 이용된다. 챔버 본체(412)의 가열은 원치 않는 가스 또는 부산물들이 챔버 벽들 상에 응축되는 것을 방지하는데 도움이 될 수 있을 것이다. 예시적인 열 전달 유체들에는, 물, 에틸렌 글리콜, 또는 이들의 혼합물이 포함된다. 예시적인 열 전달 유체는 또한 질소 가스를 포함할 수 있을 것이다. 지지 조립체(410)가 지지 조립체(410)를 통한 열 전달 유체의 유동을 위한 지지 조립체 채널(404)을 가질 수 있을 것이고, 그에 따라 기판 온도에 영향을 미칠 수 있을 것이다.
챔버 본체(412)는 지지 조립체(410)를 둘러싸는 라이너(433)를 더 포함할 수 있다. 바람직하게, 서비스 및 세정을 위해서 라이너(433)를 분리할 수 있다. 라이너(433)는 알루미늄과 같은 금속, 또는 세라믹 재료로 제조될 수 있다. 그러나, 라이너(433)가 임의의 프로세스 양립성 재료일 수 있다. 라이너 상에 증착되는(deposited) 임의 재료의 접착력(adhesion)을 높이기 위해서, 그에 따라, 프로세싱 챔버(400)의 오염을 초래하는 재료의 프레이킹(flaking)을 방지하기 위해서, 라이너(433)를 비드 브래스트 처리할(bead blasted) 수 있다. 하나 또는 둘 이상의 실시예에서, 라이너(433)가 하나 또는 둘 이상의 개구들(435), 및 내부에 형성된 펌핑 채널(429)을 포함하고, 상기 펌핑 채널은 진공 시스템과 유체 소통한다. 개구들(435)은 펌핑 채널(429) 내로의 가스를 위한 유동 경로를 제공하고, 상기 개구는 프로세싱 챔버(400) 내의 가스들에 대한 진출구(egress)를 제공한다.
진공 시스템은 진공 펌프(425) 및 프로세싱 챔버(400)를 통한 가스들의 유동을 조절하기 위한 스로틀 밸브(427)를 포함할 수 있다. 진공 펌프(425)는 챔버 본체(412) 상에 배치된 진공 포트(431)에 커플링되고 그에 따라, 라이너(433) 내에 형성된 펌핑 채널(429)과 유체 소통된다. 다른 언급이 없는 한, "가스" 및 "가스들"이라는 용어들은 상호교환가능하게 사용되고, 그리고 하나 또는 둘 이상의 반응제들, 촉매들, 캐리어, 퍼지, 세정, 이들의 조합뿐만 아니라 챔버 본체(412) 내로 도입되는 임의의 다른 유체를 지칭한다. "전구체"라는 용어는 표면으로 재료를 증착하거나 표면으로부터 재료를 제거하기 위한 반응에 참여하는 임의의 프로세스 가스를 지칭하기 위해서 이용된다.
개구들(435)은 펌핑 채널(429)이 챔버 본체(412) 내의 프로세싱 영역(440)과 유체 소통될 수 있게 허용한다. 프로세싱 영역(440)은 덮개 조립체(402)의 하부 표면과 지지 조립체(410)의 상부 표면에 의해서 형성되고, 그리고 라이너(433)에 의해서 둘러싸인다. 개구들(435)은 균일한 크기를 가질 수 있고 그리고 라이너(433) 주위로 균일하게 이격될 수 있을 것이다. 그러나, 이하에서 보다 구체적으로 설명하는 바와 같이, 개구들의 임의의 개수, 위치, 크기 또는 형상이 이용될 수 있고, 그리고 그러한 디자인 매개변수들의 각각이 기판 수용 표면에 걸친 가스의 희망하는 유동 패턴에 따라서 변화될 수 있다. 또한, 개구들(435)의 크기, 수 및 위치는 프로세싱 챔버(400)를 빠져나가는 가스들의 균일한 유동을 달성하도록 구성된다. 또한, 신속한 또는 고 용량의 펌핑을 제공하여 챔버(400)로부터의 가스의 신속한 배기를 돕도록, 개구 크기 및 위치가 구성될 수 있을 것이다. 예를 들어, 진공 포트(431)에 밀접하게 근접한 개구들(435)의 수 및 크기는 진공 포트(431)로부터 보다 멀리 위치된 개구들(435)의 크기 보다 더 작을 수 있을 것이다.
프로세스 가스(들)를 하나 또는 둘 이상의 개구들(451)을 통해서 프로세싱 챔버(400)로 제공하기 위해서 가스 공급 패널(미도시)이 전형적으로 이용된다. 이용되는 특별한 가스 또는 가스들은 챔버(400) 내에서 실시하고자 하는 프로세스 또는 프로세스들에 따라서 달라진다. 예시적인 가스들에는 하나 또는 둘 이상의 전구체들, 반응제들, 촉매들, 캐리어들, 퍼지, 세정, 또는 이들의 임의의 혼합 또는 조합이 포함될 수 있으나, 이러한 것으로 제한되는 것은 아니다. 전형적으로, 프로세싱 챔버(400)로 도입되는 하나 또는 둘 이상의 가스들은 상단부 플레이트(450) 내의 개구(들)(451)을 통해서 플라즈마 부피(461) 내로 유동된다. 대안적으로 또는 조합되어, 프로세싱 가스들이 개구(들)(452)를 통해서 프로세싱 영역(440) 내로 보다 직접적으로 도입될 수 있을 것이다. 개구(들)(452)는 원격 플라즈마 여기를 우회하고, 그리고 플라즈마 여기를 필요로 하지 않는 가스들을 포함하는 프로세스들 또는 가스들의 추가적인 여기로부터의 이득을 얻지 못하는 프로세스들에서 유용하다. 전자적으로 동작되는 밸브들 및/또는 유동 제어 메커니즘들(미도시)을 이용하여 가스 공급부로부터 프로세싱 챔버(400) 내로의 가스 유동을 제어할 수 있을 것이다. 프로세스에 따라서, 임의 수의 가스들이 프로세싱 챔버(400)로 전달될 수 있고, 그리고 프로세싱 챔버(400) 내에서 또는 가스들이 프로세싱 챔버(400)로 전달되기 전에 혼합될 수 있다.
덮개 조립체(402)는 덮개 조립체(402) 내에서 반응성 종들의 플라즈마를 생성하기 위한 전극(445)을 더 포함할 수 있다. 일 실시예에서, 전극(445)은 상단부 플레이트(450)에 의해서 지지되고 그리고, 알루미늄 산화물 또는 임의의 다른 절연 및 프로세스 양립성 재료로 제조된 전기적 절연 링(들)(447)을 삽입함으로써, 상단부 플레이트(450)로부터 전기적으로 절연된다. 하나 또는 둘 이상의 실시예들에서, 전극(445)이 전력 공급원(446)에 커플링되는 한편, 덮개 조립체(402)의 나머지는 접지에 연결된다. 따라서, 하나 또는 둘 이상의 프로세스 가스들의 플라즈마가 전극(445)과 환형 장착 플랜지(422) 사이의 부피들(461, 462 및/또는 463)로 이루어진 원격 플라즈마 영역 내에서 생성될 수 있다. 실시예들에서, 환형 장착 플랜지는 가스 전달 플레이트(420)를 포함하거나 지지한다. 예를 들어, 플라즈마가 전극(445)과 차단부(blocker) 조립체(430)의 하나의 또는 2개(both)의 차단부 플레이트들 사이에서 개시되고 유지될 수 있을 것이다. 대안적으로, 차단부 조립체(430)의 부재(absence) 시에, 플라즈마가 전극(445)과 가스 전달 플레이트(420) 사이에서 타격되고(struck) 수용될 수 있다. 상기 실시예들 중 어느 한(either) 실시예에서, 플라즈마가 덮개 조립체(402) 내에서 양호하게(well) 한정되거나 수용된다. 따라서, 플라즈마가 "원격 플라즈마" 인데, 이는 활성(active) 플라즈마가 챔버 본체(412) 내에 배치된 기판과 직접적으로 접촉하지 않기 때문이다. 결과적으로, 기판에 대한 플라즈마 손상이 회피될 수 있는데, 이는 플라즈마가 기판 표면으로부터 분리되기 때문이다.
매우 다양한 전력 공급원들(446)이 수소-함유 전구체(예를 들어, 암모니아) 및 질소-함유 전구체(삼불화질소)를 활성화시킬 수 있다. 예를 들어, 무선 주파수(RF), 직류(DC), 또는 마이크로파(MW) 기반의 전력 방전 기술들이 이용될 수 있을 것이다. 또한, 활성화는 열적 기반의 기술, 가스 분해(breakdown) 기술, 고밀도 광원(예를 들어, UV 에너지), 또는 X-레이 공급원에 대한 노출에 의해서 발생될 수 있을 것이다. 대안적으로, 원격 플라즈마 발생기와 같은 원격 활성화 공급원을 이용하여 반응 종들의 플라즈마를 생성할 수 있을 것이며, 그러한 반응 종들의 플라즈마는 이어서 챔버(400) 내로 전달된다. 예시적인 원격 플라즈마 발생기들이 MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 공급자들로부터 이용가능하다. 예시적인 프로세싱 시스템에서, RF 전력 공급이 전극(445)으로 커플링된다. 또한 전력 공급원(446)을 이용하여 반응성 산소를 생성할 경우에, 보다 높은-전력의 마이크로파 전력 공급원(446)이 유리하다.
챔버 본체 채널(413) 및 지지 조립체 채널(404) 각각을 통해서 열 전달 유체를 유동시킴으로써, 프로세스 챔버 본체(412) 및 기판의 온도들이 각각 제어될 수 있을 것이다. 지지 조립체 채널(404)은 열 에너지의 전달을 돕기 위해서 지지 조립체(410) 내에 형성될 수 있을 것이다. 챔버 본체(412) 및 지지 조립체(410)가 독립적으로 냉각 또는 가열될 수 있을 것이다. 예를 들어, 냉각 유체가 하나를 통해서 유동되는 동안 가열 유체가 다른 하나를 통해서 유동될 수 있을 것이다.
다른 방법들을 이용하여 기판 온도를 제어할 수 있을 것이다. 기판은 저항형 히터를 이용하여 지지 조립체(410)(또는 받침대와 같은 지지 조립체의 일부)를 가열하는 것에 의해서 또는 일부 다른 수단에 의해서 가열될 수 있을 것이다. 다른 구성에서, 가스 전달 플레이트(420)가 기판 보다 더 높은 온도에서 유지될 수 있고 그리고 기판 온도를 높이기 위해서 기판을 상승시킬 수 있다. 이러한 경우에, 가스 전달 플레이트(420)로부터 기판으로 열을 전도하기 위해서 가스를 이용함으로써 또는 복사에 의해서, 기판이 가열된다. 기판은 지지 조립체(410)를 상승시킴으로써 또는 승강 핀들을 채용함으로써 상승될 수 있을 것이다.
본원에 개시된 에칭 프로세스들 동안에, 여러 실시예들에서, 챔버 본체(412)가 50℃ 내지 80℃, 55℃ 내지 75℃ 또는 60℃ 내지 70℃의 대략적인 온도 범위 내에서 유지될 수 있을 것이다. 플라즈마 유출물들 및/또는 산화 작용제들(agents)에 대한 노출 동안에, 여러 실시예들에서, 기판이 이전에 주어진 온도들 미만에서, 약 15℃ 내지 약 50℃, 약 22℃ 내지 약 40℃, 또는 30℃ 근처에서 유지될 수 있을 것이다.
플라즈마 유출물들은 여러 가지 분자들, 분자 단편들(molecular fragments) 및 이온화된 종들을 포함한다. SiconiTM 에칭의 현재 제공된 이론적인 메커니즘들이 전체적으로 정확할 수도 있고 또는 정확하지 않을 수도 있으나, 플라즈마 유출물들은, 본원에서 설명된 저온 기판들과 용이하게 반응하는, NH4F 및 NH4F.HF를 포함하는 것으로 생각된다. 플라즈마 유출물들이 실리콘 산화물 표면과 반응하여 (NH4)2SiF6, NH3 및 H2O 생성물들을 형성할 수 있을 것이다. NH3 및 H2O 는 본원에서 설명된 프로세싱 조건들 하에서 증기들이 되고 그리고 진공 펌프(425)에 의해서 프로세싱 영역(440)으로부터 제거될 수 있을 것이다. (NH4)2SiF6 고체 부산물들의 얇은 연속적 또는 비연속적 층이 기판 표면 상에 남겨진다.
플라즈마 유출물들에 대한 노출 및 그와 연관된 고체 부산물들의 축적(accumulation)에 이어서, 부산물들을 제거하기 위해서 기판이 가열될 수 있을 것이다. 실시예들에서, 가스 전달 플레이트(420) 내에 또는 그 근처에 가열 요소(470)를 통합함으로써, 가스 전달 플레이트(420)가 가열될 수 있다. 기판과 가열된 가스 전달 플레이트 사이의 거리를 감소시킴으로써, 기판이 가열될 수 있을 것이다. 여러 실시예들에서, 가스 전달 플레이트(420)가 약 100℃ 내지 150℃, 약 110℃ 내지 140℃ 또는 약 120℃ 내지 130℃로 가열될 수 있을 것이다. 기판과 가열된 가스 전달 플레이트 사이의 분리를 감소시킴으로써, 여러 실시예들에서, 기판이 약 75℃ 초과, 약 90℃ 초과, 약 100℃ 초과 또는 약 115℃ 내지 약 150℃로 가열될 수 있을 것이다. 기판 상에서 (NH4)2SiF6 를 휘발성 SiF4, NH3 및 HF 생성물들로 해리(dissociate) 또는 분해하기에 충분할 정도로 열이 가스 전달 플레이트(420)로부터 기판으로 복사되어야 하며, 상기 생성물들은 프로세싱 영역(440)으로부터 펌핑되어 제거될 수 있을 것이다. 열을 기판으로 전달하는 다른 방법들이 또한 이용될 수 있을 것이다.
여러 실시예들에서, 삼불화질소(또는 다른 불소-함유 전구체)가 원격 플라즈마 부피(461) 내로 약 25 sccm 내지 약 200 sccm, 약 50 sccm 내지 약 150 sccm 또는 약 75 sccm 내지 약 125 sccm의 레이트들로 유동될 수 있을 것이다. 여러 실시예들에서, 암모니아(또는 일반적으로 수소-함유 전구체들)가 원격 플라즈마 부피(461) 내로 약 50 sccm 내지 약 300 sccm, 약 75 sccm 내지 약 250 sccm, 약 100 sccm 내지 약 200 sccm 또는 약 120 sccm 내지 약 170 sccm의 레이트들로 유동될 수 있을 것이다. 느린 건식 에칭을 달성하기 위해서 이용되는 방법들 중 하나는 암모니아의 유량의 약 1/4, 1/8 또는 1/10 또는 그 미만의 삼불화질소의 유량을 감소시키는 것을 포함한다.
원격 플라즈마 영역 내로의 수소-함유 전구체와 불소-함유 전구체의 조합된 유량들이 전체 가스 혼합물의 0.05 부피% 내지 약 20 부피%에 상당할 수 있을 것이고; 나머지는 캐리어 가스가 된다. 하나의 실시예에서, 원격 플라즈마 영역 내의 압력을 안정화시키기 위해서 반응성 가스들의 개시에 앞서서, 퍼지 또는 캐리어 가스가 원격 플라즈마 영역 내로 먼저 개시된다(initiated).
덮개 조립체(402)의 나머지에 대해서 상대적으로 플라즈마 전력을 전극(445)에 대해서 인가함으로써, 플라즈마 유출물들의 생성이 부피들(461, 462 및/또는 463) 내에서 발생된다. 플라즈마 전력은 다양한 주파수들 또는 복수 주파수들의 조합이 될 수 있을 것이다. 예시적인 프로세싱 시스템에서, 플라즈마는 전극(445)으로 전달된 RF 전력에 의해서 제공된다. 여러 실시예들에서, RF 전력은 약 1 W 내지 약 1000 W, 약 5 W 내지 약 600 W, 약 10 W 내지 약 300 W 또는 약 20 W 내지 약 100 W 가 될 수 있을 것이다. 여러 실시예들에서, 예시적인 프로세싱 시스템에서 인가되는 RF 주파수가 약 200 kHz 미만, 약 150 kHz 미만, 120 kHz 미만 또는 약 50 kHz 내지 약 90 kHz가 될 수 있을 것이다.
오존, 산소, 캐리어 가스들 및/또는 플라즈마 유출물들이 프로세싱 영역(440) 내로 유동되는 동안에, 프로세싱 영역(440)이 다양한 압력들로 유지될 수 있다. 여러 실시예들에서, 압력은 약 500 mTorr 내지 약 30 Torr, 약 1 Torr 내지 약 10 Torr 또는 약 3 Torr 내지 약 6 Torr로 유지될 수 있을 것이다. 또한, 보다 낮은 압력들이 프로세싱 영역(440) 내에서 이용될 수 있을 것이다. 여러 실시예들에서, 압력은 약 500 mTorr 또는 그 미만, 약 250 mTorr 또는 그 미만, 약 100 mTorr 또는 그 미만, 약 50 mTorr 또는 그 미만, 또는 약 20 mTorr 미만으로 유지될 수 있을 것이다.
하나 또는 둘 이상의 실시예들에서, 프로세싱 챔버(400)는, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터 입수가 가능한 ProducerTM GT, CenturaTM AP 및 EnduraTM 플랫폼들(platforms)을 포함하는, 다양한 다중-프로세싱 플랫폼들 내로 통합될 수 있다. 그러한 프로세싱 플랫폼은 진공을 파괴하지 않고 몇 가지 프로세싱 동작들을 실시할 수 있다.
본원 발명의 실시예들을 구현할 수 있는 증착 챔버들에는 유전체 에칭 챔버들, 고밀도 플라즈마 화학기상증착(HDP-CVD) 챔버들, 플라즈마 화학기상증착(plasma enhanced chemical vapor deposition; PECVD) 챔버들, 저압(sub-atmospheric) 화학기상증착(SACVD) 챔버들, 및 열적 화학기상증착 챔버들, 기타 다른 타입의 챔버들이 포함될 수 있을 것이다.
증착 시스템들의 실시예들이 집적 회로 칩들을 생성하기 위한 보다 큰 제조 시스템들로 통합될 수 있을 것이다. 도 5는 개시된 실시예들에 따른 증착, 베이킹 및 경화 챔버들의 하나의 그러한 시스템(500)을 도시한다. 도면에서, 한 쌍의 FOUPs(front opening unified pods)(502)가 기판 또는 기판들(예를 들어, 300 mm 직경 웨이퍼들)을 공급하고, 상기 기판 또는 기판들은 로봇 아암들(504)에 의해서 수용되고 그리고 웨이퍼 프로세싱 챔버들(508a-f) 중 하나 내로 배치되기에 앞서서 저압 유지 구역(506) 내로 배치된다. 제 2 로봇 아암(510)을 이용하여 기판 웨이퍼들을 유지 구역(506)으로부터 프로세싱 챔버들(508a-f)로 그리고 역으로 운송할 수 있을 것이다. 주기적인 층 증착(cyclical layer deposition; CLD), 원자 층 증착(ALD), 화학기상증착(CVD), 물리기상증착(PVD), 에칭, 사전-세정(pre-clean), 탈가스, 배향(orientation) 및 다른 기판 프로세스들에 더하여, 본원에서 개시된 건식 에칭 프로세스들을 포함하는 많은 수의 기판 프로세싱 동작들을 실시하도록, 각각의 프로세싱 챔버들(508a-f)이 장착될(outfitted) 수 있다.
프로세싱 챔버들(508a-f)은 기판 웨이퍼 상에서의 유동성(flowable) 유전체 필름을 증착, 어닐링, 경화 및/또는 에칭하기 위한 하나 또는 둘 이상의 시스템 성분들을 포함할 수 있을 것이다. 하나의 구성에서, 프로세싱 챔버의 2개의 쌍들(예를 들어, 508c-d 및 508e-f)을 이용하여 기판 상에 유전체 재료를 증착할 수 있을 것이고, 그리고 프로세싱 챔버들의 제 3 쌍(예를 들어, 508a-b)을 이용하여 증착된 유전체를 에칭할 수 있을 것이다. 다른 구성에서, 모두 3개의 챔버들의 쌍들(예를 들어, 508a-f)이 기판 상의 유전체 필름을 에칭하도록 구성될 수 있을 것이다. 설명된 프로세스들 중의 임의의 하나 또는 둘 이상이 다른 실시예들에서 도시된 제조 시스템으로부터 분리된 챔버(들) 상에서 실행될 수 있을 것이다.
시스템 제어기(557)를 이용하여, 모터들, 밸브들, 유동 제어기들, 전력 공급원들 및 본원에서 개시된 프로세스 레시피들을 실행하기 위해서 필요한 다른 기능들을 제어한다. 가스 핸들링 시스템(555)이 또한 시스템 제어기(557)에 의해서 제어되어 가스들을 프로세싱 챔버들(508a-f) 중 하나 또는 모두로 도입할 수 있을 것이다. 시스템 제어기(557)는 광학적 센서들로부터의 피드백에 의존하여 가스 핸들링 시스템(555) 내의 및/또는 프로세싱 챔버들(508a-f) 내의 이동가능한 기계적 조립체들의 위치를 결정하고 조정할 수 있을 것이다. 기계적인 조립체들은 시스템 제어기(557)의 제어 하에서 모터들에 의해서 이동되는 로봇, 스로틀 밸브들, 및 서셉터들(susceptors)을 포함할 수 있을 것이다.
예시적인 실시예에서, 시스템 제어기(557)는 하드 디스크 드라이브(메모리), USB 포트들, 플로피 디스크 드라이브 및 프로세서를 포함한다. 시스템 제어기(557)는 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. 프로세싱 챔버(400)를 포함하는 다중-챔버 프로세싱 시스템(500)의 여러 부분들이 시스템 제어기(557)에 의해서 제어된다. 시스템 제어기는 시스템 제어 소프트웨어를 실행하며, 상기 시스템 제어 소프트웨어는 하드 디스크, 플로피 디스크, 또는 플래시 메모리 썸(thumb) 드라이브와 같은 컴퓨터-판독가능 매체에 저장된 컴퓨터 프로그램의 형태이다. 다른 타입들의 메모리가 또한 이용될 수 있다. 컴퓨터 프로그램은 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 특별한 프로세스의 다른 매개변수들을 지시하는 명령들의 세트들을 포함한다.
제어기에 의해 실행되는 컴퓨터 프로그램 물건을 이용하여, 기판 상에서 필름을 에칭, 증착 또는 기타의 프로세싱을 하기 위한 프로세스 또는 챔버를 세정하기 위한 프로세스가 구현될 수 있다. 컴퓨터 프로그램 코드는, 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예컨대, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등으로 기록될 수 있다. 적합한 프로그램 코드는, 종래의 텍스트 에디터를 사용하여 단일 파일 또는 복수의 파일들로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장되거나 또는 임베딩된다. 입력된 코드 텍스트가 고레벨 언어로 이루어진 경우에, 코드가 컴파일링되고, 그 후, 결과의 컴파일러 코드는 프리컴파일링된 Microsoft Windows® 라이브러리 루틴들의 오브젝트(object) 코드와 링크된다. 링크된 컴파일링된 오브젝트 코드를 실행하기 위해, 시스템 사용자는 오브젝트 코드를 호출(invoke)하여, 컴퓨터 시스템이 메모리에서 코드를 로딩하게 한다. 그 후, 프로그램에서 식별된 태스크들을 수행하기 위해, CPU는 코드를 판독 및 실행한다.
사용자와 제어기 사이의 인터페이스는 터치-감응형 모니터를 통할 수 있을 것이고 또한 마우스 및 키보드를 포함할 수 있을 것이다. 하나의 실시예에서, 2개의 모니터들이 사용되는데, 오퍼레이터들을 위해 세정 룸 벽에 하나가 장착되고, 서비스 기술자들을 위해 벽 뒤에 다른 하나가 장착된다. 2개의 모니터들은 동일한 정보를 동시에 디스플레이할 수 있으며, 그 경우에, 한번에 하나의 모니터만이 입력을 수용하도록 구성된다. 특별한 스크린 또는 기능을 선택하기 위해서, 오퍼레이터는 손가락 또는 마우스를 이용하여 디스플레이 스크린 상의 지정 구역을 터치한다. 터치된 영역이 그 영역의 하이라이트된 색채를 변화시키거나, 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 오퍼레이터의 선택을 확인한다.
본원에서 사용된 바와 같이, "기판"은 그 위에 층들이 형성되거나 형성되지 않은 지지 기판일 수 있다 . 지지 기판은 다양한 도핑 농도 및 프로파일들의 반도체 또는 절연체일 수 있고 , 예를 들어 집적 회로들의 제조에 이용되는 유형의 반도체 기판일 수 있다 . "실리콘 산화물"은 질소, 수소, 탄소 등과 같은 다른 원소 성분들의 적은(minority) 농도들을 포함할 수 있을 것이다. 가스는 둘 또는 그 초과의 가스들의 조합일 수 있다. "트랜치"라는 용어는, 에칭된 기하형태가 큰 수평 종횡비를 가진다는 것을 암시하지 않고, 전체에 걸쳐서 사용된 것이다. 표면 위에서 볼 때, 트랜치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상으로 보일 수 있을 것이다.
몇몇 실시예들을 기술하였지만, 개시된 실시예들의 사상을 벗어나지 않고 다양한 변경들, 대안적인 구성들, 및 그 균등물들이 이용될 수 있다는 것을 당업자는 이해할 수 있을 것이다. 부가적으로, 본 발명을 불필요하게 모호하게 하지 않기 위해서 다수의 공지된 공정들 및 요소들을 설명하지 않았다. 따라서, 상기 설명은 본 발명의 범위를 제한하는 것으로 취급되어서는 안 된다.
일정 범위의 값들이 제공되는 경우, 이러한 범위의 상한과 하한 사이의 각각의 중간 값으로서, 문맥상 명백히 달리 기술되어 있지 않은 경우 하한의 단위의 10분의 1까지의 중간 값이 또한 구체적으로 개시되어 있다는 것을 이해할 수 있을 것이다. 명시된 범위 내의 임의의 명시된 값 또는 중간 값과 그러한 명시된 범위 내의 임의의 다른 명시된 값 또는 중간 값 사이의 더 작은 범위도 각각 포함된다. 이러한 더 작은 범위의 상한 및 하한은 독립적으로 상기 범위에 포함되거나 배제될 수 있고, 그리고 명시된 범위 내의 임의의 구체적으로 배제된 한계를 조건으로 하여, 상한 및 하한 중 어느 하나, 또는 양자 모두가 더 작은 범위에 포함되거나 어느 쪽도 포함되지 않는 각각의 범위가 또한 본 발명에 포함된다. 명시된 범위가 이러한 한계 중 하나 또는 양자 모두를 포함하는 경우, 이러한 포함된 한계들 중 하나 또는 양자 모두를 배제하는 범위들도 또한 포함된다.
본원에서 그리고 첨부된 청구범위에서 사용될 때, 단수 형태들("a", "an" 및 "the")는, 문맥상 명백히 달리 규정되어 있지 않은 한, 복수형에 대한 언급들을 포함한다. 따라서, 예를 들어 "프로세스(a process)"에 대한 언급은 그러한 프로세스들의 복수를 포함하고 그리고 "유전체 재료(the dielectric material)"라는 언급은 하나 또는 둘 이상의 유전체 재료들 및 당업자에게 공지된 그 균등물들 등에 대한 언급을 포함한다.
또한, "포함한다(comprise)", "포함하는", "구비한다(include)", "구비하는" 및 "구비한다(includes)"라는 단어들은, 본 명세서 및 이하의 청구항들에서 사용될 때, 진술된 특징들, 정수들, 성분들, 또는 단계들의 존재를 특정하도록 의도되지만, 그들이 하나 또는 둘 이상의 다른 특징들, 정수들, 성분들, 단계들, 동작들 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (15)

  1. 기판 프로세싱 챔버의 기판 프로세싱 영역 내의 패터닝된 기판의 표면 상의 복수의 트렌치들로부터 실리콘 산화물을 에칭하는 방법으로서:
    복수의 트렌치들 내의 잔류 실리콘 산화물의 표면 상에 제 1 고체 부산물들을 형성하기 위해서, 제 1 건식-에칭 스테이지에서 복수의 트렌치들의 각각 내의 실리콘 산화물을 건식 에칭하는 단계;
    복수의 트렌치들 내의 잔류 실리콘 산화물의 표면 상에 제 2 고체 부산물들을 형성하기 위해서, 제 2 건식-에칭 스테이지에서 복수의 트렌치들의 각각 내의 실리콘 산화물을 건식 에칭하는 단계; 및
    상기 복수의 트렌치들로부터 제 1 및 제 2 고체 부산물들을 승화시키는 단계; 를 순차적으로 포함하는, 실리콘 산화물의 에칭 방법.
  2. 제 1 항에 있어서,
    상기 제 1 고체 부산물들이 상기 제 1 건식-에칭 스테이지와 상기 제 2 건식-에칭 스테이지 사이에 승화되지 않는, 실리콘 산화물의 에칭 방법.
  3. 제 1 항에 있어서,
    상기 제 1 건식-에칭 스테이지와 상기 제 2 건식-에칭 스테이지 사이에 지연이 본질적으로 존재하지 않는, 실리콘 산화물의 에칭 방법.
  4. 제 1 항에 있어서,
    상기 복수의 트렌치들의 각각의 폭이 35 nm 미만인, 실리콘 산화물의 에칭 방법.
  5. 제 1 항에 있어서,
    상기 제 1 건식-에칭 스테이지의 지속시간이 상기 제 2 건식-에칭 스테이지의 지속시간을 초과하는, 실리콘 산화물의 에칭 방법.
  6. 제 1 항에 있어서,
    상기 제 1 건식-에칭 스테이지의 지속시간이 약 15초 내지 약 1분인, 실리콘 산화물의 에칭 방법.
  7. 제 1 항에 있어서,
    상기 제 2 건식-에칭 스테이지의 지속시간이 약 5초 내지 약 30초인, 실리콘 산화물의 에칭 방법.
  8. 제 1 항에 있어서,
    상기 실리콘 산화물이 상기 제 1 및 제 2 건식-에칭 스테이지들 중에 60℃ 미만으로 유지되는, 실리콘 산화물의 에칭 방법.
  9. 제 1 항에 있어서,
    상기 복수의 트렌치들로부터 제 1 및 제 2 고체 부산물들을 승화시키는 동작들 중에 상기 실리콘 산화물이 90℃ 초과로 승온되는, 실리콘 산화물의 에칭 방법.
  10. 제 1 항에 있어서,
    제 1 에칭 레이트가 제 2 에칭 레이트의 약 2배 초과인, 실리콘 산화물의 에칭 방법.
  11. 제 1 항에 있어서,
    제 1 에칭 레이트가 제 2 에칭 레이트의 약 5배 초과인, 실리콘 산화물의 에칭 방법.
  12. 제 1 항에 있어서,
    상기 제 1 건식-에칭 스테이지의 건식 에칭이 약 1분 또는 그 미만에서 자기-제한적이 되기 시작하고 그리고 상기 제 2 건식-에칭 스테이지의 건식 에칭이 약 2분 또는 그 초과에서 자기-제한적이 되기 시작하는, 실리콘 산화물의 에칭 방법.
  13. 제 1 항에 있어서,
    상기 건식 에칭 동작들의 각각이:
    플라즈마 유출물들을 생산하기 위해서 원격 플라즈마 영역 내에서 플라즈마를 형성하는 동안, 불소-함유 전구체 및 수소-함유 전구체를 기판 프로세싱 영역에 유체적으로 커플링된 원격 플라즈마 영역 내로 유동시키는 단계를 포함하는, 실리콘 산화물의 에칭 방법.
  14. 제 13 항에 있어서,
    상기 수소-함유 전구체가 원자 수소, 분자 수소, 암모니아, 탄화수소, 및 불완전하게 할로겐-치환된 탄화수소로 이루어진 그룹으로부터 선택된 적어도 하나의 전구체를 포함하는, 실리콘 산화물의 에칭 방법.
  15. 제 13 항에 있어서,
    상기 불소-함유 전구체가 삼불화질소, 수소 불화물, 이원자 불소, 단원자 불소, 및 불소-치환형 탄화수소들로 이루어진 그룹으로부터 선택된 적어도 하나의 전구체를 포함하는, 실리콘 산화물의 에칭 방법.
KR1020137018287A 2010-12-14 2011-12-13 2개의 스테이지들에서의 균일한 건식 에칭 KR101931134B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US42294210P 2010-12-14 2010-12-14
US61/422,942 2010-12-14
US13/197,487 US8741778B2 (en) 2010-12-14 2011-08-03 Uniform dry etch in two stages
US13/197,487 2011-08-03
PCT/US2011/064724 WO2012106033A2 (en) 2010-12-14 2011-12-13 Uniform dry etch in two stages

Publications (2)

Publication Number Publication Date
KR20130141639A true KR20130141639A (ko) 2013-12-26
KR101931134B1 KR101931134B1 (ko) 2018-12-20

Family

ID=46577711

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137018287A KR101931134B1 (ko) 2010-12-14 2011-12-13 2개의 스테이지들에서의 균일한 건식 에칭

Country Status (7)

Country Link
US (1) US8741778B2 (ko)
JP (1) JP5925802B2 (ko)
KR (1) KR101931134B1 (ko)
CN (1) CN103210478B (ko)
SG (1) SG189944A1 (ko)
TW (1) TWI541889B (ko)
WO (1) WO2012106033A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190142107A (ko) * 2018-06-15 2019-12-26 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9070635B2 (en) 2013-08-09 2015-06-30 United Microelectronics Corp. Removing method
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) * 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9653282B2 (en) * 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN105655283A (zh) * 2014-11-13 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 高深宽比的浅沟槽隔离刻蚀方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
KR101730147B1 (ko) * 2015-07-23 2017-05-12 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI671787B (zh) 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
KR20180085807A (ko) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 세정 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10636675B2 (en) 2017-09-27 2020-04-28 Applied Materials, Inc. Methods of etching metal-containing layers
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10483369B2 (en) * 2017-10-30 2019-11-19 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10453936B2 (en) * 2017-10-30 2019-10-22 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11205695B2 (en) * 2017-12-21 2021-12-21 Texas Instruments Incorporated Method of fabricating a thick oxide feature on a semiconductor wafer
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10714347B2 (en) * 2018-10-26 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate processes
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20240096641A1 (en) * 2022-09-20 2024-03-21 Applied Materials, Inc. In-situ carbon liner for high aspect ratio features

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282591A (ja) * 2002-03-27 2003-10-03 Toshiba Corp 薄膜トランジスタの製造方法
KR20060127173A (ko) * 2004-02-09 2006-12-11 다다히로 오미 반도체 장치의 제조 방법 및 절연막의 에칭 방법
KR100843236B1 (ko) * 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2010003645A (ja) * 2008-06-23 2010-01-07 I-Pex Co Ltd 電気コネクタ
KR20100093358A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법

Family Cites Families (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
DE3856483T2 (de) 1987-03-18 2002-04-18 Kabushiki Kaisha Toshiba, Kawasaki Verfahren zur Herstellung von Dünnschichten
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JPH04315453A (ja) * 1991-04-15 1992-11-06 Fujitsu Ltd 半導体装置の製造方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5766971A (en) * 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050266691A1 (en) 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR20070087196A (ko) 2004-12-21 2007-08-27 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4153961B2 (ja) * 2006-04-25 2008-09-24 積水化学工業株式会社 シリコンのエッチング方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
JP2008103645A (ja) * 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP4557960B2 (ja) * 2006-12-18 2010-10-06 株式会社アルバック バッチ式真空処理装置
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
CN101393842B (zh) * 2007-09-20 2011-08-17 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
KR101146118B1 (ko) * 2008-12-09 2012-05-16 주식회사 테스 실리콘 산화막의 건식 식각 방법
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282591A (ja) * 2002-03-27 2003-10-03 Toshiba Corp 薄膜トランジスタの製造方法
KR20060127173A (ko) * 2004-02-09 2006-12-11 다다히로 오미 반도체 장치의 제조 방법 및 절연막의 에칭 방법
KR100843236B1 (ko) * 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2010003645A (ja) * 2008-06-23 2010-01-07 I-Pex Co Ltd 電気コネクタ
KR20100093358A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190142107A (ko) * 2018-06-15 2019-12-26 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치

Also Published As

Publication number Publication date
JP2014506397A (ja) 2014-03-13
JP5925802B2 (ja) 2016-05-25
US8741778B2 (en) 2014-06-03
CN103210478B (zh) 2016-06-01
SG189944A1 (en) 2013-07-31
TWI541889B (zh) 2016-07-11
WO2012106033A3 (en) 2012-11-29
CN103210478A (zh) 2013-07-17
US20120196447A1 (en) 2012-08-02
TW201234477A (en) 2012-08-16
WO2012106033A2 (en) 2012-08-09
KR101931134B1 (ko) 2018-12-20

Similar Documents

Publication Publication Date Title
KR101931134B1 (ko) 2개의 스테이지들에서의 균일한 건식 에칭
US9093390B2 (en) Conformal oxide dry etch
US8435902B2 (en) Invertable pattern loading with dry etch
US9754800B2 (en) Selective etch for silicon films
US8211808B2 (en) Silicon-selective dry etch for carbon-containing films
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
US8501629B2 (en) Smooth SiConi etch for silicon-containing films
US8748322B1 (en) Silicon oxide recess etch
US9437451B2 (en) Radical-component oxide etch
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right