KR20130041308A - 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법 - Google Patents

기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR20130041308A
KR20130041308A KR1020137005836A KR20137005836A KR20130041308A KR 20130041308 A KR20130041308 A KR 20130041308A KR 1020137005836 A KR1020137005836 A KR 1020137005836A KR 20137005836 A KR20137005836 A KR 20137005836A KR 20130041308 A KR20130041308 A KR 20130041308A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
transfer
load lock
loader module
Prior art date
Application number
KR1020137005836A
Other languages
English (en)
Other versions
KR101331288B1 (ko
Inventor
츠토무 히로키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130041308A publication Critical patent/KR20130041308A/ko
Application granted granted Critical
Publication of KR101331288B1 publication Critical patent/KR101331288B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(과제)
복수의 프로세스 챔버를 평면적으로 배치·전개하는 것이 가능하고, 또한 스루풋(단위 시간 당의 기판의 처리 매수)의 향상을 도모할 수 있는 기판 처리 시스템을 제공한다.
(해결수단)
기판 처리 시스템에, 로더 모듈(22)로부터 처리를 행하기 위한 제 1 프로세스 챔버(23)에 이르고, 기판을 반송하기 위한 제 1 반송 유닛(28), 및 제 1 반송 유닛(28)의 아래쪽 또는 위쪽에 마련되어, 로더 모듈(22)로부터 처리를 행하기 위한 제 2 프로세스 챔버(24)에 이르고, 기판을 반송하기 위한 제 2 반송 유닛(31)을 마련한다. 제 1 프로세스 챔버(23)와 제 2 프로세스 챔버(24)는 상하 방향으로 겹치지 않고, 평면적으로 분리된 위치에 배치된다. 동시에, 제 1 반송 유닛(28)의 적어도 일부와 제 2 반송 유닛(31)의 적어도 일부가 상하 방향으로 겹친다.

Description

기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법{SUBSTRATE PROCESSING SYSTEM, TRANSFER MODULE, SUBSTRATE PROCESSING METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT}
본 발명은 반도체 기판, 액정용 기판, 유기 EL 소자 등의 기판을 복수의 프로세스 챔버에 반송하는 기판 처리 시스템 및 기판 반송 모듈에 관한 것이다.
반도체 디바이스, FPD(Flat Panel Display), 유기 EL(Organic Electro Luminescence)의 소자, 태양 전지의 제조에 있어서, 반도체 기판이나 유리 기판 등에는, 성막, 에칭, 애싱, 산화, 질화, 도핑, 확산 등의 각종 처리가 실시된다. 이들의 처리에 있어서, 플라즈마를 이용할 경우에는, 감압된 프로세스 챔버 내에서 실행된다.
처리 효율을 향상시키기 위해서, 일반적으로, 다수의 프로세스 챔버에서 동시에 기판의 처리를 실행하는 멀티 챔버식의 기판 처리 시스템이 채용되고 있다. 도 1에 도시하는 바와 같이, 종래의 멀티 챔버식의 기판 처리 시스템의 일례로서, 프로세스 챔버(1)에 로드록 챔버(load-lock chamber)(2)를 일대일로 대응시켜, 로더 모듈(loader module)(3)에 세트를 이루는 복수의 로드록 챔버(2) 및 프로세스 챔버(1)를 연결한 기판 처리 시스템이 알려져 있다(특허문헌 1 참조). 로더 모듈(3)에는, 복수의 카세트 포트(4)가 마련된다. 로더 모듈(3) 내의 대기 반송 로봇(5)은, 카세트(6) 내의 기판을 로드록 챔버(2)에 반송한다. 로드록 챔버(2)의 내부는, 진공 상태와 대기 상태가 교대로 전환된다. 로드록 챔버(2)에는, 로드록 챔버(2)에 반송된 기판을 프로세스 챔버(1)에 반송하는 진공 반송 로봇(7)이 마련된다. 대기 반송 로봇(5)이 기판을 로드록 챔버(2)에 반송할 때는, 로드록 챔버(2)의 내부는 대기 상태로 되고, 진공 반송 로봇(7)이 기판을 프로세스 챔버(1)에 반송 할 때는, 로드록 챔버(2)의 내부는 진공 상태로 된다.
도 1에 나타내는 기판 처리 시스템에 따르면, 하나의 진공 반송 로봇(7)이 고장 나더라도, 나머지의 진공 반송 로봇(7)에 의해 기판의 처리가 속행될 수 있다고 하는 장점이 있다. 그러나, 복수의 프로세스 챔버(1) 사이에서 기판을 이동시킬 때, 진공 중에서 기판을 연속적으로 처리할 수 없다고 하는 단점이 있다. 기판이 하나의 프로세스 챔버(1)로부터 다른 프로세스 챔버(1)로 넘겨질 때, 기판이 일단 로더 모듈(3)의 대기 중을 경유해야만 하기 때문이다.
상기 기판 처리 시스템의 단점을 해결하기 위해서, 도 2에 도시하는 바와 같은 클러스터형의 기판 처리 시스템이 알려져 있다. 기판 처리 시스템의 중앙에는, 진공 반송 로봇(9)이 마련되는 트랜스퍼 챔버(10)가 배치된다. 트랜스퍼 챔버(10)의 주위에는, 복수의 프로세스 챔버(11)가 트랜스퍼 챔버(10)를 둘러싸도록 배열된다. 트랜스퍼 챔버(10)는, 2개의 로드록 챔버(12)를 거쳐서 로더 모듈(13)에 연결된다. 로더 모듈(13)에는 대기 반송 로봇(14)이 마련된다. 대기 반송 로봇(14)은 포트(15)에 놓여 있는 카세트 내의 기판을 로드록 챔버(12)에 반송한다. 트랜스퍼 챔버(10)의 내부의 진공 반송 로봇(9)은, 로드록 챔버(12)에 반송된 기판을 프로세스 챔버(11)에 반송한다.
도 2에 나타내는 기판 처리 시스템에 따르면, 기판을 하나의 프로세스 챔버(11)로부터 다른 프로세스 챔버(11)로 이동시킬 때, 트랜스퍼 챔버(10)의 진공 중을 경유하므로, 기판을 대기에 노출하는 일없이 진공 중에서 연속적으로 기판을 처리할 수 있다고 하는 장점이 있다. 그러나, 하나의 진공 반송 로봇(9)으로 복수의 프로세스 챔버(11)에 대응하지 않으면 안되므로, 프로세스 챔버(11) 내에서 실행되는 처리 시간이 비교적 짧을 경우에는, 진공 반송 로봇(9)의 반송 속도에 제약을 받아서 스루풋(단위 시간 당의 기판의 처리 매수)의 향상을 도모할 수 없다고 하는 문제가 있다. 즉, 프로세스 챔버(11)의 처리 시간이 짧으면, 진공 반송 로봇(9)의 반송 속도가 율속(律速, rate-determining) 요인이 되고, 처리 완료의 기판이 반출되지 않고 프로세스 챔버(11) 내에서 대기하는 시간이 발생하여, 진공 반송 로봇(9)의 반송 속도가 시스템 전체의 처리 속도를 결정해 버린다. 더욱이 최근에, 기판의 사이즈도 확대 경향에 있어(예를 들면, 반도체 웨이퍼의 경우, 구경 300 mm로부터 450 mm로의 확대가 검토되고 있다), 프로세스 챔버(11)를 포함하여 기판 처리 시스템 전체의 확장도 필요하게 되므로, 단순한 기판 처리 시스템의 확장은 풋 프린트(footprint: 차지하는 공간)의 비대화를 초래한다고 하는 문제가 있다.
특허문헌 3에는, 클러스터형의 기판 처리 시스템의 풋 프린트를 저감하기 위해서, 프로세스 챔버(101, 102) 및 로드록 챔버(2, 2)를 각각 상하 방향으로 2단으로 적층하고, 중앙의 트랜스퍼 챔버(3)에 마련한 진공 반송 로봇(42)을 상하 방향으로 이동할 수 있도록 하여, 진공 반송 로봇(42)이 상하 2단의 로드록 챔버(2, 2)와 상하 2단의 프로세스 챔버(101, 102)의 사이에서 기판을 반송하도록 한 다단식의 기판 처리 시스템이 개시되어 있다.
특허문헌 4에도, 클러스터형의 기판 처리 시스템의 풋 프린트를 저감하기 위해서, 중앙의 트랜스퍼 챔버(30a)에 승강 가능하게 로봇 아암(robot arm)(18)을 배치하고, 트랜스퍼 챔버(30a)의 주위를 둘러싸도록 상하 방향으로 다단의 프로세스 챔버(32a) 및 상하 방향으로 다단의 로드록 챔버(34a)를 연결하고, 로봇 아암(18)이 다단의 로드록 챔버(34a)와 다단의 프로세스 챔버(32a)의 사이에서 기판을 반송하도록 한 다단식의 기판 처리 시스템이 개시되어 있다. 특허문헌 4의 기판 처리 시스템에 있어서, 다단의 프로세스 챔버(32a) 및 다단의 로드록 챔버(34a)는, 상하 방향으로 완전히 겹칠 경우도 있고, 일부가 겹칠 경우도 있다.
특허문헌 5에는, 기판 처리 시스템의 풋 프린트를 저감하기 위해서, 복수의 카세트(41)가 탑재되는 카세트 스테이지(42)에, 반도체 웨이퍼를 대기 중에서 이송하기 위한 직사각형 이송 통로를 연결하고, 직사각형 이송 통로의 양측 면에 상하 방향으로 2단의 로드록 챔버(43)를 연결하고, 상하 방향으로 2단의 로드록 챔버에 상하 방향으로 2단의 프로세스 챔버(45)를 연결한 기판 처리 시스템이 개시되어 있다. 직사각형 이송 통로에는, 카세트 내의 반도체 웨이퍼를 수취하고, 반도체 웨이퍼를 로드록 챔버(43)까지 이송하는 이송 장치(52)가 마련된다. 로드록 챔버(43)에는, 이송 장치(52)로부터 반도체 웨이퍼를 수취하고, 프로세스 챔버(45)에 반도체 웨이퍼를 이송하는 진공 이송 장치가 마련된다. 로드록 챔버(43)의 내부는, 진공 상태와 대기 상태로 교대로 전환된다. 대기 이송 장치(52)가 로드록 챔버(43)의 이송 장치(52)에 반도체 웨이퍼를 이송할 때는, 로드록 챔버(43)의 내부는 대기 상태로 된다. 한편, 로드록 챔버(43)의 진공 이송 장치가 프로세스 챔버(45)에 반도체 웨이퍼를 이송할 때는, 로드록 챔버(43)의 내부는 진공 상태로 된다.
일본 특허 공개 공보 2002-151568호 일본 특허 공개 공보 2009-76705호 일본 특허 공개 공보 2000-195925호 일본 특허 공개 공보 2002-343848호 일본 특허 공개 공보 2009-147368호
그러나, 특허문헌 3에 기재된 기판 처리 시스템에 있어서는, 중앙에 배치된 트랜스퍼 챔버의 진공 반송 로봇이 주위에 배열된 복수의 프로세스 챔버에 대응하는 구도는 변하지 않으므로, 스루풋(단위 시간 당의 기판의 처리 매수)의 향상을 도모할 수 없다고 하는 문제가 있다.
특허문헌 4에 기재된 기판 처리 시스템과 같이, 복수의 프로세스 챔버를 상하 방향으로 다단으로 겹친다고 해도, 프로세스 챔버의 상부 또는 하부에는, 가스 공급계, 가스 배기계, 고주파 전력 공급 수단 등의 프로세스의 실행에 필수적인 장비가 마련되고, 프로세스 챔버 자체도 대형화의 경향에 있어, 현재 상태의 공장의 클린 룸에 다단으로 겹치는 프로세스 챔버를 배치하는 것은, 현실적으로는 공간적으로 곤란하다. 가령 프로세스 챔버를 다단으로 배치할 수 있었다고 하더라도, 프로세스의 실행에 필수적인 장비를 프로세스 챔버의 상부 및 하부에 배치하는 공간을 빼앗아버려, 이들의 장비를 프로세스 챔버로부터 분리된 장소에 배치해야만 한다고 하는 문제가 있다.
특허문헌 5에 기재된 기판 처리 시스템에 있어서는, 복수의 프로세스 챔버가 단일의 직사각형 통로에 연결되어 있어, 카세트 스테이지로부터 복수의 프로세스 챔버에 반도체 웨이퍼를 반송하는데 있어서, 단일의 직사각형 이송 통로의 이송 장치를 경유해야만 하므로, 단일의 직사각형 이송 통로의 이송 장치의 제약에 걸려, 시스템 전체적으로 스루풋을 향상할 수 없다고 하는 문제가 있다. 또한, 특허문헌 4에 기재된 기판 처리 시스템과 마찬가지로, 프로세스 챔버를 다단으로 겹치게 하고 있으므로, 프로세스 챔버를 상하 방향으로 겹치는 것은 공간적으로 곤란하고, 가령 겹칠 수 있다고 해도, 프로세스의 실행에 필수적인 장비를 프로세스 챔버로부터 분리된 장소에 배치해야만 한다고 하는 문제가 있다.
또한, 상부의 프로세스 챔버와 하부의 프로세스 챔버를 극간 없이 배치했을 경우에는, 예를 들면, 상부의 프로세스 챔버의 배기로의 길이와 하부의 프로세스 챔버의 배기로의 길이가 다르기 때문에, 상부의 프로세스 챔버와 하부의 프로세스 챔버의 배기 성능에 차이가 발생한다.
본 발명은 복수의 프로세스 챔버를 평면적으로 이산 배치하는 시스템 형태에 있어서, 풋 프린트를 저감할 수 있는 기판 처리 시스템을 제공한다.
또한, 본 발명은 복수의 프로세스 챔버를 평면적으로 이산 배치하는 시스템 형태에 있어서, 스루풋(단위 시간당의 기판의 처리 매수)의 향상을 도모할 수 있는 기판 처리 시스템을 제공한다.
또한, 본 발명은 복수의 프로세스 챔버를 이산 배치하는 기판 처리 시스템으로서, 프로세스의 실행에 필수적인 장비를 프로세스 챔버의 상부 또는 하부에 배치할 수 있고, 이에 따라 프로세스 챔버의 개체차를 감소시킬 수 있는 기판 처리 시스템을 제공한다.
또한, 본 발명은 복수의 프로세스 챔버를 평면적으로 이산 배치하는 시스템 형태에 있어서, 풋 프린트의 저감, 스루풋의 향상 및 프로세스 챔버의 개체차 감소 중 적어도 2가지를 달성할 수 있는 기판 처리 시스템을 제공한다.
본 발명의 제 1 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛과, 상기 제 1 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛을 구비하고, 상기 제 1 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버, 및 상기 제 1 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하고, 상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버, 및 상기 제 2 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고, 상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는 상하 방향으로 겹치지 않고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는 기판 처리 시스템이다.
본 발명의 제 2 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛을 구비하고, 상기 제 1 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버, 및 상기 제 1 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하고, 상기 로더 모듈에는, 상기 제 1 반송 유닛과는 높이가 다른 위치에, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛을 연결 가능한 연결 포트가 마련되고, 상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버, 및 제 2 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는 것이 가능하고, 적어도 하나의 상기 제 1 프로세스 챔버와 적어도 하나의 상기 제 2 프로세스 챔버는 상하 방향으로 겹치지 않도록 배치 가능한 기판 처리 시스템이다.
본 발명의 제 3 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛과, 상기 제 1 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛을 구비하고, 상기 제 1 반송 유닛은, 연통 가능하게 마련된 제 1 로드록 챔버 및 제 1 트랜스퍼 챔버를 포함하고, 상기 제 2 반송 유닛은, 연통 가능하게 마련된 제 2 로드록 챔버 및 제 2 트랜스퍼 챔버를 포함하고, 상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는 상하 방향으로 겹치지 않고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는 기판 처리 시스템이다.
본 발명의 제 4 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 로드록 챔버와, 상기 로드록 챔버에 반송된 기판을, 처리를 실행하기 위한 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버와, 상기 제 1 트랜스퍼 챔버에 연결되는 진공의 연결 챔버와, 상기 연결 챔버에 연결되어, 상기 제 1 진공 반송 로봇에 의해 상기 연결 챔버에 반송되는 기판을, 처리를 실행하기 위한 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 구비하고, 상기 제 1 트랜스퍼 챔버와 상기 제 2 트랜스퍼 챔버는 상하 방향으로 높이가 다른 위치에 마련되고, 연결 챔버 내에 마련된 기판 승강 기구에 의해 기판을 서로 수수 가능하게 하고, 상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는 상하 방향으로 겹치지 않는 기판 처리 시스템이다.
본 발명의 제 5 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 로드록 챔버와, 상기 로드록 챔버에 반송된 기판을, 처리를 실행하기 위한 적어도 하나의 프로세스 챔버에 반송하는 진공 반송 로봇이 마련되는 트랜스퍼 챔버를 구비하고, 상기 로드록 챔버 또는 상기 트랜스퍼 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치는 기판 처리 시스템이다.
본 발명의 제 6 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버, 및 상기 제 1 로드록 챔버에 연결되어, 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버, 및 상기 제 2 로드록 챔버에 연결되어, 상기 제 2 로드록 챔버에 반송된 기판을 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하는 제 2 반송 유닛을 구비하고, 상기 제 1 반송 유닛 및 상기 제 2 반송 유닛은, 서로 높이가 다른 위치에 마련되고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치고, 상기 제 2 트랜스퍼 챔버가 상기 제 1 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치되는 반송 모듈이다.
본 발명의 제 7 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버, 및 상기 제 1 로드록 챔버에 연결되어, 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛을 구비하고, 상기 로더 모듈에는, 상기 제 1 반송 유닛과는 높이가 다른 위치에, 기판을 반송하기 위한 제 2 반송 유닛을 연결 가능한 연결 포트가 마련되고, 상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버, 및 상기 제 2 로드록 챔버에 연결되어, 상기 제 2 로드록 챔버에 반송된 기판을 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는 것이 가능하고, 상기 제 2 트랜스퍼 챔버는 상기 제 1 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치 가능한 반송 모듈이다.
본 발명의 제 8 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 로드록 챔버와, 상기 로드록 챔버에 반송된 기판을 반송하는 진공 반송 로봇이 마련되는 트랜스퍼 챔버를 구비하고, 상기 로드록 챔버 또는 상기 트랜스퍼 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치는 반송 모듈이다.
본 발명의 제 9 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버, 및 상기 제 1 로드록 챔버에 연결되어, 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버, 및 상기 제 2 로드록 챔버에 연결되어, 상기 제 2 로드록 챔버에 반송된 기판을 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하는 제 2 반송 유닛을 구비하고, 상기 제 1 반송 유닛 및 상기 제 2 반송 유닛은, 서로 높이가 다른 위치에 마련되고, 상기 제 1 반송 유닛의 상기 제 1 트랜스퍼 챔버의 적어도 일부와 상기 제 2 반송 유닛의 상기 제 2 로드록 챔버의 적어도 일부가 상하 방향으로 겹치고, 상기 제 2 반송 유닛의 상기 제 2 트랜스퍼 챔버는, 상기 제 1 반송 유닛의 상기 제 1 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치되는 반송 모듈이다.
본 발명의 제 10 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버, 및 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛을 구비하고, 상기 제 1 로드록 챔버 또는 상기 제 1 트랜스퍼 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치고, 상기 로더 모듈에는, 상기 제 1 반송 유닛과는 높이가 다른 위치에, 기판을 반송하기 위한 제 2 반송 유닛을 연결 가능한 연결 포트가 마련되는 반송 모듈이다.
본 발명의 제 11 형태는, 로더 모듈내의 대기 반송 로봇이, 포트에 배치된 카세트 내의 기판을 제 1 로드록 챔버 및 제 2 로드록 챔버에 반송하는 대기 반송 공정과, 상기 제 1 로드록 챔버에 연결되는 제 1 트랜스퍼 챔버 내의 제 1 진공 반송 로봇이, 상기 제 1 로드록 챔버에 반송되는 기판을 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 공정과, 상기 제 1 진공 반송 공정과 병행되어 실행되고, 상기 제 2 로드록 챔버에 연결되는 제 2 트랜스퍼 챔버 내의 제 2 진공 반송 로봇이, 상기 제 2 로드록 챔버에 반송되는 기판을 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 공정을 구비하고, 상기 제 1 진공 반송 로봇이 기판을 반송하는 제 1 반송 루트 및 상기 제 2 진공 반송 로봇이 기판을 반송하는 제 2 반송 루트가 서로 높이가 다른 위치에 마련되고, 상기 제 1 반송 루트의 적어도 일부와 상기 제 2 반송 루트의 적어도 일부가 상하 방향으로 겹치고, 상기 제 2 진공 반송 로봇은 상기 제 1 진공 반송 로봇보다도 상기 로더 모듈로부터 분리된 위치까지 기판을 반송하는 기판 처리 방법이다.
본 발명의 제 12 형태는, 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛과, 상기 제 1 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛을 구비하고, 상기 제 1 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버, 및 상기 제 1 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하고, 상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버, 및 상기 제 2 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고, 상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는, 상하 방향으로 겹치지 않고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가, 상하 방향으로 겹치는 기판 처리 시스템을 이용한 반도체 소자의 제조 방법이다.
본 발명에 따르면, 종래의 기판 처리 시스템과 비교하여, 단위 면적당의 처리 효율 및 단위 시간당의 처리 효율이 향상된 기판 처리 시스템을 제공할 수 있다.
도 1은 종래의 멀티 챔버식의 기판 처리 시스템의 평면도이다.
도 2는 종래의 클러스터형의 기판 처리 시스템의 평면도이다.
도 3은 본 발명의 제 1 실시 형태에 있어서의 기판 처리 시스템의 전면 측의 사시도이다.
도 4는 상기 기판 처리 시스템의 배면 측의 사시도이다.
도 5는 상기 기판 처리 시스템의 계층 구조를 나타내는 평면도(도면 내의 (a)는 전체도를 나타내고, 도면 내의 (b)는 첫 번째 층의 반송 유닛을 나타내고, 도면 내의 (c)는 두 번째 층의 반송 유닛을 나타낸다)이다.
도 6은 상기 기판 처리 시스템의 계층 구조를 나타내는 수직 방향 단면도(도면 내의 (a)는 전체도를 나타내고, 도면 내의 (b)는 첫 번째 층의 반송 유닛을 나타내고, 도면 내의 (c)는 두 번째 층의 반송 유닛을 나타낸다)이다.
도 7은 상기 기판 처리 시스템에 있어서 제 1 반송 유닛 및 제 2 반송 유닛의 상하를 반전시킨 비교 예를 나타내는 사시도이다.
도 8은 제 1 반송 유닛 및 제 2 반송 유닛의 사시도이다.
도 9는 로더 모듈의 내부 구조를 나타내는 사시도이다.
도 10은 제 1 반송 유닛을 구성하는 제 1 로드록 챔버 및 제 1 트랜스퍼 챔버의 사시도이다.
도 11은 제 2 반송 유닛을 구성하는 제 2 로드록 챔버 및 제 2 트랜스퍼 챔버를 나타내는 사시도이다.
도 12는 2개의 제 2 로드록 챔버의 단면도이다.
도 13은 제 1 반송 유닛에 있어서의 기판의 흐름을 나타내는 사시도이다.
도 14는 제 2 반송 유닛에 있어서의 기판의 흐름을 나타내는 사시도이다.
도 15는 제 1 실시 형태에 있어서의 기판 처리 시스템에 제 3 반송 유닛을 부가한 예를 나타내는 사시도이다.
도 16은 제 3 반송 유닛을 구성하는 제 3 로드록 챔버 및 제 3 트랜스퍼 챔버를 나타내는 사시도이다.
도 17은 제 1 실시 형태에 있어서의 기판 처리 시스템에 스토리지 및 소형의 프로세스 챔버를 부가한 예를 나타내는 리어 측의 사시도이다.
도 18은 종래의 클러스터형의 기판 처리 시스템과 제 1 실시 형태에 있어서의 기판 처리 시스템과의 풋 프린트를 비교한 평면도(프로세스 챔버의 수가 4개인 경우, 도면 내의 (a)는 클러스터형의 기판 처리 시스템을 나타내고, 도면 내의 (b)는 제 1 실시 형태의 기판 처리 시스템을 나타낸다)이다.
도 19는 종래의 클러스터형의 기판 처리 시스템과 제 1 실시 형태에 있어서의 기판 처리 시스템과의 풋 프린트를 비교한 평면도(프로세스 챔버의 수가 6개인 경우, 도면 내의 (a)는 클러스터형의 기판 처리 시스템을 나타내고, 도면 내의 (b)는 본 발명의 제 1 실시 형태의 기판 처리 시스템을 나타낸다)이다.
도 20은 제 1 실시 형태에 있어서의 기판 처리 시스템의 프로세스 챔버의 주변이 빈 영역을 나타내는 평면도이다.
도 21은 빈 영역을 유효하게 이용해서 설계 변경한 프로세스 챔버의 사시도(도면 내의 (a)는 설계 변경 전을 나타내고, 도면 내의 (b)는 설계 변경 후를 나타낸다)이다.
도 22는 종래의 클러스터형의 기판 처리 시스템 스루풋을 설명하는 타임 차트이다.
도 23a 및 23b는 제 1 실시 형태에 있어서의 기판 처리 시스템 스루풋을 설명하는 타임 차트이다.
도 24는 본 발명의 제 2 실시 형태에 있어서의 기판 처리 시스템의 평면도이다.
도 25는 본 발명의 제 3 실시 형태에 있어서의 기판 처리 시스템의 평면도이다.
도 26은 본 발명의 제 4 실시 형태에 있어서의 기판 처리 시스템의 평면도이다.
도 27은 본 발명의 제 5 실시 형태에 있어서의 기판 처리 시스템을 나타내는 평면도(일부 사시도를 포함한다)이다.
도 28은 본 발명의 제 5 실시 형태에 있어서의 기판 처리 시스템에 검사 장치 및 스토리지를 부가한 예를 나타내는 사시도이다.
도 29는 본 발명의 제 5 실시 형태에 있어서의 기판 처리 시스템을 나타내는 사시도이다.
도 30은 본 발명의 제 5 실시 형태에 있어서의 기판 처리 시스템의 연결된 트랜스퍼 챔버를 나타내는 사시도이다.
도 31은 본 발명의 제 6 실시 형태에 있어서의 기판 처리 시스템의 평면도이다.
도 32는 본 발명의 제 6 실시 형태에 있어서의 기판 처리 시스템의 수직 단면도이다.
도 33은 본 발명의 제 7 실시 형태에 있어서의 기판 처리 시스템의 평면도이다.
이하, 첨부의 도면에 근거하여, 본 발명의 실시 형태를 상세히 설명한다.
[실시 형태 1]
도 3은 본 발명의 제 1 실시 형태에 있어서의 기판 처리 시스템의 구성 (주로 전면 측의 시스템 구성)을 나타낸다. 이 기판 처리 시스템은, 복수의 카세트(도시하지 않음)를 수평 방향으로 모두 배치 가능한 복수, 예를 들면, 6개의 포트(21-1~21-6)가 마련되는 가늘고 긴 직방체 형상의 로더 모듈(22)과, 복수, 예를 들면, 4개의 프로세스 챔버(23-1, 23-2, 24-1, 24-2)를 구비한다.
로더 모듈(22)은, 한 방향(Y 방향)으로 가늘고 긴 직방체 형상의 대기 반송실(25)을 구비한다. 대기 반송실(25)의 일 측면에는, 복수의 포트(21-1~21-6)가 가로로 일렬 배치된다. 평면적으로 보았을 때, 대기 반송실(25)은 이들 복수의 포트(21-1~21-6)의 배열 방향(Y 방향)으로 길게 연장되어 있다. 각각의 포트(21-1~21-6)에는, 복수 매의 미처리 기판(W)이 수납된 1개의 카세트가 탑재 또는 세트된다. 카세트는, 오퍼레이터에 의해 각 포트(21-1~21-6) 위에 수동으로 세트되어도 좋고, 카세트 반송 시스템에 의해 자동적으로 각 포트(21-1~21-6) 위에 세트되어도 좋다. 카세트 내의 미처리 기판(W)은, 대기 반송실(25) 내의 대기 반송 로봇에 의해 1장씩 카세트로부터 취출된다. 프로세스 챔버(23-1, 23-2, 24-1, 24-2)에서 처리가 실행된 기판(W)은, 대기 반송실(25)의 대기 반송 로봇에 의해 1장씩 카세트에 되돌려진다.
4개의 프로세스 챔버(23-1, 23-2, 24-1, 24-2)는, 로더 모듈(22)에 가까운 쪽의 적어도 하나(도시의 예에서는 2개)의 제 1 프로세스 챔버(23-1, 23-2)와, 로더 모듈(22)로부터 먼 쪽의 적어도 하나(도시의 예에서는 2개)의 제 2 프로세스 챔버(24-1, 24-2)로 나눌 수 있다. 이들 2개의 제 1 프로세스 챔버(23-1, 23-2) 및 2개의 제 2 프로세스 챔버(24-1, 24-2)는 로더 모듈(22)로부터 평면적으로 전개된다. 즉, 제 1 프로세스 챔버(23-1, 23-2)와 제 2 프로세스 챔버(24-1, 24-2)는, 평면적으로 겹치지 않고, 평면적으로 분리된 위치에 배치된다.
2개의 제 1 프로세스 챔버(23-1, 23-2)의 로더 모듈(22)로부터의 이간 거리는 서로 동일하고, 2개의 제 2 프로세스 챔버(24-1, 24-2)의 로더 모듈(22)로부터의 이간 거리는 서로 동일하다. 로더 모듈(22)로부터 보아 우측의 제 1 프로세스 챔버(23-1) 및 우측의 제 2 프로세스 챔버(24-1)는 로더 모듈(22)의 길이 방향(Y 방향)과 직교하는 방향(X 방향)으로 일렬로 나란히 배치된다. 로더 모듈(22)로부터 보아 좌측의 제 1 프로세스 챔버(23-2) 및 좌측의 제 2 프로세스 챔버(24-2)는 로더 모듈(22)의 길이 방향(Y 방향)과 직교하는 방향(X 방향)으로 일렬로 나란히 배치된다.
제 1 프로세스 챔버(23-1, 23-2) 및 제 2 프로세스 챔버(24-1, 24-2) 각각은, 성막, 에칭, 애싱, 산화, 질화, 도핑, 확산 등의 군으로부터 선택된 적어도 하나의 프로세스, 또는 이들의 군으로부터 선택되어 세트를 이루는 복수의 프로세스를 실행하도록 구성된다. 예를 들면, 플라즈마를 이용하여 에칭 처리를 실행하는 프로세스 챔버의 상부에는, 각종의 제어 장치, 가스 공급계 및 고주파 전력 공급 수단 등이 마련되고, 그 하부에는, 챔버 내를 진공 배기하는 배기 수단 등이 마련된다.
도 4는 이 기판 처리 시스템의 배면 측의 구성을 나타낸다. 도 4에 있어서는, 제 1 및 제 2 반송 유닛(28, 31)을 쉽게 나타내기 위해서, 전방의 제 1 프로세스 챔버(23-1) 및 전방의 제 2 프로세스 챔버(24-1)가 도시 생략되어 있다. 로더 모듈(22)과 2개의 제 1 프로세스 챔버(23-1, 23-2)는, 제 1 로드록 챔버(26-1, 26-2)와, 이들의 로드록 챔버에 접속되어 있는 제 1 트랜스퍼 챔버(27)를 거쳐서 연결된다. 여기에서, 제 1 로드록 챔버(26-1, 26-2)와 제 1 트랜스퍼 챔버(27)를 제 1 반송 유닛(28)이라 부른다. 로더 모듈(22)과 제 2 프로세스 챔버(24-1, 24-2)는, 제 2 로드록 챔버(29-1, 29-2)와, 이들의 로드록 챔버에 접속되어 있는 제 2 트랜스퍼 챔버(30)를 거쳐서 연결된다. 여기에서, 제 2 로드록 챔버(29-1, 29-2)와 제 2 트랜스퍼 챔버(30)를 제 2 반송 유닛(31)이라 부른다.
제 1 및 제 2 반송 유닛(28, 31)은, 로더 모듈(22)의 길이 방향(Y 방향)에 직교하는 방향(X 방향)으로 연장되어 있다. 제 2 프로세스 챔버(24-1, 24-2)는 제 1 프로세스 챔버(23-1, 23-2)보다도 로더 모듈(22)로부터 분리된 위치에 있고, 제 2 반송 유닛(31)의 깊이 방향(X 방향)의 길이는 제 1 반송 유닛(28)의 깊이 방향(X 방향)의 길이보다도 크다. 양 반송 유닛(28, 31)에 있어서는, 제 1 반송 유닛(28)이 위에 배치되고, 제 2 반송 유닛(31)이 아래에 배치된다.
대기 반송실(25) 내의 대기 반송 로봇은, 포트(21-1~21-6) 위에 세트된 카세트로부터 미처리의 기판(W)을 1매씩 취출하고, 취출한 기판(W)을 제 1 로드록 챔버(26-1, 26-2)의 어느 하나에 반입한다. 제 1 트랜스퍼 챔버(27) 내의 제 1 진공 반송 로봇은, 제 1 로드록 챔버(26-1 또는 26-2)에 반입된 해당 기판(W)을 제 1 프로세스 챔버(23-1 또는 23-2)에 반송한다. 해당 기판(W)을 반입한 제 1 프로세스 챔버(23-1 또는 23-2)에서 소정의 처리가 종료되면, 제 1 트랜스퍼 챔버(27) 내의 제 1 진공 반송 로봇이 그 제 1 프로세스 챔버(23-1 또는 23-2)로부터 처리 완료의 기판(W)을 취출하고, 취출한 처리 완료의 기판(W)을 제 1 로드록 챔버(26-1 또는 26-2)에 반입한다. 대기 반송실(25) 내의 대기 반송 로봇은, 그 처리 완료의 기판(W)을 반입한 제 1 로드록 챔버(26-1 또는 26-2)로부터 대기압 하에서 기판(W)을 취출하여, 포트(21-1~21-6) 중 어느 하나에 탑재되어 있는 카세트에 수납한다. 여기에서, 제 1 진공 반송 로봇에 의한 제 1 로드록 챔버(26-1, 26-2) 중 어느 하나로부터 제 1 프로세스 챔버(23-1, 23-2) 중 어느 하나로의 기판(W)의 흐름을 제 1 반송 루트라 한다.
제 1 로드록 챔버(26-1, 26-2)는 한쪽에서 대기 반송실(25)에 연결되고, 다른 쪽에서 제 1 트랜스퍼 챔버(27)에 연결된다. 이 실시 형태에서는, 2개의 제 1 로드록 챔버(26-1, 26-2)가 제 1 트랜스퍼 챔버(27)의 좌우 양측에 각각 마련된다. 대기 반송실(25)의 상면에는 계단 형상의 오목부(25a)가 형성된다. 이 오목부(25a) 위에, 제 1 로드록 챔버(26-1, 26-2)의 적어도 일부(바람직하게는 전부) 및 제 1 트랜스퍼 챔버(27)의 일부가 대기 반송실(25)에 상하 방향으로 겹치도록 배치된다. 제 1 트랜스퍼 챔버(27)의 좌우에 연결되는 2개의 제 1 로드록 챔버(26-1, 26-2)는, 대기 반송실(25)의 오목부(25a)의 길이 방향(Y 방향)의 양단부의 벽면에도 연결된다. 또, 제 1 로드록 챔버(26-1, 26-2)의 한쪽만이 제 1 트랜스퍼 챔버(27)의 한쪽에 마련되는 구성도 가능하다.
제 1 트랜스퍼 챔버(27)는 평면 형상이 다각형(바람직하게는, 적어도 6개의 변을 가지는 다각형)으로 형성된다. 제 1 트랜스퍼 챔버(27)의 대기 반송실(25)의 길이 방향(Y 방향)에 있어서 마주보는 2개의 변(27a)에 게이트 밸브를 거쳐서 제 1 로드록 챔버(26-1, 26-2)가 각각 연결되고, 그들 2개의 변(27a)에 인접해서 상기 길이 방향(Y 방향)에 대하여 경사져 향하고 있는 2개의 변(27b)에 게이트 밸브를 거쳐서 2개의 제 1 프로세스 챔버(23-1, 23-2)가 각각 연결된다. 제 1 트랜스퍼 챔버(27)의 일부(변(27a, 27d))는 로더 모듈(22)에 상하 방향으로 겹쳐 있지만, 제 1 트랜스퍼 챔버(27)의 2개의 변(27b)은 대기 반송실(25)과는 상하 방향으로 겹치지 않는다. 대기 반송실(25)과 제 1 프로세스 챔버(23-1, 23-2)의 간섭을 피하기 위해서이다.
제 1 트랜스퍼 챔버(27)에 있어서, 2개의 제 1 프로세스 챔버(23-1, 23-2)가 각각 연결되는 2개의 변(27b)의 사이의 1변(27c)(상기 길이 방향(Y 방향)과 평행하게 연장하고 있는 변)에는, 대기 반송실(25), 제 1 프로세스 챔버(23-1, 23-2), 제 1 트랜스퍼 챔버(27) 등의 유지 보수를 실행할 때에 작업원이 승강하기 위한 사다리(33)를 가설할 수 있다. 사다리(33)는 하측의 제 2 트랜스퍼 챔버(30)의 상면과 상측의 제 1 트랜스퍼 챔버(27)의 변(27c)의 사이에 가설한다. 제 1 트랜스퍼 챔버(27)의 상면에는, 개폐 가능한 커버(34)가 부착된다. 작업원이 사다리에 올라 제 1 트랜스퍼 챔버(27)의 상면에 오르고, 커버(34)를 열고, 제 1 트랜스퍼 챔버(27)의 내부(제 1 진공 반송 로봇 등)의 점검이나 유지 보수를 실행할 수 있다.
제 1 트랜스퍼 챔버(27)를 지지하기 위해서 2개의 지지 부재(35, 36)가 마련된다. 제 1 지지 부재(35)는 로더 모듈(22)의 전면 측으로부터 연장되어 있고, 제 2 지지 부재(36)는 로더 모듈(22)의 배면 측에 배치되어 있다. 도 3에 도시하는 바와 같이, 제 1 지지 부재(35)는, 로더 모듈(22)의 전면 측에서 포트(21-3, 21-4)의 사이를 수직 방향으로 바닥으로부터 바로 상방으로 연장하여, 로더 모듈(22) 위에서 로더 모듈(22)의 오목부(25a)의 벽을 넘어서 구부러지고, 구부러진 부분의 선단부를 제 1 트랜스퍼 챔버(27)의 변(27d) 부근에 접속해서 제 1 트랜스퍼 챔버(27)를 매달리도록 지지한다. 대기 반송실(25)은 그 용적이 크므로, 운반성, 조립성을 고려하여, 길이 방향의 중앙에서(즉, 중앙의 포트(21-3과 21-4)의 사이에서) 2분할된다. 2분할되기 때문에 중앙의 포트(21-3과 21-4)의 사이에는 데드 스페이스(dead space)가 생긴다. 제 1 지지 부재(35)는 이 분할을 위한 데드 스페이스에 배치된다. 도 4에 도시하는 바와 같이, 제 2 지지 부재(36)는, 좌우 한 쌍의 지주(36a) 및 이들의 지주(36a)의 사이에 가설해 놓은 빔(36b)을 가지는 문형(門型)으로 형성되고, 제 1 트랜스퍼 챔버(27)의 로더 모듈(22)로부터 밀려 나온 부분에 빔(36b)을 대서 제 1 트랜스퍼 챔버(27)를 지지한다.
상기한 바와 같이, 제 1 트랜스퍼 챔버(27)는, 제 1 로드록 챔버(26-1, 26-2)에 각각 접속하는 2개의 변(27a)과, 제 1 프로세스 챔버(23-1, 23-2)에 각각 접속하는 2개의 변(27b)과, 사다리(33)를 가설할 수 있는 변(27c)과, 제 1 지지 부재(35)와 접속하는 변(27d)을 가지는 변형된 6각형의 평면 형상을 가지고 있다. 여기에서, 각 변의 길이는 독립되어 있고, 도시의 구성 예에서는 27a, 27b, 27c, 27d의 길이가 다르다. 변형예로서, 제 1 트랜스퍼 챔버(27)가 다른 다각형, 예를 들면, 변형된 8각형 또는 정8각형의 평면 형상을 가져도 좋다.
대기 반송실(25) 내의 대기 반송 로봇은, 제 1 로드록 챔버(26-1, 26-2)에 기판(W)을 수수하기 위해서, 제 1 로드록 챔버(26-1, 26-2)의 높이 이상까지, 예를 들면, 2m의 높이까지 상승할 필요가 있다. 상자식으로 자유롭게 신축 가능한 텔레스코픽(telescopic)형의 로봇에는 상승하는 높이에 한계가 있어서, 대기 반송 로봇으로서 문형(門型)의 로봇을 사용하는 것이 바람직하다. 문형의 로봇을 사용했을 경우, 문형의 프레임(Z축 가이드(64))이 대기 반송실(25)의 오목하지 않은 부분(25b) 내를 길이 방향으로 슬라이딩한다(도 9 참조). 대기 반송실(25)의 외부에서 제 1 지지 부재(35)를 구부리고, 제 1 지지 부재(35)의 선단부에서 제 1 트랜스퍼 챔버(27)를 매달리게 함으로써, 제 1 지지 부재(35)가 문형 로봇의 이동을 방해하는 일이 없어진다. 또한, 제 2 지지 부재(36)를 문형으로 형성함으로써, 제 1 트랜스퍼 챔버(27)의 아래쪽에 유지 보수 스페이스를 만들 수 있다.
대기 반송실(25) 내의 대기 반송 로봇은, 포트(21-1~21-6)에 탑재된 어느 하나의 카세트로부터 미처리의 기판(W)을 취출하고, 그 취출한 기판(W)을 제 2 로드록 챔버(29-1 또는 29-2)에 반입한다. 제 2 트랜스퍼 챔버(30) 내의 제 2 진공 반송 로봇은, 그 미처리의 기판(W)을 제 2 로드록 챔버(29-1 또는 29-2)로부터 감압 하에서 제 2 프로세스 챔버(24-1 또는 24-2)에 반입한다. 제 2 프로세스 챔버(24-1 또는 24-2)에서 소정의 처리가 종료되면, 제 2 트랜스퍼 챔버(30) 내의 제 2 진공 반송 로봇이, 처리 완료의 기판(W)을 제 2 프로세스 챔버(24-1 또는 24-2)로부터 취출하고, 그 취출한 처리 완료의 기판(W)을 제 2 로드록 챔버(29-1 또는 29-2)에 반입한다. 대기 반송실(25) 내의 대기 반송 로봇은, 대기압 하에서 제 2 로드록 챔버(29-1 또는 29-2)로부터 처리 완료의 기판(W)을 취출하고, 그 취출한 처리 완료의 기판(W)을 포트(21-1~21-6) 상 중 어느 하나의 카세트에 수납한다. 여기에서, 제 2 진공 반송 로봇에 의한 제 2 로드록 챔버(29-1, 29-2) 중 어느 하나로부터 제 2 프로세스 챔버(24-1, 24-2) 중 어느 하나로의 기판(W)의 흐름을 제 2 반송 루트라 부른다.
제 2 프로세스 챔버(24-1, 24-2)는 제 1 프로세스 챔버(23-1, 23-2)보다도 로더 모듈(22)로부터 분리된 위치에 배치되고, 제 2 반송 유닛(31)의 깊이 방향(X 방향)의 길이는 제 1 반송 유닛(28)의 깊이 방향(X 방향)의 길이보다도 길다. 이와 같이, 제 1 반송 유닛 및 제 2 반송 유닛은 서로 형상은 다르지만, 제 1 트랜스퍼 챔버(27) 내의 제 1 진공 반송 로봇과 제 2 트랜스퍼 챔버(30) 내의 제 2 진공 반송 로봇은 거의 동일한 반송 동작을 실행한다. 즉, 제 1 및 제 2 진공 반송 로봇 모두, 로드록 챔버로부터 미처리의 기판(W)을 취출해서 프로세스 챔버에 그 기판을 이송하고, 프로세스 챔버로부터 처리 완료의 기판(W)을 취출해서 로드록 챔버에 그 기판(W)을 이송하는 반송 동작을 실행한다. 게다가, 로더 모듈에 배치되는 대기 반송 로봇도 대기압하이기는 하지만, 카세트와 로드록 챔버의 사이에서 진공 반송 로봇과 유사한 반송 동작을 실행하고 있다. 이것은, 제 1 및 제 2 반송 유닛(28, 31) 사이에서, 로드록 챔버, 트랜스퍼 챔버, 및 프로세스 챔버를 거의 동일한 위치 관계로 배치할 수 있었기 때문에 가능하게 된 것이며, 그 이유는, 제 1 로드록 챔버(26-1, 26-2)의 적어도 일부 및 제 1 트랜스퍼 챔버(27)의 일부를 로더 모듈(22)에 상하 방향으로 겹치게 함으로써 가능하게 된 것이다. 이것에 의해, 제 2 반송 유닛(31)에 있어서 제 2 로드록 챔버(29-1, 29-2)에 기판을 이동시키는 이동 스테이지를 마련할 필요가 없어지거나, 제 1 및 제 2 트랜스퍼 챔버(27, 30) 사이에서 호환성이 있는 진공 반송 로봇을 사용할 수 있다고 하는 효과도 가져온다. 이들(제 1 로드록 챔버(26-1, 26-2) 및 제 1 트랜스퍼 챔버(27))을 로더 모듈(22)에 겹치게 하는 것의 의의는 크다.
제 2 로드록 챔버(29-1, 29-2)는, 대기 반송실(25)의 배면 측의 측면과 제 2 트랜스퍼 챔버(30)의 1변(30a)에 연결된다. 이 실시 형태에서는, 한 쌍의 제 2 로드록 챔버(29-1, 29-2)가 상하로 겹치도록 마련된다(도 12 참조). 그러나, 제 2 로드록 챔버(29-1, 29-2) 중, 한쪽만 마련되어도 좋다.
제 2 트랜스퍼 챔버(30)는 평면 형상이 다각형(바람직하게는, 8개의 변을 가지는 다각형)으로 형성된다. 그 다각형의 하나의 변(30a)(로더 모듈(22)과 대향하는 변)에 각각 게이트 밸브를 거쳐서 상하 2개의 제 2 로드록 챔버(29-1, 29-2)가 연결되고, 다른 2개의 변(30b)(상기 변(30a)과 반대 측에서 로더 모듈(22)의 길이 방향(Y 방향)에 대하여 경사져 향하고 있는 변)에 각각 게이트 밸브를 거쳐서 2개의 제 2 프로세스 챔버(24-1, 24-2)가 연결된다. 2개의 변(30b)의 사이에서 상기 길이 방향(Y 방향)과 평행하게 연장하고 있는 1변(30c)에는, 제 2 프로세스 챔버(24-1, 24-2)나 제 2 트랜스퍼 챔버(30) 등을 유지 보수하기 위한 사다리(37)를 마련할 수 있다. 사다리(37)는 바닥(38)과 제 2 트랜스퍼 챔버(30)의 사이에 가설해 놓는다. 제 2 트랜스퍼 챔버(30)의 상면에는, 개폐 가능한 커버(39)가 부착된다. 작업원이 사다리(37)에 올라, 커버(39)를 열고, 제 2 트랜스퍼 챔버(30)의 내부(제 2 진공 반송 로봇 등)를 유지 보수한다. 또한, 제 1 트랜스퍼 챔버(27)를 유지 보수할 때는, 작업원이 제 2 트랜스퍼 챔버(30) 상을 이동하여, 제 1 트랜스퍼 챔버(27)에 가설해 놓은 사다리(33)에 오른다.
제 2 트랜스퍼 챔버(30) 및 제 2 로드록 챔버(29-1, 29-2)는 지지 부재(40, 41)에 의해 지지된다. 제 1 지지 부재(41)는 로더 모듈(22)의 배면 측을 따라 바닥면으로부터 상방으로 연장하여, 제 2 로드록 챔버(29-1, 29-2)를 지지한다. 제 2 지지 부재(40)는, 좌우 한 쌍의 지주(40a) 및 이들의 지주(40a)의 사이에 수평으로 가설해 놓은 빔(40b)을 가지는 문형으로 형성되고, 제 2 트랜스퍼 챔버(30)를 빔(40b) 위에 실어 지지한다. 제 1 지지 부재(41)를 로더 모듈(22)의 배면 측을 따르게 하고, 제 2 지지 부재(40)를 문형으로 형성함으로써, 제 2 트랜스퍼 챔버(30)의 아래쪽에 유지 보수 스페이스를 만들 수 있다.
제 2 트랜스퍼 챔버(30)는, 제 2 로드록 챔버(29-1, 29-2)에 접속하는 1개의 변(30a)과, 제 2 프로세스 챔버(24-1, 24-2)에 각각 접속하는 2개의 변(30b)과, 사다리(37)를 가설할 수 있는 변(30c)과, 빔(40b)과 교차하는 2개의 변(30d)과, 제 1 프로세스 챔버(23-1, 23-2)와의 간섭을 피하기 위한 2개의 경사진 변(30e)을 가지는 변형된 8각형의 평면 형상을 가지고 있다. 여기에서, 각 변의 길이는 독립되어 있고, 도시의 구성 예에서는 30a, 30b, 30c, 30d, 30e의 길이가 다르다.
도시의 구성 예에서는, 제 1 트랜스퍼 챔버(27)가 상기와 같은 변형된 6각형의 평면 형상을 가지고, 또한 제 2 트랜스퍼 챔버(30)가 상기와 같은 변형된 8각형의 평면 형상을 가짐으로써, 장치 전체의 풋 프린트의 축소화가 도모되고 있다. 또, 제 1 트랜스퍼 챔버(27)의 변(27b)에 접속되는 제 1 프로세스 챔버(23-1, 23-2)와 제 2 트랜스퍼 챔버(30)의 변(30b)에 접속되는 제 2 프로세스 챔버(24-1, 24-2)의 사이에 인간이 이동하기에 충분한 (610 mm 이상의) 이간 거리도 확보할 수 있다.
상술한 바와 같이, 제 1 반송 유닛(28)은 제 2 반송 유닛(31)보다도 높은 위치에 있다. 제 1 프로세스 챔버(23-1, 23-2)를 설치할 수 있는 설치면의 높이가, 제 2 프로세스 챔버(24-1, 24-2)를 설치할 수 있는 설치면의 높이보다도 높아지도록, 제 1 프로세스 챔버(23-1, 23-2)는 바닥(38) 위에 마련된 받침대(42) 위에 실린다. 이에 따라, 제 1 프로세스 챔버(23-1, 23-2) 및 제 2 프로세스 챔버(24-1, 24-2)에, 거의 동일 형상의 프로세스 챔버를 사용할 수 있다. 받침대(42)의 내부에는, 로더 모듈(22)을 제어하기 위한 제어 장치가 수납되어도 좋다.
도 5는 이 기판 처리 시스템의 계층마다의 평면도를 나타내고, 도 6은 이 기판 처리 시스템의 계층마다의 수직 방향의 단면도를 나타낸다. 도 5 및 도 6에 있어서, 도면 내의 (a)는 기판 처리 시스템의 전체도를 나타내고, 도면 내의 (b)는 로더 모듈(22)에 접속되는 상층의 제 1 반송 유닛(28) 및 제 1 프로세스 챔버(23-1, 23-2)를 나타내고, 도면 내의 (c)는 로더 모듈(22)에 접속되는 하층의 제 2 반송 유닛(31) 및 제 2 프로세스 챔버(24-1, 24-2)를 나타낸다.
도 5의 (b)에 도시하는 바와 같이, 제 1 반송 유닛(28)의 제 1 트랜스퍼 챔버(27)에는 2개의 제 1 프로세스 챔버(23-1, 23-2)가 연결된다. 제 1 프로세스 챔버(23-1, 23-2)의 각각의 중심과 제 1 트랜스퍼 챔버(27)의 중심을 연결하는 선(L1)은, 그 연장선상에서, 대기 반송실(25)의 길이 방향, 즉 복수의 포트(21-1~21-6)의 배열 방향(Y 방향)에 평행한 선(L2)에 대하여 예각으로 교차한다. 선(L1)과 대기 반송실(25)의 길이 방향(Y 방향) 선(L2)이 이루는 각도(θ)는, 예를 들면, 40°~50°로 설정된다. 이 선(L1)을 제 1 트랜스퍼 챔버(27)의 반대 측으로 연장한 선 위에는, 제 1 프로세스 챔버(23-1, 23-2)를 배면 측에서 점검하기 위한 점검 스페이스(23c)가 마련된다. 2개의 제 1 프로세스 챔버(23-1, 23-2)는 대기 반송실(25)의 길이 방향(Y 방향)에 직교하는 선(L3)에 대해서 좌우 대칭으로 배치되는 것이 바람직하다. 그리고, 2개의 제 1 프로세스 챔버(23-1, 23-2)의 각각의 중심과 제 1 트랜스퍼 챔버(27)의 중심을 연결한 선(L1)에 의해 V자형 형상이 형성되고, 제 1 프로세스 챔버(23-1, 23-2)는 선(L1)의 방향으로 배치된다. 그리고, 이 V자 라인을 따라 제 1 프로세스 챔버(23-1, 23-2)에 대한 기판의 반송(출납)이 실행된다.
2개의 제 1 프로세스 챔버(23-1, 23-2)의 사이에서 로더 모듈(22)의 길이 방향(Y 방향)과 평행하게 연장하는 제 1 트랜스퍼 챔버(27)의 1변(27c)에는, 아무것도 연결되어 있지 않고, 2개의 제 1 프로세스 챔버(23-1, 23-2)의 사이에는 극간(K)이 생긴다. 이 극간(K)은, 도 6의 (c)에 도시하는 바와 같이, 제 2 반송 유닛(31)을 확장하기 위한 스페이스로서 이용되고, 또 유지 보수를 위한 통로로서 이용된다. 대기 반송실(25)과 제 1 로드록 챔버(26-1, 26-2)의 사이에는 게이트 밸브(51)가 마련되고, 제 1 로드록 챔버(26-1, 26-2)와 제 1 트랜스퍼 챔버(27)의 사이에는 게이트 밸브(52)가 마련된다. 제 1 트랜스퍼 챔버(27)와 제 1 프로세스 챔버(23-1, 23-2)의 사이에는 게이트 밸브(53)가 마련된다. 제 1 트랜스퍼 챔버(27) 내에는, 제 1 진공 반송 로봇(56)이 마련된다. 제 1 진공 반송 로봇(56)은, 감압 하에서, 제 1 로드록 챔버(26-1, 26-2)와 제 1 프로세스 챔버(23-1, 23-2)의 사이에서 기판(W)을 반송한다.
도 5의 (c)에 도시하는 바와 같이, 제 2 반송 유닛(31)의 제 2 트랜스퍼 챔버(30)에는, 2개의 제 2 프로세스 챔버(24-1, 24-2)가 연결된다. 제 2 프로세스 챔버(24-1, 24-2)의 중심과 제 2 트랜스퍼 챔버(30)의 중심을 연결하는 선(L1)은, 그 연장선상에서, 대기 반송실(25)의 길이 방향, 즉 복수의 포트(21-1~21-6)의 배열 방향(Y 방향)에 평행한 선(L2)에 대하여 예각으로 교차한다. 선(L1)과 대기 반송실(25)의 길이 방향 L2가 이루는 각도는, 예를 들면, 40°~ 50°로 설정된다. 이 선(L1)을 제 2 트랜스퍼 챔버(30)의 반대 측으로 연장한 선상에는, 제 2 프로세스 챔버(24-1, 24-2)를 배면 측에서 유지 보수하기 위한 유지 보수 스페이스(24c)가 마련된다. 2개의 제 2 프로세스 챔버(24-1, 24-2)는, 대기 반송실(25)의 길이 방향(Y 방향)에 직교하는 선(L3)에 대해서 좌우 대칭으로 배치된다. 그리고, 2개의 제 2 프로세스 챔버(24-1, 24-2)의 각각의 중심과 제 2 트랜스퍼 챔버(30)의 중심을 연결한 선(L1)에 의해 V자형 형상이 형성되고, 제 2 프로세스 챔버(24-1, 24-2)는 선(L1)의 방향으로 배치된다. 그리고, 이 V자 라인을 따라 제 2 프로세스 챔버(24-1, 24-2)에 대한 기판(W)의 반송(출납)이 실행된다.
2개의 제 2 프로세스 챔버(24-1, 24-2)의 사이에서 로더 모듈(22)의 길이 방향(Y 방향)에 평행하게 연장하는 제 2 트랜스퍼 챔버(30)의 1변(30c)에는, 아무것도 연결되고 있지 않고, 2개의 제 2 프로세스 챔버(24-1, 24-2)의 사이에는 극간(K)이 생긴다. 이 극간(K)은, 후술하는 제 3 반송 유닛이나 검사 장치 등을 확장·연결하기 위한 스페이스로서 이용되고, 또 유지 보수를 위한 통로로서 이용된다. 대기 반송실(25)과 제 2 로드록 챔버(29-1, 29-2)의 사이에는 게이트 밸브(54)가 마련되고, 제 2 로드록 챔버(29-1, 29-2)와 제 2 트랜스퍼 챔버(30)의 사이에는 게이트 밸브(55)가 마련된다. 제 2 트랜스퍼 챔버(30)와 제 2 프로세스 챔버(24-1, 24-2)의 사이에는 게이트 밸브(57)가 마련된다. 제 2 트랜스퍼 챔버(30) 내에는, 제 2 진공 반송 로봇(58)이 마련된다. 제 2 진공 반송 로봇(58)은, 감압 하에서, 제 2 로드록 챔버(29-1, 29-2)와 제 2 프로세스 챔버(24-1, 24-2)의 사이에서 기판(W)을 반송한다.
본 실시형태에 따르면, 제 1 프로세스 챔버(23-1, 23-2)가 대기 반송실(25)의 길이 방향(Y 방향)에 평행한 선(L2)에 대하여 예각(의 방향)으로 배치되고, 제 2 프로세스 챔버(24-1, 24-2)가 대기 반송실(25)의 길이 방향(Y 방향)에 평행한 선(L2)에 대하여 예각(의 방향)으로 배치되므로, 제 1 프로세스 챔버(23-1, 23-2) 및 제 2 프로세스 챔버(24-1, 24-2)를 대기 반송실(25)의 길이 방향(Y 방향)에 평행하게 배치할 경우와 비교하여, 기판 처리 시스템의 횡폭을 단축할 수 있다. 2개의 제 1 프로세스 챔버(23-1, 23-2) 및 2개의 제 2 프로세스 챔버(24-1, 24-2)를 각각 V자형 형상으로 배치함으로써 보다, 기판 처리 시스템의 횡폭을 단축할 수 있다.
단계적인 투자를 가능하게 하기 위해서, 도 6의 (b)에 나타내는 형태, 또는 도 6의 (c)에 나타내는 형태만의 기판 반송 시스템으로서도 좋다. 제 1 반송 유닛(28) 및 제 2 반송 유닛(31)이 독립되어 있으므로, 어느 한쪽에서도 기판 반송 시스템은 성립한다. 그리고, 나중에 도 6의 (b)에 나타내는 형태에 도 6의 (c)에 나타내는 형태를 부가해도 좋고, 도 6의 (c)에 나타내는 형태에 도 6의 (b)에 나타내는 형태를 부가해도 좋다. 이런 경우, 로더 모듈(22)에는, 나중에 제 1 반송 유닛(28) 또는 제 2 반송 유닛(31)을 연결 가능한 연결 포트가 마련되어 있다.
도 6의 (b)의 일점쇄선(L6)은, 제 1 트랜스퍼 챔버(27)의 제 1 진공 반송 로봇(56)이 제 1 로드록 챔버(26-2)로부터 제 1 프로세스 챔버(23-2)까지 기판을 반송하는 높이를 나타낸다. 제 1 진공 반송 로봇(56)은 제 1 로드록 챔버(26-2)로부터 제 1 프로세스 챔버(23-2)까지 (또는 그 역 방향으로) 거의 일정한 높이에서 기판(W)을 반송한다. 도 6의 (c)의 일점쇄선(L7)은 제 2 진공 반송 로봇(58)이 제 2 로드록 챔버(29-2)로부터 제 2 프로세스 챔버(24-2)까지 (또는 그 역 방향으로) 기판(W)을 반송하는 높이를 나타낸다. 제 2 진공 반송 로봇(58)은 제 2 로드록 챔버(29-2)로부터 제 2 프로세스 챔버(24-2)까지 (또는 그 역 방향으로) 거의 일정한 높이에서 기판을 반송한다. 도 6의 (b)에 나타내는 제 1 진공 반송 로봇(56)이 기판(W)을 반송하는 높이는, 도 6의 (c)에 나타내는 제 2 진공 반송 로봇(58)이 기판을 반송하는 높이보다도 높다. 제 1 반송 유닛(28)과 제 2 반송 유닛(31)의 높이차에 대응하기 위해서, 제 1 프로세스 챔버(23-2)는 받침대(42) 위에 실린다.
본 실시형태에 따르면, 도 6의 (b)에 나타내는 위쪽의 계층의 제 1 반송 유닛(28)과, 도 6의 (c)에 나타내는 아래의 계층의 제 2 반송 유닛(31)이 서로 간섭 또는 의존하는 일 없이 각각 독립해서 기판(W)을 반송하므로, 각각의 반송 유닛(28, 31)에 접속되어 있는 복수의 프로세스 챔버(23-1, 23-2, 24-1, 24-2) 내의 처리의 상황에 따라 높은 반송 효율로 로더 모듈(22)과의 사이에서 반송을 할 수 있다. 이 때문에, 종래의 단일 루트밖에 가질 수 없는 시스템에 비해서 스루풋, 즉, 시스템 전체적인 단위 시간당의 기판(W)의 처리 매수를 높일 수 있다. 또한, 제 1 진공 반송 로봇(56)과 제 2 진공 반송 로봇(58)이 독립되어 있으므로, 어느 한쪽이 고장 나도, 나머지의 하나의 진공 반송 로봇(56, 58)을 작동시킬 수 있어, 기판 처리 시스템 전체가 정지하는 일이 없다.
또한, 계층 구조를 채용하여, 제 1 및 제 2 반송 유닛(28, 31)을 상하의 방향으로 겹침으로써, 시스템 전체적인 풋 프린트(즉, 점유 면적)의 축소를 도모할 수 있다고 하는 우수한 효과를 동시에 달성할 수 있다. 계층 구조는, 상하 방향으로 겹치는 로드록 챔버(26-1, 26-2, 29-1, 29-2) 및 트랜스퍼 챔버(27, 30)의 상하 방향의 두께를 작게 하는 기술에 의해도 서포트 된다. 로드록 챔버(26-1, 26-2, 29-1, 29-2)의 내부에 반송 로봇을 마련하지 않는 것으로, 로드록 챔버(26-1, 26-2, 29-1, 29-2)의 부피를 최소화하고, 두께를 작게 할 수 있고, 계층 구조에 겹쳐도 전체의 높이를 억제할 수 있다. 또한, 로드록 챔버(26-1, 26-2, 29-1, 29-2)의 부피를 최소화함으로써, 대기압과 진공을 전환하기 위해서 압력을 승강시키는 시간의 단축을 도모할 수 있다. 트랜스퍼 챔버(27, 30)에 있어서는, 채용하는 진공 반송 아암의 높이 방향의 치수를 제한하는 것으로, 계층 구조에 겹쳐도 전체의 높이를 제한하는 것이 가능하게 된다.
또한, 제 1 로드록 챔버(26-1, 26-2)의 적어도 일부 및/또는 제 1 트랜스퍼 챔버(27)의 적어도 일부를 로더 모듈(22)에 상하 방향으로 겹치는 것으로, 기판 처리 시스템의 깊이 치수를 작게 할 수 있어, 풋 프린트를 보다 저감할 수 있다. 또한, 제 1 반송 유닛(28)이 로더 모듈(22)의 상하 방향으로 겹치는 것으로, 제 2 반송 유닛(31)을 로더 모듈(22)에 접근시켜서 배치할 수 있으므로, 대기 반송실(25)의 대기 반송 로봇과 제 2 트랜스퍼 챔버(30) 내의 제 2 진공 반송 로봇(58)이 직접적으로 제 2 로드록 챔버(29-1, 29-2)를 거쳐서 기판(W)을 수수하는 것이 가능해진다. 또한, 제 2 로드록 챔버(29-1, 29-2) 내에는 후술하는 기판의 이동 기구 등을 마련하는 일없이, 용적을 최소로 유지하는 것이 가능하게 된다.
기판(W)으로서 반도체 웨이퍼를 처리할 경우, 웨이퍼의 구경을, 예를 들면, 300 mm으로부터, 예를 들면, 450 mm로 확대하는 것이 요청되고 있다. 웨이퍼의 구경에 맞춰 기판 처리 시스템의 크기(사이즈)를 단순히 1.5배로 하면, 설치 면적은 2.25배 늘어나버린다. 대구경의 웨이퍼를 처리할 경우, 풋 프린트를 저감하는 것에는 큰 가치가 있다.
도 6의 (a)~(c)에 채용되어 있는 프로세스 챔버(23-1, 23-2, 24-1, 24-2)는, 도시되어 있는 바와 같이, 프로세스를 실행하는 진공 영역을 둘러싸는 진공 용기(v) 자체는 세로 방향으로는 짧지만, 진공 용기(v)를 둘러싸는 하우징체는 세로 방향으로 길어, 다른 프로세스 챔버(23-1, 23-2, 24-1, 24-2)와 세로 방향으로 적층하는 것이 어렵다. 프로세스 챔버(23-1, 23-2, 24-1, 24-2)의 상부에 마련하는 것이 바람직한 장비로서는, 플라즈마 생성에 필요한 고주파 전원, 마이크로파 공급 장치, 매칭 회로, 가스 공급 장치 등을 들 수 있다. 하부에 마련하는 것이 바람직한 장비로서는, 진공 배기를 위한 터보 분자 펌프, 진공 펌프, 고주파 전원 등을 들 수 있다. 이들이 진공 용기(v)를 둘러싸는 하우징체의 상하에 마련되어, 세로 방향으로 공간을 전유하고, 전체로서 프로세스 챔버(23-1, 23-2, 24-1, 24-2)를 형성하고 있다. 이렇게 프로세스 챔버(23-1, 23-2, 24-1, 24-2)가 상하 방향의 공간을 전유하는 구성을 채용함으로써, 장비의 최단 배치를 취할 수 있어, 프로세스의 실행 시에 프로세스 챔버(23-1, 23-2, 24-1, 24-2) 사이의 기기 차이(machine deviation)를 적게 하는 것을 가능하게 하고 있다. 특히, 현재의 요구되는 기술인 450mm 웨이퍼에 대하여 22nm 디자인 룰로 디바이스를 형성할 경우의 프로세스 조건을 재현성 좋게 실현하기 위해서는, 유사한 구성의 프로세스 챔버가 바람직하다.
더욱이, 길이가 짧은 제 1 반송 유닛(28)을 위에, 길이가 긴 제 2 반송 유닛(31)을 아래로 배치함으로써, 제 1 반송 유닛(28)의 제 1 트랜스퍼 챔버(27)와 제 2 반송 유닛(31)의 제 2 로드록 챔버(29-1, 29-2)는 겹치지만, 제 1 트랜스퍼 챔버(27)와 제 2 트랜스퍼 챔버(30)는 겹치지 않도록 할 수 있다. 이 때문에, 제 1 트랜스퍼 챔버(27) 및 제 2 트랜스퍼 챔버(30)의 유지 보수가 용이해진다.
도 7은, 비교예로서, 제 1 반송 유닛(28) 및 제 2 반송 유닛(31)의 상하를 반전시킨 예를 나타낸다. 상하를 반전시키면, 제 1 트랜스퍼 챔버(27)의 상방에 제 2 로드록 챔버(29-1, 29-2)가 배치되므로, 제 1 트랜스퍼 챔버(27)의 유지 보수용의 커버를 열 수 없어지지만, 제 1 반송 유닛(28)과 제 2 반송 유닛(31)의 상하를 반전시켜서 구성하는 것도 가능하다. 이런 경우에서도, 종래 기술과 비교하면, 풋 프린트를 억제함과 아울러 고스루풋이 가능하다.
도 8은 제 1 및 제 2 반송 유닛(28, 31)의 전체 구성의 개략 사시도를 나타낸다. 대기 반송 로봇(60)은 로더 모듈(22)을 따라 마련된 포트(21) 상의 어느 하나의 카세트에 액세스하고, 그 카세트에 대하여 기판(W)의 출납을 행할 수 있는 스칼라형의 반송 아암을 구비하고 있다. 스칼라형의 아암은, 도시하지 않는 이동 기구에 의해, 대기 반송실을 상하 방향(Z 방향) 및 길이 방향(Y 방향)으로 이동한다. 제 1 로드록 챔버(26-1, 26-2) 및 제 2 로드록 챔버(29-1, 29-2)는, 대기 반송 로봇(60)을 액세스할 수 있는 위치에 배치되어 있다. 대기 반송 로봇(60)은 대기압 하에서 제 1 로드록 챔버(26-1, 26-2)에 기판(W)을 반송한다. 제 1 로드록 챔버(26-1, 26-2)에 반입된 기판(W)은, 감압 하에서 제 1 진공 반송 로봇(56)에 의해 제 1 프로세스 챔버(23-1, 23-2)의 어느 하나에 반송된다. 또한, 대기 반송 로봇(60)은, 제 2 로드록 챔버(29-1, 29-2)에도 기판(W)을 반송한다. 제 2 로드록 챔버(29-1, 29-2)의 어느 하나에 반송된 기판(W)은, 감압 하에서 제 2 진공 반송 로봇(58)에 의해 제 2 프로세스 챔버(24-1, 24-2)의 어느 하나에 반송된다.
도 9는 대기 반송실(25) 및 대기 반송 로봇(60)의 사시도를 나타낸다. 도 9에는, 스칼라형의 로봇을 대신하여 문형의 로봇을 사용한 예가 표시되어 있다. 대기 반송실(25)은 포트(21)의 배열 방향으로 가늘고 긴 입방체 형상으로 형성된다. 대기 반송실(25)의 상면에는, 제 1 로드록 챔버(26-1, 26-2) 및 제 1 트랜스퍼 챔버(27)를 싣기 위한 오목부(25a)가 형성된다. 대기 반송실(25)의 상부에는 도시하지 않는 FFU가 설치되고, 대기 반송실(25)에는 공기의 다운 플로가 형성된다.
대기 반송실(25)에는 2계통의 제 1 반송 유닛(28) 및 제 2 반송 유닛(31)이 연결된다. 대기 반송 로봇(60)이 1대뿐이면, 대기 반송 로봇(60)의 반송 처리가 중간에 늦어질 경우가 있다. 이 때문에, 대기 반송실(25)의 중앙으로부터 길이 방향(Y 방향)의 양측에 2대의 대기 반송 로봇(60-1, 60-2)을 마련한다. 대기 반송실(25)의 길이 방향(Y 방향)의 중앙에는, 기판(W)의 노치 등을 인식해서 기판(W)의 얼라인먼트(위치 결정)를 실행하는 오리엔터(61)가 마련된다. 오리엔터(61)의 아래쪽에는, 제 2 로드록 챔버(29-1, 29-2)에 접속되는 연결구(62)가 마련된다. 2대의 대기 반송 로봇(60-1, 60-2)은 모두 중앙의 오리엔터(61) 및 제 2 로드록 챔버(29-1, 29-2)에 액세스할 수 있다. 대기 반송실(25)의 길이 방향(Y 방향)으로 분리해서 마련되는 2개의 제 1 로드록 챔버(26-1, 26-2)에 대하여는, 각 로드록 챔버에 가까운 쪽의 대기 반송 로봇(60-1, 60-2)만이 각각 액세스한다. 즉, 로드록 챔버(26-1)에 대하여는 대기 반송 로봇(60-1)만이 액세스하고, 로드록 챔버(26-2)에 대하여는 대기 반송 로봇(60-2)만이 액세스한다.
도 9로부터, 문형의 대기 반송 로봇(60-1, 60-2)은, 대기 반송실(25)의 길이 방향(Y 방향)에 평행하게 연장하는 Y축 가이드(63), 및 수직 방향(Z 방향)으로 연장하는 Z축 가이드(64)를 구비한다. 이들 Y축 가이드(63) 및 Z축 가이드(64)에 의해, 기판(W)은 대기 반송실(25)을 길이 방향(Y 방향) 및 상하 방향(Z 방향)으로 자유롭게 이동시킬 수 있다. Z축 가이드(64)에는, 스칼라형 로봇과 같은 역할을 실행하는 슬라이드 받침대(65)가 부착된다. 슬라이드 받침대에는, 기판(W)을 유지하는 도시하지 않는 아암이 부착되어 있다. 아암이 슬라이드 받침대를 슬라이드 함으로써, 기판(W)을 포트(21-1~21-6)나 제 1 및 제 2 로드록 챔버(26-1, 26-2, 29-1, 29-2)와 수수할 수 있다.
2대의 대기 반송 로봇(60-1, 60-2)이 각각 담당하는 포트(21-1~21-6)는 미리 정해져 있다. 대기 반송 로봇(60-1, 60-2)은 포트(21-1~21-6)에 배치된 카세트에 대한 기판(W)의 출납을 실행함과 아울러, 기판(W)을 오리엔터(61)에 싣는다. 오리엔터(61)에서 얼라인먼트된 기판은, 대기 반송 로봇(60-1, 60-2) 중 어느 하나에 의해 취출되어, 제 1 로드록 챔버(26-1, 26-2) 또는 제 2 로드록 챔버(29-1, 29-2)의 어느 하나에 반송된다. 여기에서, 대기 반송 로봇(60-1, 60-2)의 한쪽이 기판(W)을 오리엔터(61)에 실은 후, 대기 반송 로봇(60-1, 60-2)의 다른 쪽이 오리엔터(61)로부터 해당 기판을 취출하도록 해도 좋다.
본 실시형태에 따르면, 로더 모듈(22)을 구성하는 대기 반송실(25)의 길이 방향(Y 방향)을 따라 이동하고, 카세트(21) 내에서 미처리의 기판(W)을 취출하고, 로드록 챔버(26-1, 26-2, 29-1, 29-2)의 어느 하나에 반송하는 대기 반송 로봇(60-1, 60-2)이 마련되어 있다. 대기 반송실(25)은, 복수의 포트(21-1~21-6)의 배열 방향(Y 방향)으로 길게 연장되어 있으므로, 반송의 효율화와 스루풋의 향상을 도모하기 위해서, 대기 반송실(25) 내의 반송 에어리어를 길이 방향(Y 방향)의 중앙으로부터 좌우로 2분할하고, 각각 독립해서 기판(W)을 반송할 수 있는 2개의 대기 반송 로봇(60-1, 60-2)을 대기 반송실(25)의 좌우 양측의 반송 에어리어에 각각 마련할 수 있다. 예를 들면, 6개의 카세트가 포트(21-1~21-6)에 각각 탑재될 경우, 좌측 절반의 3대의 포트(21-4~21-6)의 카세트에 대한 기판(W)의 출납을, 좌측에 배치한 대기 반송 로봇(60-2)이 담당하고, 우측 절반의 3대의 포트(21-1~21-3)의 카세트에 대한 기판(W)의 출납을, 우측에 배치한 대기 반송 로봇(60-1)이 담당함으로써, 반송 효율을 향상시키는 것이 가능하다. 또한, 중앙의 포트(21-3, 21-4) 위에 탑재되는 2개의 카세트에 대해서는, 좌우의 대기 반송 로봇(60-1, 60-2)이 모두 액세스할 수 있게 함으로써, 로드록 챔버(26-1, 26-2, 29-1, 29-2)의 어디에도 반송할 수 있고, 또한, 처리 완료의 기판(W)이 로드록 챔버(26-1, 26-2, 29-1, 29-2)의 어느 것을 경유해도, 그 처리 완료의 기판(W)을 포트(21-3, 21-4) 상의 공통 카세트에 수납할 수 있다. 또한, 상위 계층의 반송 유닛을 경유한 기판의 처리와 하위 계층의 반송 유닛을 경유한 기판의 처리를, 좌측 3대의 포트(21-1~21-3) 상의 카세트와 우측 3대의 포트(21-4~21-6) 상의 카세트로 구분하는 선택이나, 포트(21-3, 21-4) 상의 공통 카세트로부터 기판(W)을 취출하고, 처리 완료 기판(W)을 공통 카세트에 수납하는 선택 등은, 제어 장치의 소프트웨어의 지시에 의해 실행된다.
도 10은 제 1 로드록 챔버(26-1, 26-2) 및 제 1 트랜스퍼 챔버(27)의 사시도를 나타낸다. 평면 다각형으로 형성되는 제 1 트랜스퍼 챔버(27)의 2변(27a)에는, 각각 게이트 밸브(52)를 거쳐서 2개의 제 1 로드록 챔버(26-1, 26-2)가 연결된다.
제 1 로드록 챔버(26-1, 26-2)는, 진공 배기와 대기압 복귀가 반복해서 실행되는 작은 방으로 이루어진다. 제 1 로드록 챔버(26-1, 26-2)에는, 진공 배기하기 위한 배기 장치가 접속됨과 아울러, 대기압 복귀하기 위한 질소 가스 또는 공기의 퍼지 가스 공급계가 접속된다. 압력을 제어하기 위해서, 제 1 로드록 챔버(26-1, 26-2) 내의 압력은 압력계에 의해 측정된다. 진공 배기와 대기압 복귀를 용이하게 행할 수 있도록, 제 1 로드록 챔버(26-1, 26-2)의 용적은 필요한 기능을 충족시키면서 가능한 한 작게 설정된다. 제 1 로드록 챔버(26-1, 26-2)와 대기 반송실(25)은 각각 게이트 밸브(51)를 거쳐서 연결된다.
제 1 로드록 챔버(26-1, 26-2)에는, 기판(W)을 지지하는 리프터(lifter) 및 이 리프터를 승강하게 하는 리프터 드라이브(도시하지 않음)가 마련된다. 대기 반송 로봇(60-1, 60-2)이나 제 1 진공 반송 로봇(56)이 제 1 로드록 챔버(26-1, 26-2) 내에 기판(W)을 반입할 때, 리프터는 비어있으며 하강 상태이다. 기판(W)의 반입이 완료되면, 리프터가 상승하여, 대기 반송 로봇(60-1, 60-2) 또는 제 1 진공 반송 로봇(56)으로부터 기판(W)을 수취한다. 이것과는 반대로, 대기 반송 로봇(60-1, 60-2) 또는 제 1 진공 반송 로봇(56)이 제 1 로드록 챔버(26-1, 26-2)로부터 기판(W)을 취출할 때는, 리프터는 기판(W)을 유지하고 있으며 상승 상태이다. 대기 반송 로봇(60-1, 60-2) 또는 제 1 진공 반송 로봇(56)이 제 1 로드록 챔버(26-1, 26-2) 내에 엔드 이펙터(effector)를 넣은 단계에서, 리프터를 하강시켜, 기판(W)을 리프터로부터 대기 반송 로봇(60-1, 60-2) 또는 제 1 진공 반송 로봇(56)에 넘긴다. 제 1 로드록 챔버(26-1, 26-2), 배기 장치, 퍼지 가스 공급계, 압력계, 리프터, 리프터 드라이브에 의해 제 1 로드록 모듈이 구성된다. 제 1 로드록 모듈은 또한, 가열· 냉각 장치를 구비하고 있어도 좋다.
제 1 트랜스퍼 챔버(27)의 내부는 배기 장치에 의해 진공 배기 된다. 제 1 트랜스퍼 챔버(27)의 내부를 청소하거나, 제 1 진공 반송 로봇(56)을 점검하거나 할 때에, 커버(34)(도 4 참조)를 열 수 있다. 제 1 트랜스퍼 챔버(27)의 내부에는, 제 1 진공 반송 로봇(56)이 마련된다. 제 1 트랜스퍼 챔버(27) 및 제 1 진공 반송 로봇(56)에 의해 제 1 트랜스퍼 모듈이 구성된다.
제 1 진공 반송 로봇(56)은 한 쌍의 와족식(蛙足式)의 반송 기구를 구비한다. 도 5의 (a)에 도시하는 바와 같이, 각 와족식의 반송 기구는, 트랜스퍼 챔버(27)의 중심의 주위를 회전 구동되는 제 1 및 제 2 구동 아암(143, 144)과, 제 1 구동 아암(143)의 선단에 회전 가능하게 연결되는 제 1 링크(145)와, 제 2 구동 아암(144)의 선단에 회전 가능하게 연결되는 제 2 링크(146)와, 제 1 및 제 2 링크(145, 146)의 선단에 회전 가능하게 연결되는 유지 플레이트(147)를 구비한다. 제 1 및 제 2 구동 아암(143, 144), 제 1 및 제 2 링크(145, 146) 및 유지 플레이트에 의해 와족식의 마름모꼴의 링크 기구가 구성된다. 한 쌍의 와족식의 반송 기구는 트랜스퍼 챔버(27)의 중심을 포함한 선에 대해서 좌우 대칭으로 형성된다. 제 1 진공 반송 로봇(56)은 한 쌍의 와족식의 반송 기구를 승강하게 하는 Z축 이동 기구를 구비해도 좋다.
모터에 의해 제 1 및 제 2 구동 아암(143, 144)을 반대 방향으로 회전시키면, 한쪽의 와족식의 반송 기구가 신장하여, 한쪽의 유지 플레이트(147)가 방사 방향으로 이동한다. 한쪽의 유지 플레이트(147)에 유지된 기판은, 제 1 트랜스퍼 챔버(27)의 슬릿으로부터 취출되고, 제 1 로드록 챔버(26-1, 26-2) 또는 제 1 트랜스퍼 챔버(27)에 들어간다. 이 때, 다른 쪽의 와족식의 반송 기구는 형상을 거의 변화시키는 일없이, 유지 플레이트(147)가 약간 제 1 트랜스퍼 챔버(27)의 중심으로 접근하게 될 뿐이다. 모터에 의해 제 1 및 제 2 구동 아암(143, 144)을 동일 방향으로 회전시키면, 한 쌍의 와족식의 반송 기구가 수평면 내를 선회하고, 유지 플레이트(147)에 유지된 기판(W)도 수평면 내를 선회한다. 제 1 진공 반송 로봇(56)에는, 와족식의 반송 기구에 한정되지 않고, 스칼라형 로봇이나 원통 좌표계의 로봇을 사용해도 좋다. 제 1 진공 반송 로봇(56)은 한 쌍의 와족식의 반송 기구를 승강하게 하는 Z축 이동 기구를 구비해도 좋다.
제 1 로드록 모듈 및 제 1 트랜스퍼 모듈의 동작은 이하와 같다. 도 9에 도시하는 바와 같이, 대기 반송 로봇(60-1 또는 60-2)이 기판(W)을 제 1 로드록 챔버(26-1 또는 26-2)에 반입하면, 제 1 로드록 챔버(26-1 또는 26-2)의 대기 반송실(25) 측의 게이트 밸브(51)를 닫을 수 있어, 제 1 로드록 챔버(26-1 또는 26-2)는 진공 배기된다. 제 1 로드록 챔버(26-1 또는 26-2)가 진공 상태로 되면, 제 1 트랜스퍼 챔버(27) 측의 게이트 밸브(52)를 열 수 있어, 제 1 로드록 챔버(26-1 또는 26-2)와 제 1 트랜스퍼 챔버(27)가 연통한다. 제 1 진공 반송 로봇(56)은, 감압 하에서, 제 1 로드록 챔버(26-1 또는 26-2)로부터 미처리의 기판(W)을 제 1 트랜스퍼 챔버(27) 내에 인입(반출)하고, 그 반출한 미처리의 기판(W)을 제 1 프로세스 챔버(23-1 또는 23-2)에 반입한다.
제 1 프로세스 챔버(23-1 또는 23-2)에서 소정의 처리가 끝나면, 제 1 진공 반송 로봇(56)은, 제 1 프로세스 챔버(23-1 또는 23-2)로부터 처리 완료의 기판(W)을 수취하고, 제 1 트랜스퍼 챔버(27) 내에 인입한 후, 다음 처리를 실행하는 제 1 프로세스 챔버(23-1, 23-2)의 다른 쪽 또는 제 1 로드록 챔버(26-1, 26-2)의 어느 하나에 기판(W)을 넘겨준다. 제 1 로드록 챔버(26-1 또는 26-2)에 기판(W)이 넘겨지면, 제 1 트랜스퍼 챔버(27) 측의 게이트 밸브(52)를 닫을 수 있다. 이후, 기판(W)을 반입한 제 1 로드록 챔버(26-1 또는 26-2)가 대기압으로 복귀하고 나서, 대기 반송실(25)측의 게이트 밸브(51)를 열 수 있다. 대기 반송 로봇(60-1 또는 60-2)은, 대기압 하에서, 처리 완료의 기판(W)을 제 1 로드록 챔버(26-1 또는 26-2)로부터 취출하고, 취출한 기판(W)을 포트(21-1~21-6) 상의 어느 하나의 카세트에 되돌린다.
도 11은 제 2 로드록 챔버(29-1, 29-2) 및 제 2 트랜스퍼 챔버(30)의 사시도를 나타낸다. 평면 다각형으로 형성되는 제 2 트랜스퍼 챔버(30)의 로더 모듈(22)과 대향하는 1변(30a)에는, 상하에 2개의 게이트 밸브(71, 72)를 거쳐서 상하에 2개의 제 2 로드록 챔버(29-1, 29-2)가 연결된다. 제 2 로드록 챔버(29-1, 29-2)는, 진공 배기와 대기압 복귀가 반복해 실행되는 작은 방으로 이루어진다. 제 2 로드록 챔버(29-1, 29-2)에는, 진공 배기하기 위한 배기 장치가 접속됨과 아울러, 대기압 복귀하기 위한 질소 가스 또는 공기의 퍼지 가스 공급계가 접속된다. 압력을 제어하기 위해서, 제 2 로드록 챔버(29-1, 29-2) 내의 압력은 압력계에 의해 측정된다. 진공 배기와 대기압 복귀를 용이하게 행할 수 있도록, 제 2 로드록 챔버(29-1, 29-2)의 용적은 필요한 기능을 충족시키면서 가능한 한 작게 설정된다. 도 12에 도시하는 바와 같이, 제 2 로드록 챔버(29-1, 29-2)와 대기 반송실(25)은 게이트 밸브(54-1, 54-2)를 거쳐서 연결되고, 제 2 로드록 챔버(29-1, 29-2)와 제 2 트랜스퍼 챔버(30)는 게이트 밸브(55-1, 55-2)를 거쳐서 연결된다.
상하 2개의 제 2 로드록 챔버(29-1, 29-2)에 기판(W)을 반송할 수 있도록, 제 2 진공 반송 로봇(58)은 기판(W)을 Z축 방향으로 승강하게 하는 Z축 이동 기구를 구비한다. 상측의 제 2 로드록 챔버(29-1)에는, 기판(W)을 지지하는 고정 리프터가 마련된다. 하측의 제 2 로드록 챔버(29-2)에는, 기판(W)을 지지하는 리프터(75) 및 이 리프터(75)를 승강하게 하는 리프터 드라이브(76)가 마련된다. 대기 반송 로봇(60-1, 60-2) 또는 제 2 진공 반송 로봇(58)이 제 2 로드록 챔버(29-1 또는 29-2) 내에 기판(W)을 반입할 때는, 리프터(75)는 비어 있으며 하강 상태이다. 기판(W)의 반입이 완료되었다면, 리프터가 상승하여, 대기 반송 로봇(60-1, 60-2) 또는 제 2 진공 반송 로봇(58)으로부터 기판을 수취한다.
이들 제 2 로드록 챔버(29-1, 29-2), 배기 장치, 퍼지 가스 공급계, 압력계, 리프터(74, 75) 등에 의해 제 2 로드록 모듈이 구성된다. 제 2 로드록에 마련하는 리프터는 제 1 로드록과 마찬가지로 고정 리프터를 마련하도록 해도 좋다. 제 2 로드록 모듈은 또한, 가열· 냉각 장치를 구비하고 있어도 좋다.
제 2 트랜스퍼 챔버(30)의 내부는 배기 장치에 의해 진공 배기된다. 제 2 트랜스퍼 챔버(30)의 내부를 청소하거나, 제 2 진공 반송 로봇(58)을 점검하거나 할 때에, 커버(39)(도 4 참조)를 열 수 있다. 제 2 트랜스퍼 챔버(30)의 내부에는, 제 2 진공 반송 로봇(58)이 마련된다. 제 2 트랜스퍼 챔버(30) 및 제 2 진공 반송 로봇(58)에 의해 제 2 트랜스퍼 모듈이 구성된다. 제 2 진공 반송 로봇(58)도 한 쌍의 와족식의 반송 기구를 구비한다. 한 쌍의 와족식의 반송 기구의 구조 및 동작은 도 5의 (a)에 나타내는 와족식의 반송 기구와 마찬가지다.
제 2 로드록 모듈 및 제 2 트랜스퍼 모듈의 동작은 이하와 같다. 도 9에 도시하는 바와 같이, 대기 반송 로봇(60-1 또는 60-2)이 기판(W)을 제 2 로드록 챔버(29-1 또는 29-2)에 반입하면, 제 2 로드록 챔버(29-1 또는 29-2)의 대기 반송실(25) 측의 게이트 밸브(73 또는 74)를 닫을 수 있어, 제 2 로드록 챔버(29-1 또는 29-2)는 진공 배기된다. 제 2 로드록 챔버(29-1 또는 29-2)가 진공 상태가 되면, 제 2 트랜스퍼 챔버(30) 측의 게이트 밸브(71 또는 72)를 열 수 있어, 제 2 로드록 챔버(29-1 또는 29-2)와 제 2 트랜스퍼 챔버(30)가 연통한다. 제 2 진공 반송 로봇(58)은, 감압 하에서, 제 2 로드록 챔버(29-1 또는 29-2)로부터 미처리의 기판(W)을 제 2 트랜스퍼 챔버(30) 내에 인입(반출)하고, 반출한 미처리의 기판(W)을 제 2 프로세스 챔버(24-1 또는 24-2)에 반입한다.
제 2 프로세스 챔버에서 소정의 처리가 종료되면, 제 2 진공 반송 로봇(58)은, 제 2 프로세스 챔버(24-1 또는 24-2)로부터 처리 완료의 기판(W)을 제 2 트랜스퍼 챔버(30) 내에 인입(반출)하고, 다음 처리를 실행하는 제 2 프로세스 챔버(24-1, 24-2)의 다른 쪽 또는 제 2 로드록 챔버(29-1, 29-2) 중 어느 하나에 그 처리 완료의 기판(W)을 넘겨준다. 제 2 로드록 챔버(29-1 또는 29-2)에 기판(W)이 넘겨지면, 제 2 트랜스퍼 챔버(30) 측의 게이트 밸브(71 또는 72)를 닫을 수 있고, 대기 반송실(25) 측의 게이트 밸브(73 또는 74)를 열 수 있다. 이후, 기판(W)이 반입된 제 2 로드록 챔버(29-1 또는 29-2)가 대기압에 복귀하고 나서, 대기 반송 로봇(60-1 또는 60-2)은, 제 2 로드록 챔버(29-1 또는 29-2)로부터 처리 완료의 기판(W)을 취출하고, 그 취출한 기판(W)을 포트(21-1~21-6) 상 중 어느 하나의 카세트에 되돌린다.
상기 대기 반송 로봇(60-1, 60-2), 제 1 진공 반송 로봇(56), 제 2 진공 반송 로봇(58) 등은 컴퓨터 등의 제어 장치에 의해 제어된다.
도 13은 포트(21-1~21-6) 중의 1개, 예를 들면, 포트(21-1) 상의 카세트로부터 제 1 프로세스 챔버(23-1 또는 23-2)에 이르기까지의 기판(W)의 흐름을 나타낸다. 한쪽의 대기 반송 로봇(60-1)은, 포트(21-1) 상의 카세트(78)로부터 미처리의 기판(W)을 대기 반송실(25)에 인입한다(A→B). 다음으로, 대기 반송 로봇(60-1)은 기판을 오리엔터(61)까지 반송하고, 기판의 노치 또는 오리엔테이션 플랫(orientation plat) 등을 검출해서 기판(W)의 방향을 검출하기 위한 오리엔터(orienter)(61) 위에 기판(W)을 싣는다(B→C). 다음으로, 대기 반송 로봇(60-1)은 오리엔터(61)에서 위치 정렬된 기판(W)을 취출하고, 한쪽의 제 1 로드록 챔버(26-1)에 반입한다(C→D). 여기에서, 한쪽의 대기 반송 로봇(60-1)이 오리엔터(61)까지 기판(W)을 반송한 후, 다른 쪽의 대기 반송 로봇(60-2)이 오리엔터(61)로부터 그 기판(W)을 취출하고, 다른 쪽의 제 2 로드록 챔버(29-2)에 그 기판(W)을 반입하도록 해도 좋다. 제 1 로드록 챔버(26-1)가 진공 배기되면, 제 1 트랜스퍼 챔버(27)의 제 1 진공 반송 로봇(56)이, 제 1 로드록 챔버(26-1)로부터 기판(W)을 인입하고, 제 1 트랜스퍼 챔버(27)를 경유한 후, 한쪽의 제 1 프로세스 챔버(23-1)에 기판(W)을 넘겨준다(D→E→F).
제 1 프로세스 챔버(23-1)에서 처리된 기판(W)은, 제 1 진공 반송 로봇(56)에 의해 다른 쪽의 제 1 프로세스 챔버(23-2)에 반송되거나, 또는 제 1 로드록 챔버(26-1)에 되돌려진다(기판은 2개의 제 1 프로세스 챔버(23-1, 23-2)를 거치는 경우도 있고, 하나의 챔버 만 거치고 제 1 로드록 챔버(26-1)에 되돌려지는 경우도 있다). 로드록 챔버(26-1)로 되돌아간 기판(W)은 대기 반송 로봇(60-1)에 의해 포트(21-1) 상의 카세트(78)에 되돌려진다.
오리엔터(61)로부터 제 1 반송 유닛(28)을 경유해서 제 1 프로세스 챔버(23-1, 23-2)에 이르는 기판의 반송 경로 길이는, 2개의 제 1 로드록 챔버(26-1, 26-2)의 어느 하나를 경유해도 동일 길이가 되도록 설정되는 것이 바람직하다. 이런 경우, 2대의 대기 반송 로봇(60-1, 60-2)의 어느 쪽을 사용해도, 오리엔터(61)로부터 제 1 프로세스 챔버(23-1, 23-2)까지 기판(W)을 반송하는 시간을 거의 동일하게 할 수 있고, 프로세스 처리 전후의 반송 시간을 동일하게 하여, 프로세스 처리에 있어서의 호환성을 높일 수 있다.
도 14는 포트(21-1~21-6) 중의 1개, 예를 들면, 포트(21-1) 상의 카세트(78)로부터 제 2 프로세스 챔버(24-1)에 이르기까지의 기판(W)의 흐름을 나타낸다. 한쪽의 대기 반송 로봇(60-1)은, 포트(21-1) 상의 카세트(78)로부터 미처리의 기판(W)을 대기 반송실(25) 내에 인입한다(A→B). 다음으로, 대기 반송 로봇(60-1)은 기판(W)을 오리엔터(61)까지 반송하고, 오리엔터(61) 위에 기판(W)을 싣는다(B→C). 다음으로, 대기 반송 로봇(60-1)은 오리엔터(61)에서 위치 정렬된 기판을 취출하고, 한쪽의 제 2 로드록 챔버(29-1)에 반입한다(C→D). 여기에서, 한쪽의 대기 반송 로봇(60-1)이 오리엔터(61)까지 기판(W)을 반송한 후, 다른 쪽의 대기 반송 로봇(60-2)이 오리엔터(61)로부터 그 기판(W)을 취출하고, 다른 쪽의 제 2 로드록 챔버(29-2)에 그 기판(W)을 반입하도록 해도 좋다. 제 2 로드록 챔버(29-1)가 진공 배기되면, 제 2 트랜스퍼 챔버(30)의 제 2 진공 반송 로봇(58)이 제 2 로드록 챔버(29-1)로부터 기판(W)을 인입하고, 제 2 트랜스퍼 챔버(30)를 경유한 후, 제 2 프로세스 챔버(24-1)에 기판(W)을 넘겨준다(D→E→F).
제 2 프로세스 챔버(24-1)에서 처리된 기판(W)은, 제 2 진공 반송 로봇(58)에 의해 다른 쪽의 제 2 프로세스 챔버(24-2)에 반송되거나, 또는 제 2 로드록 챔버(29-1)에 되돌려진다(기판(W)은 2개의 제 2 프로세스 챔버(24-1, 24-2)를 거치는 경우도 있고, 하나의 챔버 만을 거치고 제 2 로드록 챔버(29-1)에 되돌려지는 경우도 있다). 제 2 로드록 챔버(29-1)로 되돌아간 기판(W)은 대기 반송 로봇(60-1)에 의해 포트(21-1) 상의 카세트(78)에 되돌려진다. 오리엔터(61)로부터 제 2 반송 유닛(31)을 경유해서 제 2 프로세스 챔버(24-1, 24-2)에 이르는 기판(W)의 반송 경로 길이는, 2개의 제 2 로드록 챔버(291, 29-2)의 어느 하나를 경유해도 실질적으로 동일 길이가 되도록 설정되는 것이 바람직하다. 이런 경우, 2대의 대기 반송 로봇(60-1, 60-2)의 어느 쪽을 사용해도, 오리엔터(61)로부터 제 2 프로세스 챔버(24-1, 24-2)까지 기판(W)을 반송하는 시간을 거의 동일하게 할 수 있고, 프로세스 처리 전후의 반송 시간을 동일하게 하여, 프로세스 처리에 있어서의 호환성을 높일 수 있다.
또한, 도 13 및 도 14에 있어서의 기판(W)의 흐름을 비교하면, 제 1 로드록 챔버(26-1 또는 26-2), 제 1 트랜스퍼 챔버(27)를 경유해서 제 1 프로세스 챔버(23-1 또는 23-2)에 기판(W)을 반송하는 제 1 반송 공정과, 제 2 로드록 챔버(29-1 또는 29-2), 제 2 트랜스퍼 챔버(30)를 경유해서 제 2 프로세스 챔버(24-1 또는 24-2)에 기판(W)을 반송하는 제 2 반송 공정은, 로더 모듈(22)로부터 각 프로세스 챔버까지의 거리는 다르지만, 동일한 교환 공정수로 실행되기 때문에, 제 1 반송 공정과 제 2 반송 공정에서의 프로세스의 호환성을 높이는 것이 가능하다.
도 15는 2개의 제 1 프로세스 챔버(23-1, 23-2) 및 2개의 제 2 프로세스 챔버(24-1, 24-2)에 더하여, 추가로 2개의 제 3 프로세스 챔버(80-1, 80-2)를 평면적으로 전개한 예의 사시도를 나타낸다. 2개의 제 3 프로세스 챔버(80-1, 80-2)는 제 1 프로세스 챔버(23-1, 23-2) 및 제 2 프로세스 챔버(24-1, 24-2)보다도 로더 모듈(22)로부터 먼 위치에 배치된다. 제 3 프로세스 챔버(80-1, 80-2)는 제 1 프로세스 챔버(23-1, 23-2) 및 제 2 프로세스 챔버(24-1, 24-2)의 어느 것에 대하여도 상하 방향으로 겹치지 않고, 평면적으로 분리된 위치에 배치된다.
2개의 제 3 프로세스 챔버(80-1, 80-2)는, 제 3 트랜스퍼 챔버(82)와 제 3 로드록 챔버(81)(도 16 참조)를 거쳐서 로더 모듈(22)에 연결된다. 여기에서, 제 3 로드록 챔버(81) 및 제 3 트랜스퍼 챔버(82)를 제 3 반송 유닛(83)이라 부른다. 제 3 반송 유닛(83)은, 제 1 반송 유닛(28) 및 제 2 반송 유닛(31)에 대하여 독립해서 기판(W)을 제 3 프로세스 챔버(80-1, 80-2)에 반송한다. 제 3 반송 유닛(83)은 로더 모듈(22)의 길이 방향(Y 방향)과 직교하는 방향(X 방향)으로 연장한다. 제 3 반송 유닛(83)은 2개의 제 1 프로세스 챔버(23-1, 23-2)의 사이 및 2개의 제 2 프로세스 챔버(24-1, 24-2)의 사이를 통과한다. 제 3 반송 유닛(83)의 깊이 방향(X 방향)의 길이는 제 2 반송 유닛(31)의 길이보다도 길다. 제 3 반송 유닛(83)은 제 2 반송 유닛(31)보다도 아래쪽에 배치된다. 로더 모듈(22)로부터 제 3 프로세스 챔버(80-1, 80-2)에 이르는 경로의 도중까지는, 제 1, 제 2 및 제 3 반송 유닛(28, 31, 83)의 적어도 일부가 겹치고, 도중에 제 2 및 제 3 반송 유닛(31, 83)만이 겹치게 되고, 최종적으로는 제 3 반송 유닛(83)만이 단독으로 존재하게 된다.
도 16에 도시하는 바와 같이, 제 3 반송 유닛(83)은 대기 반송실(25) 내의 대기 반송 로봇(60-1, 60-2)에 의해 기판(W)이 반송되는 제 3 로드록 챔버(81), 및 제 3 로드록 챔버(81)에 반송된 기판(W)을 제 3 프로세스 챔버(80-1, 80-2)에 반송하는 제 3 진공 반송 로봇(84)이 마련되는 제 3 트랜스퍼 챔버(82)를 구비한다. 제 3 로드록 챔버(81)와 제 3 트랜스퍼 챔버(82)는 게이트 밸브(85)를 거쳐서 연결된다. 제 3 로드록 챔버(81)와 대기 반송실(25)은 게이트 밸브(86)를 거쳐서 연결된다. 제 3 로드록 챔버(81)로부터 제 3 프로세스 챔버(80-1, 80-2)에의 기판의 흐름을 제 3 반송 루트라 부른다.
제 3 트랜스퍼 챔버(82)는 평면 다각형으로 형성되어, 그 1변(로더 모듈(22)과 대향하는 변)(82a)에 게이트 밸브(85)를 거쳐서 제 3 로드록 챔버(81)가 연결된다. 제 3 트랜스퍼 챔버(82)의 다른 2변(상기 변(82a)과 반대 측에서 로더 모듈(22)의 길이 방향(Y 방향)에 대하여 경사져 향하고 있는 변)(82b)에는, 2개의 제 3 프로세스 챔버(80-1, 80-2)가 연결된다. 2개의 제 3 프로세스 챔버(80-1, 80-2)는 제 3 트랜스퍼 챔버(82)에 대하여 V자형 형상을 이루도록 배치된다. 그리고, 이 V자 라인을 따라 제 3 프로세스 챔버(80-1, 80-2)에 대한 기판(W)의 반송(출납)이 실행된다. 2개의 제 3 프로세스 챔버(80-1, 80-2)의 배치 각도는, 2개의 제 2 프로세스 챔버(24-1, 24-2)의 배치 각도, 및 2개의 제 1 프로세스 챔버(23-1, 23-2)의 배치 각도와 다름없다. 제 3 트랜스퍼 챔버(82)에 배치되는 제 3 진공 반송 로봇(84)은, 제 1 및 제 2 진공 반송 로봇(56, 58)과 마찬가지로, 한 쌍의 와족식의 반송 기구를 구비한다.
제 3 로드록 챔버(81)는 로더 모듈(22)로부터 제 3 트랜스퍼 챔버(82)를 향해서 길게 연장한다. 제 3 로드록 챔버(81)에는, 기판(W)을 그 길이 방향(X 방향)으로 직선 운동하게 하는 이동 기구(90)가 마련된다. 이동 기구(90)는, 스테이지(91)와, 이 스테이지(91)를 이동시키는 브러시리스 리니어 모터(brushless linear motor)(92)를 구비한다. 스테이지(91)에는, 기판(W)을 지지하는 리프터(93a) 및 이 리프터(93a)를 승강하게 하는 리프터 드라이브(93)가 마련된다. 제 3 트랜스퍼 챔버(82)는 제 2 트랜스퍼 챔버(30)보다도 로더 모듈(22)로부터 분리된 위치에 배치되어, 대기 반송 로봇(60-1, 60-2)과 제 3 진공 반송 로봇(84)의 사이에서 직접적으로 기판(W)의 교환을 행하는 것이 곤란해진다. 이 때문에, 제 3 로드록 챔버(81)에 이동 기구(90)를 마련하고, 기판(W)의 수수가 행해지도록 한다.
제 3 로드록 모듈 및 제 3 트랜스퍼 모듈의 동작은 이하와 같다. 대기 반송 로봇(60-1 또는 60-2)이 기판(W)을 제 3 로드록 챔버(81)의 스테이지(91) 위에 반입하면, 제 3 로드록 챔버(81)의 대기 반송실(25) 측의 게이트 밸브(86)를 닫아서, 제 3 로드록 챔버(81)는 진공 배기된다. 제 3 로드록 챔버(81)의 진공 배기를 실행하고 있는 동안에, 이동 기구(90)는 기판(W)이 실린 스테이지(91)를 제 3 트랜스퍼 챔버(82)를 향해서 이동시킨다. 진공 배기와 스테이지(91)의 이동을 동시에 실행하는 것으로, 스테이지(91)의 이동에 필요한 시간은 스루풋의 저하를 초래할 일은 없다. 제 3 로드록 챔버(81)가 진공 상태로 되면, 제 3 트랜스퍼 챔버(82) 측의 게이트 밸브(85)를 열어서, 제 3 로드록 챔버(81)와 제 3 트랜스퍼 챔버(82)가 연통한다. 제 3 진공 반송 로봇(84)은, 이동 기구(90)에 의해 이송된 스테이지(91) 상의 기판을 수취하고, 제 3 프로세스 챔버(80-1 또는 80-2)에 넘겨진다.
도 17은 기판 처리 시스템의 빈 스페이스를 이용하여, 소형의 프로세스 챔버(94) 및 스토리지(95)를 부착한 예를 나타낸다. 이 예에서는, V자형 형상으로 배치된 한 쌍의 제 1 프로세스 챔버(23-1(도시 생략), 23-2) 사이의 빈 스페이스를 이용하여, 제 1 트랜스퍼 챔버(27)에 소형의 프로세스 챔버(94)를 연결한다. 또한, V자형 형상으로 배치된 한 쌍의 제 2 프로세스 챔버(24-1(도시 생략), 24-2) 사이의 빈 스페이스를 이용하여, 제 2 트랜스퍼 챔버(30)에 소형의 프로세스 챔버(94)를 연결한다. 스토리지(95)는 대기 반송실(25)의 길이 방향(Y 방향)에 대하여 예각(의 방향)으로 배치된 제 1 프로세스 챔버(23-1, 23-2)와 대기 반송실(25)의 사이의 빈 스페이스를 이용하여, 대기 반송실(25)에 연결된다. 소형의 프로세스 챔버(94)는, 예를 들면, 플라즈마 처리후의 기판을 어닐링하고, 안정화시키기 위한 후처리 챔버나, 감압 하에서 기판(W)을 승온하고, 탈가스 처리를 실행하기 위한 데포 가스 챔버이다. 스토리지(95)는 예를 들면, 더미(dummy) 기판이 수납되는 더미 스토리지나 기판(W)에 잔류하는 가스를 퍼지(purge)하는 퍼지 스토리지이다. 더미 기판은 처리가 안정할 때까지 제 1 및 제 2 프로세스 챔버(23-1, 23-2, 24-1, 24-2)에 보내진다. 또한, 기판 이면을 세정하는 모듈을 대기 반송실(25)에 연결되도록 마련할 수도 있다. 소형의 프로세스 챔버(94) 및 스토리지(95)는 풋 프린트를 변경하는 일없이 마련할 수 있다.
도 17의 실시예에 있어서, 반도체 디바이스에 있어서의 다층 배선 구조의 배선 형성 공정을 예로 들면, 예컨대, 제 1 프로세스 챔버(23-1)를 배리어막 스퍼터링 장치, 또 한쪽의 제 1 프로세스 챔버(23-2)를 Cu(동)의 스퍼터링 장치, 소형의 프로세스 챔버(94)를 어닐링 장치로 할 수 있다. 반도체 웨이퍼 위에 다층 배선 구조를 형성하는 공정에 있어서, 층간 절연막에 배선 홈을 형성한 웨이퍼는, 우선 소형의 프로세스 챔버(94)에 보내져 PVD에 의한 프리큐어(precure)가 실행된다. 이어서, 웨이퍼는 제 1 프로세스 챔버(23-1)에 보내져, 그 층간 절연막 상에 TiN 등의 배리어막이 스퍼터링 장치에 의해 형성된다. 웨이퍼는 제 1 프로세스 챔버(23-1)로부터 제 1 프로세스 챔버(23-2)에 보내져, 배리어막 위에 Cu(동)가 스퍼터링 장치에 의해 성막된다. 이들 일련의 공정은, 제 1 트랜스퍼 챔버(27)를 거쳐서 감압 환경에서 연속해서 실행된다.
도 18 및 도 19는 종래의 클러스터형의 기판 반송 장치(도면 내의 (a))와 본 발명의 제 1 실시 형태의 기판 처리 시스템(도면 내의 (b))의 풋 프린트를 비교한 평면도이다. 도 18은 프로세스 챔버의 수가 4개인 경우이며, 도 19는 프로세스 챔버의 수가 6개인 경우이다. 도 18 및 도 19에 있어서, 프로세스 챔버의 크기는 450 mm의 웨이퍼를 처리할 수 있는 크기로 설정되어 있다. 도 18에 도시하는 바와 같이, 프로세스 챔버의 수가 4개인 경우, 본 실시형태와 마찬가지로 구성함으로써, 폭 치수가 A→A'로 저감하고, 깊이 치수가 B→B'로 저감했다. 전체의 면적은 31.1m2로부터 26.5m2에 저감했다. 도 19에 도시하는 바와 같이, 프로세스 챔버의 수가 6개인 경우, 깊이 치수가 B→B'로 증가했지만, 폭 치수가 A→A'로 대폭 저감했다. 전체의 면적은 38.6m2로부터 36.6m2로 저감했다.
도 20에 도시하는 바와 같이, 본 실시형태에 있어서는, 풋 프린트가 저감할 뿐만 아니라, 제 1 및 제 2 프로세스 챔버(23-1, 23-2, 24-1, 24-2)의 주위의 어두운 부분에 빈 영역이 존재한다. 이 빈 영역을 이용함으로써, 제 1 및 제 2 프로세스 챔버(23-1, 23-2, 24-1, 24-2)의 주위에 점검 스페이스를 확보할 수 있다. 또한, 이 빈 영역을 이용함으로써, 제 1 및 제 2 프로세스 챔버(23-1, 23-2, 24-1, 24-2)의 형상을, 도 21(a)에 나타내는 형상으로부터 도 21(b)에 나타내는 형상으로 설계 변경할 수 있어, 제 1 및 제 2 프로세스 챔버(23-1, 23-2, 24-1, 24-2)의 설계의 자유도를 올릴 수 있다.
도 22 ~ 도 23b는 종래의 클러스터형의 기판 처리 시스템과 본 발명의 제 1 실시 형태의 기판 처리 시스템과로 스루풋을 비교한 타임 차트이다. 도 22는 종래의 클러스터형의 기판 처리 시스템의 타임 차트를 나타낸다. 도 22에 도시하는 바와 같이, 중앙의 하나의 트랜스퍼 챔버에 4개의 프로세스 챔버가 연결된다고 가정하고 있다. 트랜스퍼 챔버의 진공 반송이 아암을 신축시켜서 기판(W)의 수수를 행하는데 7초가 걸리고, 아암을 선회시켜서 다음 위치에 이동시키는데도 2초가 걸린다고 가정하고 있다. 게이트의 개폐는 그들에 비해서 단시간에 실행되기 때문에 생략한다.
트랜스퍼 챔버의 진공 반송 로봇이 프로세스 챔버(PM1)(이하, 단지 PM1 등이라 한다)에 미처리의 기판(W)을 넘겨주는 것에서 부터 스루풋을 생각한다. 우선, 진공 반송 로봇이 PM1에 액세스하고, 기판(W)을 넘겨주고 본래의 위치로 되돌아오는데도 7초가 걸린다. 기판(W)을 받은 PM1에서는, 프로세스를 시작한다. 다음으로, 진공 반송 로봇은 PM2에 미처리의 기판(W)을 넘겨주는 동작을 시작한다. 진공 반송 로봇은, 미처리의 기판(W)을 수취하기 위해서, 로드록 챔버를 향해서 선회하고(2초), 로드록 챔버에 액세스하고, 기판(W)을 수취하고(7초), PM2를 향해서 선회한다(2초). 그리고, 진공 반송 로봇은, PM2에 액세스하고, 미처리의 기판(W)을 넘겨준다(7초). 미처리의 기판(W)을 받은 PM2는 프로세스를 시작한다. 마찬가지로, 진공 반송 로봇은 PM3 및 PM4에 미처리의 기판(W)을 넘겨준다. PM4에 미처리의 기판(W)을 넘겨주면, 진공 반송 로봇은 로드록 챔버의 방향을 향하고(2초), 로드록 챔버에 액세스하고, 로드록 챔버로부터 미처리의 기판(W)을 수취하고(7초), PM1을 향해서 선회한다(2초). 이 단계에서, 진공 반송 로봇이 4개의 프로세스 챔버에 미처리의 기판(W)을 넘겨주는 1 사이클이 종료한다. 굵은 선의 화살표는 1 사이클이 끝난 타이밍을 나타낸다. 이 예에서는, 1 사이클이 끝날 때까지 72초가 걸린다. 이후, 진공 반송 로봇은 PM1에 액세스하고, PM1로부터 처리 완료의 기판(W)을 수취하고, PM1에 미처리의 기판(W)을 넘겨준다.
타원의 부분은 PM1의 대기 시간을 나타낸다. PM1의 처리 시간이 65초미만이면, PM1은 프로세스를 종료하고 있어도, 진공 반송 로봇에 의해 새로운 기판(W)을 받을 때까지 기다리고 있어야 한다. PM1의 처리 시간이 짧으면 짧을 만큼 대기 시간이 늘어난다.
도 23a 및 23b는 본 발명의 제 1 실시 형태의 기판 처리 시스템의 타임 차트를 나타낸다. 본 발명의 제 1 실시 형태의 기판 처리 시스템에서는, 진공 반송 로봇이 2대 마련되고, 각 진공 반송 로봇이 2개의 프로세스 챔버를 담당하고 있다. 스루풋의 계산에 있어서, 게이트의 개폐 시간, 진공 반송 로봇의 아암의 신축 시간, 아암의 선회 시간을 종래의 기판 처리 시스템과 맞추고 있다.
우선, 제 1 진공 반송 로봇이 PM1에 액세스하고, 기판을 넘겨주는데 7초가 걸린다. 기판을 받은 PM1에서는, 프로세스를 시작한다. 다음으로, 제 1 진공 반송 로봇은 PM2에 미처리의 기판(W)을 넘겨주기 위해서, 로드록 챔버를 향해서 선회하고(2초), 로드록 챔버에 액세스하고, 기판(W)을 수취하고(7초), PM2를 향해서 선회한다(2초). 그리고, 진공 반송 로봇은, PM2에 액세스하고, 미처리의 기판(W)을 넘겨준다(7초). PM2에 미처리의 기판(W)을 넘겨주면, 진공 반송 로봇은 로드록 챔버의 방향을 향하고(2초), 로드록 챔버에 액세스하고, 로드록 챔버로부터 미처리의 기판(W)을 수취하고(7초), PM1을 향해서 선회한다(2초). 제 1 진공 반송 로봇은 2개의 프로세스 챔버밖에 담당하지 않으므로, 이것으로 1 사이클이 종료한다. 1 사이클은 36초이므로, 프로세스 처리 시간이 29초를 상회하는 프로세스에서는 PM1에서의 대기 시간은 발생하지 않고, 프로세스 처리 시간이 29초를 하회하는 단시간 프로세스를 실행할 경우에는, 도 22에 나타내는 종래의 클러스터형의 기판 처리 시스템에 비해서 스루풋이 2배로 된다.
제 2 진공 반송 로봇이 PM3 및 PM4에 액세스할 때도 마찬가지로 1 사이클이 36초로 되고, 프로세스 처리 시간이 29초를 하회하는 단시간 프로세스를 실행할 경우에는, 스루풋이 배로 된다. 진공 반송 로봇은 2대 마련되어 있으므로, 상기와 같이 단시간 동안 프로세스를 실행할 경우에는 총 4배의 스루풋으로 되는 것을 알 수 있다.
[실시 형태2]
도 24는 본 발명의 제 2 실시 형태에 있어서의 기판 처리 시스템을 나타낸다. 이 실시 형태에서는, 제 2 트랜스퍼 챔버(30)는, 제 2 로드록 챔버(29-1, 29-2)를 거쳐서 로더 모듈(22)에 연결되는 대신에, 연결 챔버(96)를 거쳐서 제 1 트랜스퍼 챔버(27)에 연결된다. 제 1 트랜스퍼 챔버(27)와 제 2 트랜스퍼 챔버(30)는 높이가 다르므로, 연결 챔버(96)에는 기판(W)을 승강하게 하기 위한 승강 기구(엘리베이터)가 마련된다.
로더 모듈(22), 제 1 로드록 챔버(26-1, 26-2), 제 1 트랜스퍼 챔버(27), 제 1 프로세스 챔버(23-1, 23-2), 및 제 2 프로세스 챔버(24-1, 24-2)의 구성은, 제 1 실시 형태의 기판 처리 시스템과 동일하므로, 동일한 부호를 부여해서 그 설명을 생략한다.
제 2 실시 형태에 있어서의 기판 처리 시스템에 따르면, 제 1 트랜스퍼 챔버(27)와 제 2 트랜스퍼 챔버(30)를, 연결 챔버(96)를 거쳐서 연결하므로, 종래의 평면적으로 전개한 멀티 챔버식의 기판 처리 시스템에 비해서, 풋 프린트가 저감된다. 또한, 제 1 프로세스 챔버(23-1, 23-2)와 제 2 프로세스 챔버(24-1, 24-2)의 사이에서 기판을 이동하게 하는 것(진공 중에서의 연속적인 처리)이 가능하게 된다. 또한, 연결 챔버(96)는 제 2 로드록 챔버에 연결하도록 마련되어 있어도 좋고, 이런 경우, 반송의 자유도가 향상된다고 하는 효과를 기대할 수 있다. 연결 챔버(96)와 제 1 트랜스퍼 챔버(27)의 사이, 제 2 트랜스퍼 챔버(30)와의 사이, 또는 제 2 로드록 챔버와의 사이에는, 각각 게이트 밸브를 구비해도 좋다. 그 경우, 각 챔버간의 독립성이 담보되어, 유지 보수의 자유도가 향상된다.
제 2 실시 형태에 있어서, 반도체 디바이스의 FEOL(Front-End-Of-Line) 공정에 있어서의 콘택트 형성을 예로 들면, 예컨대, 제 1 프로세스 챔버(23-1)를 Ti 성막하기 위한 플라즈마 CVD 장치, 다른 한쪽의 제 1 프로세스 챔버(23-2)를 TiN 성막 하기 위한 열 CVD 장치로 하고, 제 1 트랜스퍼 챔버(27)에 연결 챔버(96)를 거쳐서 연결된 제 2 트랜스퍼 챔버(30)에 접속되는 제 2 프로세스 챔버(24-1, 24-2)를, 예를 들면, W 플러그(plug)를 형성하기 위해서 열 CVD 장치로 한다. 이들을 이용하여 소스· 드레인 위에 형성된 홀에 콘택트 플러그를 형성한다. 우선, 콘택트 홀이 형성된 웨이퍼는 제 1 프로세스 챔버(23-1)로 보내져, 플라즈마 CVD에 의해 홀 내를 덮도록 Ti 층이 성막된다. 다음으로, 제 1 프로세스 챔버(23-1)로부터 제 1 프로세스 챔버(23-2)에 보내져, Ti 층 위에 열 CVD에 의해 Ti 층이 성막된다. 다음에, 웨이퍼는, 연결 챔버(96)를 거쳐서 제 2 트랜스퍼 챔버(30)로 보내지고, 제 2 프로세스 챔버(24-1 또는 24-2)에 반송되어서, 열 CVD 장치에 의해 W 플러그가 형성된다. 이들 일련의 공정은 연결 챔버(96)를 거치는 것으로, 진공 환경에서 연속해서 실행될 수 있다. 연결 챔버(96)를 거치지 않고, Ti/TiN의 성막 공정과 W 플러그 형성 공정을 독립해서 병행 실행하는 것도 가능하다. 각 반송 유닛이나 연결 챔버(96)를 거치고, 웨이퍼를 프로세스 챔버 사이에서 이동하게 하는 진공 연속 처리 방법이나, 단독 처리를 병행 실행하는 처리 방법은, 기판 반송 처리 시스템의 소프트웨어에 의해, 처리 내용에 따라 적절히 선택가능하다.
상기 제 1 및 제 2 실시 형태에 있어서는, 본 발명을 제 1 및 제 2 프로세스 챔버 및 추가로 제 3 프로세스 챔버를 구비한 기판 처리 시스템으로서 구성한 예에 대해서 설명했다. 그러나, 본 발명은, 제 1 및 제 2 또는 제 3 프로세스 챔버를 구비한 기판 처리 시스템에 한정되지 않고, 제 1 및 제 2 프로세스 챔버에 기판(W)을 반송하는 반송 모듈로서도 구성할 수 있다. 반송 모듈의 실시 형태는, 상기 기판 처리 시스템의 실시 형태로부터 제 1 및 제 2 또는 제 3 프로세스 챔버를 분리한 것과 동일하다.
도 25는 제 2 실시 형태에 있어서의 기판 처리 시스템에 옵션으로서 검사 장치(97) 및 더미 스토리지(98)를 부가한 예를 나타낸다. 도 4에 도시하는 바와 같이, 제 2 트랜스퍼 챔버(30)의, 2개의 제 2 프로세스 챔버(24-1, 24-2)가 연결되는 2변(30b)(로더 모듈(22)과는 반대 측에서 로더 모듈(22)의 길이 방향(Y 방향)에 대하여 경사져 향하고 있는 변)의 사이의 1변(30c)에 대응하는 부분에는, 스페이스가 있다. 이 스페이스를 이용하여, 제 2 트랜스퍼 챔버(30)의 1변(30c)에 로드록 챔버(101)를 거쳐서 대기 반송실(99)이 연결되어 있다. 대기 반송실(99)에는, 로드록 챔버(101)로부터 기판(W)을 수취하고, 검사 장치(97)나 더미 스토리지(98)에 기판(W)을 반송하는 대기 반송 로봇(100)이 마련된다. 대기 반송 로봇(100)을 대신하여 진공 반송 로봇을 이용하여, 진공 중에 기판을 검사 장치(97)에 반송하거나, 진공 중의 더미 스토리지(98)로부터 기판을 취출하도록 해도 좋다.
통상의 기판 처리 시스템에서는, 검사 장치(97)는 로더 모듈(22)에 연결된다. 이 예와 마찬가지로, 검사 장치(97)를 제 2 트랜스퍼 챔버(30)에 연결함으로써, 인라인적인 검사, 즉 제 2 프로세스 챔버(24-1, 24-2)에서 처리가 종료된 직후의 기판(W)을 검사하여, 검사한 결과의 기판(W)을 또 한번 제 2 프로세스 챔버(24-1, 24-2)로 되돌아가서 재차 처리하는 것이 가능하게 된다. 이 검사 장치(97) 및 더미 스토리지(98)는 제 1 실시 형태에 있어서의 기판 처리 시스템의 제 2 트랜스퍼 챔버(30)에 연결되어도 좋다. 또한, 검사 장치(97) 및 더미 스토리지(98)는 제 1 실시 형태에 있어서의 기판 처리 시스템의 제 1 트랜스퍼 챔버(27) 및 제 2 트랜스퍼 챔버(30)의 각각에 연결되어도 좋다. 또한, 대기 반송실(99)의 측면에 처리 완료의 기판을 수납하는 카세트를 탑재하기 위한 포트를 구비하고 있어도 좋다. 이런 경우, 처리되는 기판(W)의 입구와 출구가 다른 인라인형의 반송 처리 시스템 장치를 구성할 수 있고, 기판(W)의 반송 루트 및 장치 레이아웃의 폭이 넓어진다.
[실시 형태 3]
도 26은 본 발명의 제 3 실시 형태에 있어서의 기판 처리 시스템의 평면도를 나타낸다. 이 실시 형태에서는, 제 1 반송 유닛(28)의 제 1 로드록 챔버(26-1, 26-2) 및 제 1 트랜스퍼 챔버(27)가 로더 모듈(22)에 상하 방향으로 겹쳐 있지 않는 점이, 상기 제 1 실시 형태에 있어서의 기판 처리 시스템과 다르다. 제 1 및 제 2 반송 유닛(28, 31)의 기본 구성, 예를 들면, 제 1 반송 유닛(28)이 제 1 로드록 챔버(26-1, 26-2) 및 제 1 트랜스퍼 챔버(27)를 구비하는 점, 제 2 반송 유닛(31)이 제 2 로드록 챔버(29-1, 29-2) 및 제 2 트랜스퍼 챔버(30)를 구비하는 점, 및 제 1 반송 유닛(28)의 일부와 제 2 반송 유닛(31)의 일부가 상하 방향으로 겹쳐 있는 점은, 상기 제 1 실시 형태에 있어서의 기판 처리 시스템과 동일하므로, 동일한 부호를 부여해서 그 설명을 생략한다.
이 실시 형태에 있어서는, 제 1 로드록 챔버(26-1, 26-2) 및 제 1 트랜스퍼 챔버(27)가 로더 모듈(22)에 상하 방향으로 겹쳐 있지 않으므로, 제 1 트랜스퍼 챔버(27)는 로더 모듈(22)로부터 분리된 위치에 배치된다. 제 2 트랜스퍼 챔버(30)는 로더 모듈(22)로부터 분리된 위치에 배치된다. 이 때문에, 제 2 반송 유닛(31)의 제 2 로드록 챔버(29-1, 29-2)에는, 도 16에 나타내는 제 3 로드록 챔버(81)와 마찬가지로, 기판(W)을 한 방향으로 이동시키는 스테이지가 마련된다.
이 제 3 실시 형태에 있어서의 기판 처리 시스템에 따르면, 풋 프린트는 종래의 클러스터형의 기판 처리 시스템과 거의 동일하지만, 제 1 실시 형태에 있어서의 기판 처리 시스템과 마찬가지로 스루풋을 개선할 수 있다. 종래의 클러스터형의 기판 처리 시스템에 비해서 4배의 스루풋을 얻을 수 있다.
[실시 형태 4]
도 27은 본 발명의 제 4 실시 형태에 있어서의 기판 처리 시스템을 나타낸다. 이 예의 기판 처리 시스템은, 제 1 실시 형태에 있어서의 기판 처리 시스템의 상측 계층의 반송 유닛(28)(도 5의 (a) 참조)만을 구비하고, 하측의 계층의 반송 유닛(31)(도 5의 (b) 참조)을 구비하지 않고 있는 점에서 제 1 실시 형태에 있어서의 기판 처리 시스템과 다르다. 반송 유닛(28)의 구성은, 제 1 실시 형태의 기판 처리 시스템의 상측의 계층의 반송 유닛(28)과 동일하다. 즉, 반송 유닛(28)은 로드록 챔버(26-1, 26-2) 및 트랜스퍼 챔버(27)를 구비한다. 로드록 챔버(26-1, 26-2)의 적어도 일부, 및 트랜스퍼 챔버(27)의 일부는 로더 모듈(22)과 상하 방향으로 겹쳐 있다.
제 4 실시 형태에 있어서의 기판 처리 시스템에 따르면, 스루풋은 종래의 클러스터형의 기판 처리 시스템과 전혀 변하지 않지만, 로드록 챔버(26-1, 26-2)의 적어도 일부, 및 트랜스퍼 챔버(27)의 일부만큼, 풋 프린트가 감소한다.
도 28 및 도 29는 상기 제 4 실시 형태에 있어서의 기판 처리 시스템의 변형 예를 나타낸다. 이 변형 예에서는, 제 4 실시 형태에 있어서의 기판 처리 시스템과 마찬가지로, 로드록 챔버(26-1, 26-2)의 적어도 일부, 및 트랜스퍼 챔버(27)의 일부가 로더 모듈(22)에 상하 방향으로 겹쳐 있다. 트랜스퍼 챔버(27)에는, 2개의 연결 챔버(141, 142)가 직렬적으로 접속된다. 각 연결 챔버(141, 142)에는, 진공 반송 로봇(153, 154)이 마련된다. 각 연결 챔버(141, 142)에는, 적어도 하나의, 예를 들면, 2개의 프로세스 챔버(24-1, 24-2 또는 80-1, 80-2)가 연결된다. 각 연결 챔버(141, 142)에 접속되는 2개의 프로세스 챔버(24-1, 24-2 또는 80-1, 80-2)는, 도 5의 (a)에 표시되는 제 1 실시 형태에 있어서의 기판 처리 시스템과 마찬가지로, V자 형상으로 레이아웃된다. 즉, 양쪽 프로세스 챔버(24-1, 24-2)의 각각의 중심과 연결 챔버(141)의 중심을 연결하는 선에 의해 V자형 형상으로 형성되고, 또한 이 V자 라인을 따라 프로세스 챔버(24-1, 24-2)에 대한 기판(W)의 반송(출납)이 실행된다. 또한, 양쪽 프로세스 챔버(80-1, 80-2)의 각각의 중심과 연결 챔버(142)의 중심을 연결하는 선에 의해 V자형 형상이 형성되고, 또한 이 V자 라인을 따라 프로세스 챔버(80-1, 80-2)에 대한 기판(W)의 반송(출납)이 실행된다.
프로세스 챔버(23-1, 23-2)에 기판을 반송할 때는, 트랜스퍼 챔버(27)를 경유해서 기판이 반송된다. 프로세스 챔버(24-1, 24-2)에 기판(W)을 반송할 때는, 제 1 트랜스퍼 챔버(27) 및 연결 챔버(141)를 경유해서 기판(W)이 반송된다. 프로세스 챔버(80-1 또는 80-2)에 기판(W)을 반송할 때는, 제 1 트랜스퍼 챔버(27), 연결 챔버(141) 및 연결 챔버(142)를 경유해서 기판(W)이 반송된다. 제 1 트랜스퍼 챔버(27)와 연결 챔버(141)의 접속부, 및 연결 챔버(141)와 연결 챔버(142)의 접속부에는, 도시하지 않는 기판 교환 기구가 마련되고, 예를 들면, 기판(W)을 지지하는 리프터가 마련된다. 제 1 트랜스퍼 챔버(27)로부터 연결 챔버(142)에 기판을 반송할 때, 기판은 제 1 트랜스퍼 챔버(27) 내의 진공 반송 로봇(56)에 의해 접속부의 리프터 위에 일단 유지된다. 리프터 위에 유지된 기판(W)은 연결 챔버(141) 내의 진공 반송 로봇(143)에 의해, 연결 챔버(141)를 거쳐서 연결 챔버(141)에 접속되는 2개의 프로세스 챔버(24-1, 24-2) 또는 연결 챔버(142)와의 접속부에 마련된 동일한 리프터 위에 반송된다. 리프터 상의 기판(W)은, 또한 연결 챔버(142)를 거쳐서 진공 반송 로봇(144)에 의해 연결 챔버(142)에 접속되는 프로세스 챔버(80-1 또는 80-2)에 반송된다. 리프터에는 기판(W)을 승강하게 하기 위한 리프터 드라이브를 마련해도 좋고, 또한, 기판 교환 기구는 복수의 기판(W)을 수용 가능한 카세트로 하여도 좋다. 접속부에는 각 챔버를 사이에 두는 게이트 밸브를 마련해도 좋다.
로더 모듈(22)의 오목부(25a)에 트랜스퍼 챔버(27)가 접속되어 있으므로, 기판(W)의 반송 높이는 통상보다도 높다. 다른 시스템에서 이용되고 있는 프로세스 챔버를 이용할 수 있도록, 프로세스 챔버의 설계 변경은 실행하지 않고, 받침대(155) 위에 설치하는 것으로 대응한다.
제 4 실시 형태에 있어서의 기판 처리 시스템에 따르면, 로드록 챔버(26-1, 26-2)의 적어도 일부, 및 트랜스퍼 챔버(27)의 일부만큼, 풋 프린트가 감소된다.
[실시 형태 5]
도 30은 본 발명의 제 5 실시 형태에 있어서의 기판 처리 시스템의 평면도를 나타낸다. 이 실시 형태에서도, 제 1 반송 유닛(28)과 제 2 반송 유닛(31)을 구비한다. 제 1 트랜스퍼 챔버(27)에는 적어도 하나의 제 1 프로세스 챔버(23-1, 23-2)가 연결되고, 제 2 트랜스퍼 챔버(30)에는 적어도 하나의 제 2 프로세스 챔버(24-1, 24-2)가 연결된다. 제 1 반송 유닛(28)의 제 1 트랜스퍼 챔버(27)와 제 2 반송 유닛(31)의 제 2 로드록 챔버(29-1)는 상하 방향으로 겹쳐 있다.
이 예에서는, 로더 모듈 위에 2개, 로더 모듈의 측면에 2개, 합계 4개의 제 1 로드록 챔버(26-1, 26-2, 150-1, 150-2)가 마련되어 있다. 로더 모듈(22) 위의 제 1 로드록 챔버(26-1, 26-2)는 로더 모듈(22)과 상하 방향으로 겹쳐 있고, 로더 모듈(22)의 측면의 제 1 로드록 챔버(150-1, 150-2)는 로더 모듈(22)과 상하 방향으로 겹쳐 있지 않다. 측면의 2개의 제 1 로드록 챔버(150-1, 150-2)는, 예를 들면, 퍼지 스토리지의 기능을 추가로 가져도 좋다. 퍼지 스토리지는, 복수의 기판(W)을 유지하고, 기판 상의 잔류 가스를 제거할 수 있도록 구성되어 있다.
제 5 실시 형태에 있어서의 기판 처리 시스템에 따르면, 제 1 로드록 챔버(26-1, 26-2) 및 트랜스퍼 챔버(27)가 로더 모듈(22)에 상하 방향으로 겹치고, 제 1 반송 유닛(28) 및 제 2 반송 유닛(31)도 서로 겹쳐 있으므로, 풋 프린트를 저감할 수 있다.
또, 로더 모듈(22)의 대기 반송 로봇이 카세트마다 로드록 챔버(150-1, 150-2)에 반송하도록 해도 좋다. 그리고, 제 1 트랜스퍼 챔버(27)에 마련된 진공 반송 로봇(56)이 로드록 챔버(150-1, 150-2) 내의 카세트로부터 1장씩 기판(W)을 취출하고, 프로세스 챔버(23-1, 23-2)에 반송하도록 해도 좋다.
[실시 형태 6]
도 31 및 도 32는 본 발명의 제 6 실시 형태에 있어서의 기판 처리 시스템을 나타낸다. 도 31은 기판 처리 시스템의 평면도를 나타내고, 도 32는 수직 방향의 단면도를 나타낸다. 이 실시 형태의 기판 처리 시스템에서는, 2 계통의 진공 반송 유닛을 상하 방향으로 겹친 것은 아니고, 2 계통의 대기 반송 유닛을 상하 방향으로 겹치고 있다. 로더 모듈(102)에는, 복수의 카세트를 가로 일렬로 배치 가능한 복수의 포트(103)가 마련된다. 대기 반송실(104)은 복수의 포트(103)의 배열 방향(Y 방향)으로 길게 연장된다. 대기 반송실(104)에는, 포트(103)에 세트된 카세트 내의 기판(W)을 취출하는 대기 반송 로봇(106)이 마련된다. 대기 반송 로봇(106)은 Z축을 구비한다. 대기 반송 로봇(106)은 대기 반송실(25)의 중심으로부터 길이 방향(Y 방향)의 양측에 2대 마련된다.
로더 모듈(102)에는, 로더 모듈(102)로부터, 처리를 실행하기 위한 적어도 하나, 예를 들면, 2개의 제 1 프로세스 챔버 유닛(112)에 이르는 제 1 대기 반송 유닛(107)이 연결된다. 제 1 대기 반송 유닛(107)은, 로더 모듈(102)의 길이 방향(Y 방향)에 대하여 직교하는 방향(X 방향)으로 연장한다. 제 1 대기 반송 유닛(107)에는, 로더 모듈(102) 내의 대기 반송 로봇(106)에 의해 반송되는 기판(W)을 제 1 프로세스 챔버 유닛(112)에 반송하는 제 1 대기 반송 로봇(121)이 마련된다. 제 1 대기 반송 유닛(107) 및 로더 모듈(102)은 모두 기판(W)을 대기 반송하기 때문에, 이들의 연결부에는 게이트 밸브가 마련되지 않는다. 로더 모듈(102)의 길이 방향(Y 방향)의 중앙부에는 기판의 노치 등을 인식해서 기판의 얼라인먼트(위치 결정)를 실행하는 오리엔터(105)가 마련된다.
제 1 대기 반송 유닛(107)에는, 제 1 프로세스 챔버 유닛(112)이 연결된다. 각 제 1 프로세스 챔버 유닛(112)은, 제 1 대기 반송 로봇(121)에 의해 기판(W)이 반송되는 제 1 로드록 챔버(110), 및 기판(W)에 처리를 실행하기 위한 제 1 프로세스 챔버(111)를 구비한다. 제 1 로드록 챔버(110)는, 진공 배기와 대기압 복귀가 반복해 실행되는 작은 방으로 이루어진다. 제 1 로드록 챔버(110)와 제 1 대기 반송 유닛(107)은 게이트 밸브(123)를 거쳐서 연결된다. 제 1 로드록 챔버(110)에는, 제 1 진공 반송 로봇(124)이 마련된다.
제 1 로드록 챔버(110)에는, 게이트 밸브(125)를 거쳐서 제 1 프로세스 챔버(111)가 연결된다. 제 1 프로세스 챔버(111)는, 성막, 에칭, 애싱, 산화, 질화, 도핑, 확산 등의 군으로부터 선택된 적어도 하나의 프로세스, 또는 이들의 군으로부터 선택되어서 세트를 이루는 복수의 프로세스를 실행하도록 구성된다. 제 1 로드록 챔버(110)의 중심과 제 1 프로세스 챔버(111)의 중심을 연결한 선은 로더 모듈(102)의 길이 방향(Y 방향)에 대하여 예각으로 교차한다. 2개의 제 1 프로세스 챔버 유닛(112)은 V자형 형상을 이루도록 제 1 대기 반송 유닛(107)에 연결된다. 그리고, 이 V자 라인을 따라 제 1 프로세스 챔버(111)에 대한 기판의 반송(출납)이 실행된다.
제 1 대기 반송 로봇(121) 및 제 1 진공 반송 로봇(124)의 동작은 이하와 같다. 로더 모듈(102)의 대기 반송 로봇(106)이 미처리의 기판을 포트(103) 위의 카세트(109)로부터 취출해 오리엔터(105)에 싣는다. 오리엔터에서 얼라인먼트된 기판은, 다시 대기 반송 로봇(106)에 의해 제 1 대기 반송 유닛(107)의 로더 모듈(102)에 가까운 부분에 마련된 도시하지 않는 제 1 기판 교환 기구에 반송된다. 제 1 대기 반송 로봇(121)이 제 1 기판 교환 기구로부터 기판을 수취하고, 제 1 로드록 챔버(110)에 반송한다. 그 후, 제 1 로드록 챔버(110)의 제 1 대기 반송 유닛(107) 측의 게이트 밸브(123)를 닫고, 제 1 로드록 챔버(110)를 진공 배기한다. 제 1 로드록 챔버(110)가 진공 상태로 되면, 제 1 프로세스 챔버(111) 측의 게이트 밸브(125)를 열고, 제 1 로드록 챔버(110)와 제 1 프로세스 챔버(111)를 연통시킨다. 제 1 진공 반송 로봇(124)은 제 1 로드록 챔버(110)로부터 미처리의 기판을 취출해서 제 1 프로세스 챔버(111)에 반입한다.
제 1 프로세스 챔버(111)에서 소정의 처리가 끝나면, 제 1 진공 반송 로봇(124)은, 제 1 프로세스 챔버(111)로부터 처리 완료의 기판(W)을 취출하고, 취출한 기판(W)을 제 1 로드록 챔버(110)에 반입한다. 그 후에, 제 1 프로세스 챔버(111) 측의 게이트 밸브(125)를 닫으면, 제 1 로드록 챔버(124)가 대기압으로 복귀되어, 제 1 대기 반송 유닛(107)측의 게이트 밸브(123)를 열 수 있다. 제 1 대기 반송 로봇(121)은 처리 완료의 기판을 제 1 로드록 챔버(110)로부터 취출하고, 제 1 기판 교환 기구를 거쳐서 대기 반송 로봇(106)에 넘겨준다. 대기 반송 로봇(106)은 그 처리 완료의 기판(W)을 포트(103) 위의 카세트(109)로 되돌린다.
제 1 대기 반송 유닛(107)의 아래쪽에는, 로더 모듈(102)로부터, 처리를 실행하기 위한 적어도 하나, 예를 들면, 2개의 제 2 프로세스 챔버 유닛(115)에 이르는 제 2 대기 반송 유닛(108)이 마련된다. 제 2 대기 반송 유닛(108)은 로더 모듈(102)에 연결되어, 로더 모듈(102)의 길이 방향(Y 방향)에 대하여 직교하는 방향(X 방향)으로 연장된다. 제 1 대기 반송 유닛(107)과 제 2 대기 반송 유닛(108)은 상하 방향으로 일부가 겹친다. 제 2 대기 반송 유닛(108)의 길이는 제 1 대기 반송 유닛(107)의 길이보다도 길다. 제 2 대기 반송 유닛(108)에는, 대기 반송 로봇(106)로부터 수취한 기판(W)을 제 2 프로세스 챔버 유닛(115)에 반송하고, 제 2 프로세스 챔버 유닛(115)로부터 반출한 기판(W)을 대기 반송 로봇(106)에 수수하는 제 2 대기 반송 로봇(131)이 마련된다. 제 2 대기 반송 유닛(108) 및 로더 모듈(102)은 모두 기판(W)을 대기 반송하기 때문에, 이들의 연결부에는 게이트 밸브가 마련되지 않는다.
제 2 대기 반송 유닛(108)에는, 제 2 프로세스 챔버 유닛(115)이 연결된다. 제 2 프로세스 챔버 유닛(115)은 제 2 대기 반송 로봇(131)으로 부터 기판이 반송되는 제 2 로드록 챔버(113), 및 기판(W)에 처리를 실시하기 위한 제 2 프로세스 챔버(114)를 구비한다. 제 2 로드록 챔버(113)는 진공 배기와 대기압 복귀가 반복해 실행되는 작은 방으로 이루어진다. 제 2 로드록 챔버(113)와 제 2 대기 반송 유닛(108)은 게이트 밸브(132)를 거쳐서 연결된다. 제 2 로드록 챔버(113)에는 제 2 진공 반송 로봇(134)이 마련된다.
제 2 로드록 챔버(113)에는, 게이트 밸브(133)를 거쳐서 제 2 프로세스 챔버(114)가 연결된다. 제 2 프로세스 챔버(114)는, 성막, 에칭, 애싱, 산화, 질화, 도핑, 확산 등의 군으로부터 선택된 적어도 하나의 프로세스, 또는 이들의 군으로부터 선택되어서 세트를 이루는 복수의 프로세스를 실행하도록 구성된다. 제 2 로드록 챔버(113)의 중심과 제 2 프로세스 챔버(114)의 중심을 연결한 선은, 로더 모듈(102)의 길이 방향(Y 방향)에 대하여 예각으로 교차한다. 2개의 제 2 프로세스 챔버 유닛(115)은 V자형 형상을 이루도록 제 2 대기 반송 유닛에 연결된다. 그리고, 이 V자 라인을 따라 제 2 프로세스 챔버(114)에 대한 기판의 반송(출납)이 실행된다.
제 1 프로세스 챔버 유닛(112)과 제 2 프로세스 챔버 유닛(115)은, 상하 방향으로 겹치지 않고, 평면적으로 보았을 때에 분리된 위치에 배치된다. 도 32에 도시하는 바와 같이, 제 1 프로세스 챔버(111)는 제 2 프로세스 챔버(114)보다도 높은 위치에 있고, 제 1 프로세스 챔버(111)는 받침대(128) 위에 실린다.
제 2 대기 반송 로봇(131) 및 제 2 진공 반송 로봇(134)의 동작은 이하와 같다. 대기 반송 로봇(106)이 미처리의 기판을 도시하지 않는 제 2 기판 교환 기구를 거쳐서 제 2 대기 반송 유닛(108) 내에 마련된 도시하지 않는 제 2 기판 교환 기구에 반송하면, 제 2 대기 반송 로봇(131)이 기판을 수취하고, 제 2 로드록 챔버(113)에 반송한다. 그 후, 제 2 로드록 챔버(113)의 제 2 대기 반송 유닛(108) 측의 게이트 밸브(132)를 닫고, 제 2 로드록 챔버(113)를 진공 배기한다. 제 2 로드록 챔버(113)가 진공 상태로 되면, 제 2 프로세스 챔버(114) 측의 게이트 밸브(133)를 열어서, 제 2 로드록 챔버(113)와 제 2 프로세스 챔버(114)를 연통시킨다. 제 2 진공 반송 로봇(134)은, 제 2 로드록 챔버(113)로부터 기판을 취출해서 제 2 프로세스 챔버(114)에 반송한다.
제 2 프로세스 챔버(114)에서 소정의 처리가 끝나면, 제 2 진공 반송 로봇(134)은, 제 2 프로세스 챔버(114)로부터 처리 완료의 기판(W)을 취출하고, 취출한 기판(W)을 제 2 로드록 챔버(113)에 반입한다. 그 후에, 제 2 프로세스 챔버(114) 측의 게이트 밸브(133)를 닫으면, 제 2 로드록 챔버(113)가 대기압으로 복귀되어, 제 2 대기 반송 유닛(108) 측의 게이트 밸브(132)를 열 수 있다. 제 2 대기 반송 로봇(131)은 제 2 로드록 챔버(113)로부터 처리 완료의 기판을 취출하고, 그 취출한 기판(W)을 제 2 기판 교환 기구를 거쳐서 대기 반송 로봇(106)에 넘겨준다. 대기 반송 로봇(106)은 그 처리 완료의 기판을 포트(103) 위의 카세트(109)로 되돌린다.
제 6 실시 형태에 있어서의 기판 처리 시스템에 따르면, 제 1 대기 반송 유닛(107) 및 제 2 대기 반송 유닛(108)을 계층 구조로 함으로써, 종래의 평면적으로 전개한 멀티 챔버식의 기판 처리 시스템에 비해, 기판 처리 시스템 전체의 풋 프린트가 저감된다. 또한, 로더 모듈에 연결되는 복수의 대기 반송 유닛(107, 108)을 설치함으로써, 스루풋(단위 시간당의 처리 매수)의 향상을 도모할 수 있다.
[실시 형태 7]
도 33은 제 7 실시 형태에 있어서의 기판 처리 시스템을 나타낸다. 이 예에서는, 제 1 프로세스 챔버 유닛(112)의 제 1 로드록 챔버(110)의 일부가 로더 모듈(102)에 상하 방향으로 겹쳐 있다. 제 1 로드록 챔버(110) 및 제 1 프로세스 챔버 유닛(112)의 구조는 제 6 실시 형태에 있어서의 기판 처리 시스템과 거의 동일하기 때문에 동일한 부호를 부여해서 그 설명을 생략한다.
대기 반송 로봇(106)은 기판을 직접 제 1 로드록 챔버(110)에 반송한다. 그 후, 제 1 로드록 챔버(110)의 제 1 대기 반송 유닛(107) 측의 게이트 밸브(123)를 닫고, 제 1 로드록 챔버(110)를 진공 배기한다. 제 1 로드록 챔버(110)가 진공 상태로 되면, 제 1 프로세스 챔버(111) 측의 게이트 밸브를 열고, 제 1 로드록 챔버(110)와 제 1 프로세스 챔버(111)를 연통시킨다. 제 1 로드록 챔버(110) 내의 제 1 진공 반송 로봇(124)은 제 1 로드록 챔버(110)로부터 미처리의 기판(W)을 취출하고, 그 취출한 미처리의 기판을 제 1 프로세스 챔버(111)에 반송한다.
로더 모듈(102)에는, 처리를 실행하기 위한 제 2 프로세스 챔버 유닛(115)에 이르는 제 2 대기 반송 유닛(108)이 연결된다. 제 2 대기 반송 유닛(108)에는, 제 2 프로세스 챔버 유닛(115)이 연결된다. 제 2 프로세스 챔버 유닛(115)은, 제 2 로드록 챔버(113) 및 제 2 프로세스 챔버(114)를 구비한다. 이들 제 2 대기 반송 유닛(108), 제 2 로드록 챔버(113) 및 제 2 프로세스 챔버(114)의 구성은 상기 제 6 실시 형태와 마찬가지이므로, 동일한 부호를 부여해서 그 설명을 생략한다.
이 실시 형태에 따르면, 제 1 프로세스 챔버 유닛(112)의 제 1 로드록 챔버(110)의 일부가 로더 모듈(102)에 상하 방향으로 겹쳐 있으므로, 제 1 프로세스 챔버(111)를 로더 모듈(102)에 접근시켜 배치할 수 있고, 그것에 의해 제 2 프로세스 챔버(114)도 로더 모듈(102)에 접근시켜 배치할 수 있다. 따라서, 상기 제 6 실시 형태에 비해, 풋 프린트를 저감할 수 있다.
또, 본 발명은 상술한 실시 형태에 한정되지 않고, 본 발명의 요지를 변경하지 않는 범위에서 여러 실시 형태로 구현화할 수 있다. 예를 들면, 기판 처리 시스템은, 반도체 디바이스의 제조 장치에 한정되지 않고, FPD (Flat Panel Display), 유기 EL(Organic Electro Luminescence), 태양 전지의 제조 장치에 적용할 수도 있다.
상기 실시 형태에서는, 로더 모듈에 제 1 로드록 챔버의 전체 및 제 1 트랜스퍼 챔버의 일부가 상하 방향으로 겹쳐 있지만, 제 1 로드록 챔버의 적어도 일부 및/또는 제 2 트랜스퍼 챔버의 적어도 일부가 로더 모듈에 상하 방향으로 겹쳐 있으면 좋다.
상기 실시 형태에서는, 로더 모듈은 바닥면 위에 배치되어 있지만, 천장면 가까이에 배치되어도 좋다. 이런 경우, 로더 모듈의 아래쪽을, 프로세스 챔버를 배치하는 스페이스로서 이용해도 좋다. 또한, 로더 모듈이 공장의 위층에 설치되고, 제 1 및 제 2 반송 유닛, 및 제 1 및 제 2 프로세스 챔버가 공장의 아래층에 배치되어도 좋다.
연결에 의한 프로세스 챔버의 수는 제한이 없고, 임의의 수의 프로세스 챔버를 로더 모듈에 연결할 수 있다.
21-1~21-6 포트 22 로더 모듈
23-1, 23-2 제 1 프로세스 챔버 24-1, 24-2 제 2 프로세스 챔버
25 대기 반송실 26-1, 26-2 제 1 로드록 챔버
27 제 1 트랜스퍼 챔버 28 제 1 반송 유닛
29-1, 29-2 제 2 로드록 챔버 30 제 2 트랜스퍼 챔버
31 제 2 반송 유닛 42 받침대
56 제 1 진공 반송 로봇 58 제 2 진공 반송 로봇
60-1, 60-2 대기 반송 로봇 61 오리엔터
78 카세트 80-1, 80-2 제 3 프로세스 챔버
81 제 3 로드록 챔버 82 제 3 트랜스퍼 챔버
83 제 3 반송 유닛 84 제 3 반송 로봇
95 더미 스토리지 96 연결 챔버
97 검사 장치 98 더미 스토리지

Claims (42)

  1. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛과,
    상기 제 1 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛
    을 구비하고,
    상기 제 1 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하고,
    상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 상기 제 2 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고,
    상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는, 상하 방향으로 겹치지 않고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는
    기판 처리 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 로드록 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치는 기판 처리 시스템.
  3. 제 2 항에 있어서,
    상기 제 1 로드록 챔버의 적어도 일부 및 상기 제 1 트랜스퍼 챔버의 일부가 상기 로더 모듈에 상하 방향으로 겹치는 기판 처리 시스템.
  4. 제 3 항에 있어서,
    상기 제 1 반송 유닛의 상기 제 1 트랜스퍼 챔버와 상기 제 2 반송 유닛의 상기 제 2 로드록 챔버가 상하 방향으로 겹치는 기판 처리 시스템.
  5. 제 3 항에 있어서,
    상기 트랜스퍼 챔버의 일부가 상기 로더 모듈 위에 배치되었을 때, 상기 트랜스퍼 챔버의 중량이 상기 로더 모듈과 관계되지 않도록, 상기 트랜스퍼 챔버를 지지하는 지지 부재가 마련되는 기판 처리 시스템.
  6. 제 1 항에 있어서,
    상기 로더 모듈은, 평면적으로 보았을 때, 가로 일렬로 배치되는 상기 복수의 포트의 배열 방향으로 길게 연장함과 아울러, 상기 대기 반송 로봇이 마련되는 대기 반송실을 구비하고,
    평면적으로 보았을 때, 상기 적어도 하나의 제 1 프로세스 챔버의 중심과 상기 제 1 트랜스퍼 챔버의 중심을 연결하는 선은, 그 연장 상에서, 상기 복수의 포트의 배열 방향과 예각으로 교차하고,
    상기 적어도 하나의 제 2 프로세스 챔버의 중심과 상기 제 2 트랜스퍼 챔버의 중심을 연결하는 선은, 그 연장 상에서, 상기 복수의 포트의 배열 방향과 예각으로 교차하는 기판 처리 시스템.
  7. 제 6 항에 있어서,
    상기 제 1 트랜스퍼 챔버에는 2개의 제 1 프로세스 챔버가 연결되고,
    상기 제 2 트랜스퍼 챔버에는 2개의 제 2 프로세스 챔버가 연결되고,
    평면적으로 보았을 때, 상기 2개의 제 1 프로세스 챔버의 중심과 상기 제 1 트랜스퍼 챔버의 중심을 연결한 선에 의해 V자형 형상이 형성되고,
    상기 2개의 제 2 프로세스 챔버의 중심과 상기 제 2 트랜스퍼 챔버의 중심을 연결한 선에 의해 V자형 형상이 형성되는 기판 처리 시스템.
  8. 제 7 항에 있어서,
    상기 2개의 제 1 프로세스 챔버는 그들의 중심과 상기 제 1 트랜스퍼 챔버의 중심을 연결하는 선의 방향으로 배치되고,
    상기 2개의 제 2 프로세스 챔버는 그들의 중심과 상기 제 2 트랜스퍼 챔버의 중심을 연결하는 선의 방향으로 배치되는 기판 처리 시스템.
  9. 제 8 항에 있어서,
    상기 제 1 프로세스 챔버의 중심과 상기 제 1 트랜스퍼 챔버의 중심을 연결하는 선을 따라 상기 제 1 프로세스 챔버에 대한 기판의 출납이 실행되고,
    상기 제 2 프로세스 챔버의 중심과 상기 제 2 트랜스퍼 챔버의 중심을 연결하는 선을 따라 상기 제 2 프로세스 챔버에 대한 기판의 출납이 실행되는 기판 처리 시스템.
  10. 제 7 항에 있어서,
    상기 2개의 제 1 프로세스 챔버는 상기 2개의 제 2 프로세스 챔버보다도 상기 로더 모듈의 가까운 쪽에 배치되고,
    상기 제 2 반송 유닛은 상기 2개의 제 1 프로세스 챔버 사이의 공간을 통하여 배치되는 기판 처리 시스템.
  11. 제 1 항에 있어서,
    상기 로더 모듈에는 상기 대기 반송 로봇이 2대 마련되는 기판 처리 시스템.
  12. 제 11 항에 있어서,
    상기 로더 모듈은, 평면적으로 보았을 때, 가로 일렬로 배치되는 상기 복수의 포트의 배열 방향으로 길게 연장하는 대기 반송실을 구비하고,
    상기 대기 반송실의 길이 방향의 중앙에는, 기판의 얼라인먼트를 실행하는 오리엔터가 마련되고,
    상기 대기 반송실의 길이 방향으로 중앙의 양측에는, 상기 2대의 대기 반송 로봇이 배치되고,
    상기 2대의 대기 반송 로봇의 각각은, 상기 오리엔터, 상기 제 1 로드록 챔버 및 상기 제 2 로드록 챔버에 액세스할 수 있는 기판 처리 시스템.
  13. 제 12 항에 있어서,
    제 1 로드록 챔버 및 제 2 로드록 챔버는 각각 2개 마련되는 기판 처리 시스템.
  14. 제 13 항에 있어서,
    상기 오리엔터로부터 상기 제 1 반송 유닛을 경유해서 상기 적어도 하나의 제 1 프로세스 챔버에 이르는 기판의 반송 경로 길이가, 상기 2개의 제 1 로드록 챔버의 어느 하나를 경유해도 동일 길이가 되고,
    상기 오리엔터로부터 상기 제 2 반송 유닛을 경유해서 상기 적어도 하나의 제 2 프로세스 챔버에 이르는 기판의 반송 경로 길이가, 상기 2개의 제 2 로드록 챔버의 어느 하나를 경유해도 실질적으로 동일한 길이가 되는 기판 처리 시스템.
  15. 제 1 항에 있어서,
    상기 제 1 트랜스퍼 챔버 및 상기 제 2 트랜스퍼 챔버의 적어도 한쪽에는, 기판을 검사하는 검사 장치 및 기판을 수용하는 스토리지의 적어도 하나가 연결되는 기판 처리 시스템.
  16. 제 1 항에 있어서,
    상기 적어도 하나의 제 1 프로세스 챔버는, 상기 적어도 하나의 제 2 프로세스 챔버보다도 상기 로더 모듈에 가까운 위치에 배치되고,
    상기 제 1 반송 유닛은 상기 제 2 반송 유닛보다도 상방에 배치되는 기판 처리 시스템.
  17. 제 16 항에 있어서,
    상기 적어도 하나의 제 1 프로세스 챔버를 설치할 수 있는 설치면의 높이가, 상기 적어도 하나의 제 2 프로세스 챔버를 설치할 수 있는 설치면의 높이보다도 높아지도록, 상기 적어도 하나의 제 1 프로세스 챔버가 받침대 위에 설치될 수 있는 기판 처리 시스템.
  18. 제 1 항에 있어서,
    상기 적어도 하나의 제 1 프로세스 챔버 및 상기 적어도 하나의 제 2 프로세스 챔버의 적어도 한쪽의 상부에는, 가스 공급계 및 고주파 전력 공급 수단의 적어도 하나가 마련되고,
    그 하부에는 챔버 내를 진공 배기하는 배기 수단이 마련되는 기판 처리 시스템.
  19. 제 1 항에 있어서,
    상기 로더 모듈은, 평면적으로 보았을 때, 가로 일렬로 배치되는 상기 복수의 포트의 배열 방향으로 길게 연장됨과 아울러, 상기 대기 반송 로봇이 마련되는 대기 반송실을 구비하고,
    상기 제 1 및 상기 제 2 반송 유닛은, 상기 복수의 포트의 배열 방향으로 길게 연장하는 상기 대기 반송실에 연결되는 기판 처리 시스템.
  20. 제 19 항에 있어서,
    상기 로더 모듈은 길이 방향의 중앙부에서 2분할 가능하게 구성되는 기판 처리 시스템.
  21. 제 1 항에 있어서,
    상기 제 1 및 상기 제 2 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈로부터 처리를 실행하기 위한 제 3 프로세스 챔버에 이르고, 기판을 반송하기 위한 적어도 하나의 제 3 반송 유닛을 더 구비하고,
    상기 제 3 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 3 로드록 챔버 및 상기 제 3 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 3 프로세스 챔버에 반송하는 제 3 진공 반송 로봇이 마련되는 제 3 트랜스퍼 챔버를 포함하고,
    상기 적어도 하나의 제 3 프로세스 챔버는, 상기 적어도 하나의 제 1 프로세스 챔버 및 상기 적어도 하나의 제 2 프로세스 챔버에 대하여, 상하 방향으로 겹치지 않고,
    상기 제 1 반송 유닛의 적어도 일부, 상기 제 2 반송 유닛의 적어도 일부 및 상기 제 3 반송 유닛의 적어도 일부가 상하 방향으로 겹쳐지는 기판 처리 시스템.
  22. 제 20 항에 있어서,
    상기 적어도 하나의 제 3 프로세스 챔버는, 상기 적어도 하나의 제 1 프로세스 챔버 및 상기 적어도 하나의 제 2 프로세스 챔버보다도 상기 로더 모듈로부터 먼 쪽에 배치되고,
    상기 제 3 반송 유닛의 상기 제 3 로드록 챔버는, 상기 로더 모듈로부터 상기 제 3 트랜스퍼 챔버를 향해서 길게 연장하고,
    상기 제 3 로드록 챔버에는, 기판을 그 길이 방향으로 직선 운동하게 하는 이동 기구가 마련되는 기판 처리 시스템.
  23. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛을 구비하고,
    상기 제 1 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하고,
    상기 로더 모듈에는, 상기 제 1 반송 유닛과는 높이가 다른 위치에, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛을 연결 가능한 연결 포트가 마련되고,
    상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 제 2 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고,
    상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가, 상하 방향으로 겹쳐지는 것이 가능하고,
    적어도 하나의 상기 제 1 프로세스 챔버와 적어도 하나의 상기 제 2 프로세스 챔버는, 상하 방향으로 겹치지 않도록 배치 가능한
    기판 처리 시스템.
  24. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛과,
    상기 제 1 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛을 구비하고,
    상기 제 1 반송 유닛은, 연통 가능하게 마련된 제 1 로드록 챔버 및 제 1 트랜스퍼 챔버를 포함하고,
    상기 제 2 반송 유닛은, 연통 가능하게 마련된 제 2 로드록 챔버 및 제 2 트랜스퍼 챔버를 포함하고,
    상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는, 상하 방향으로 겹치지 않고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는
    기판 처리 시스템.
  25. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 로드록 챔버와,
    상기 로드록 챔버에 반송된 기판을, 처리를 실행하기 위한 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버와,
    상기 제 1 트랜스퍼 챔버에 연결되는 진공의 연결 챔버와,
    상기 연결 챔버에 연결되어, 상기 제 1 진공 반송 로봇에 의해 상기 연결 챔버에 반송되는 기판을, 처리를 실행하기 위한 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버
    를 구비하고,
    상기 제 1 트랜스퍼 챔버와 상기 제 2 트랜스퍼 챔버는 상하 방향으로 높이가 다른 위치에 마련되고, 연결 챔버 내에 마련된 기판 승강 기구에 의해 기판을 서로 수수 가능하게 하고,
    상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는, 상하 방향으로 겹치지 않는
    기판 처리 시스템.
  26. 제 25 항에 있어서,
    상기 제 1 트랜스퍼 챔버 및 상기 제 2 트랜스퍼 챔버의 적어도 한쪽에는, 기판을 검사하는 검사 장치 및 더미 기판을 수용하는 더미 스토리지의 적어도 한쪽이 연결되는 기판 처리 시스템.
  27. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 로드록 챔버와,
    상기 로드록 챔버에 반송된 기판을, 처리를 실행하기 위한 적어도 하나의 프로세스 챔버에 반송하는 진공 반송 로봇이 마련되는 트랜스퍼 챔버
    를 구비하고,
    상기 로드록 챔버 또는 상기 트랜스퍼 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치는
    기판 처리 시스템.
  28. 제 27 항에 있어서,
    상기 적어도 하나의 프로세스 챔버가 적어도 하나의 제 1 프로세스 챔버이며,
    상기 로드록 챔버가 제 1 로드록 챔버이며,
    상기 진공 반송 로봇이 제 1 진공 반송 로봇이며,
    상기 트랜스퍼 챔버가 제 1 트랜스퍼 챔버이며,
    상기 기판 처리 시스템은,
    상기 로더 모듈과 기판을 처리하기 위한 상기 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛과,
    상기 제 1 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛
    을 구비하고,
    상기 제 1 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 상기 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 상기 제 1 진공 반송 로봇이 마련되는 상기 제 1 트랜스퍼 챔버를 포함하고,
    상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 상기 제 2 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고,
    상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는, 상하 방향으로 겹치지 않고, 상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는 기판 처리 시스템.
  29. 제 27 항에 있어서,
    상기 적어도 하나의 프로세스 챔버가 적어도 하나의 제 1 프로세스 챔버이며,
    상기 진공 반송 로봇이 제 1 진공 반송 로봇이며,
    상기 트랜스퍼 챔버가 제 1 트랜스퍼 챔버이며,
    상기 기판 처리 시스템은,
    상기 로드록 챔버에 반송된 기판을, 처리를 실행하기 위한 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 상기 제 1 진공 반송 로봇이 마련되는 상기 제 1 트랜스퍼 챔버와,
    상기 제 1 트랜스퍼 챔버에 연결되는 진공의 연결 챔버와,
    상기 연결 챔버에 연결되어, 상기 제 1 진공 반송 로봇에 의해 상기 연결 챔버에 반송되는 기판을, 처리를 실행하기 위한 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버
    를 구비하고,
    상기 제 1 트랜스퍼 챔버와 상기 제 2 트랜스퍼 챔버는 상하 방향으로 높이가 다른 위치에 마련되고, 연결 챔버 내에 마련된 기판 승강 기구에 의해 기판을 서로 수수 가능하게 하고,
    상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는 상하 방향으로 겹치지 않는 기판 처리 시스템.
  30. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 연결되어, 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 상기 제 2 로드록 챔버에 연결되어, 상기 제 2 로드록 챔버에 반송된 기판을 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하는 제 2 반송 유닛
    을 구비하고,
    상기 제 1 반송 유닛 및 상기 제 2 반송 유닛은 서로 높이가 다른 위치에 마련되고,
    상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치고,
    상기 제 2 트랜스퍼 챔버가 상기 제 1 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치되는
    반송 모듈.
  31. 제 30 항에 있어서,
    상기 제 1 로드록 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치는 반송 모듈.
  32. 제 31 항에 있어서,
    상기 제 1 반송 유닛의 상기 제 1 트랜스퍼 챔버와 상기 제 2 반송 유닛의 상기 제 2 로드록 챔버가 상하 방향으로 겹치는 반송 모듈.
  33. 제 30 항에 있어서,
    상기 로더 모듈에는 상기 대기 반송 로봇이 2대 마련되는 반송 모듈.
  34. 제 30 항에 있어서,
    상기 로더 모듈은, 평면적으로 보았을 때, 가로 일렬로 배치되는 상기 복수의 포트의 배열 방향으로 길게 연장됨과 아울러, 상기 대기 반송 로봇이 마련되는 대기 반송실을 구비하고,
    상기 제 1 및 상기 제 2 반송 유닛은, 상기 복수의 포트의 배열 방향으로 길게 연장하는 상기 대기 반송실에 연결되는 반송 모듈.
  35. 제 30 항에 있어서,
    상기 반송 모듈은, 상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 3 로드록 챔버 및 상기 제 3 로드록 챔버에 연결되어, 상기 제 3 로드록 챔버에 반송된 기판을 반송하는 제 3 진공 반송 로봇이 마련되는 제 3 트랜스퍼 챔버를 포함하는 제 3 반송 유닛을 더 구비하고,
    상기 제 3 반송 유닛은, 상기 제 1 반송 유닛 및 상기 제 2 반송 유닛과는 높이가 다른 위치에 마련되고,
    상기 제 1 반송 유닛의 적어도 일부, 상기 제 2 반송 유닛의 적어도 일부및 상기 제 3 반송 유닛의 적어도 일부가 상하 방향으로 겹치고,
    상기 제 3 반송 유닛의 상기 제 3 트랜스퍼 챔버가 상기 제 1 반송 유닛의 상기 제 1 트랜스퍼 챔버 및 상기 제 2 반송 유닛의 상기 제 2 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치되는 반송 모듈.
  36. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 연결되어, 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛
    을 구비하고,
    상기 로더 모듈에는, 상기 제 1 반송 유닛과는 높이가 다른 위치에, 기판을 반송하기 위한 제 2 반송 유닛을 연결 가능한 연결 포트가 마련되고,
    상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 상기 제 2 로드록 챔버에 연결되어, 상기 제 2 로드록 챔버에 반송된 기판을 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고,
    상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹쳐지는 것이 가능하고,
    상기 제 2 트랜스퍼 챔버는 상기 제 1 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치 가능한
    반송 모듈.
  37. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 로드록 챔버와,
    상기 로드록 챔버에 반송된 기판을 반송하는 진공 반송 로봇이 마련되는 트랜스퍼 챔버
    를 구비하고,
    상기 로드록 챔버 또는 상기 트랜스퍼 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치는
    반송 모듈.
  38. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 연결되어, 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 상기 제 2 로드록 챔버에 연결되어, 상기 제 2 로드록 챔버에 반송된 기판을 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하는 제 2 반송 유닛
    을 구비하고,
    상기 제 1 반송 유닛 및 상기 제 2 반송 유닛은 서로 높이가 다른 위치에 마련되고,
    상기 제 1 반송 유닛의 상기 제 1 트랜스퍼 챔버의 적어도 일부와 상기 제 2 반송 유닛의 상기 제 2 로드록 챔버의 적어도 일부가 상하 방향으로 겹치고,
    상기 제 2 반송 유닛의 상기 제 2 트랜스퍼 챔버는 상기 제 2 반송 유닛의 상기 제 1 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치되는
    반송 모듈.
  39. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈에 연결되어, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 반송된 기판을 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하는 제 1 반송 유닛
    을 구비하고,
    상기 제 1 로드록 챔버 또는 상기 제 1 트랜스퍼 챔버의 적어도 일부가 상기 로더 모듈에 상하 방향으로 겹치고,
    상기 로더 모듈에는, 상기 제 1 반송 유닛과는 높이가 다른 위치에, 기판을 반송하기 위한 제 2 반송 유닛을 연결 가능한 연결 포트가 마련되는
    반송 모듈.
  40. 제 39 항에 있어서,
    상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 상기 제 2 로드록 챔버에 연결되어, 상기 제 2 로드록 챔버에 반송된 기판을 진공 중에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고,
    상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹쳐지는 것이 가능하고,
    상기 제 2 반송 유닛의 상기 제 2 트랜스퍼 챔버는 상기 제 1 반송 유닛의 상기 제 1 트랜스퍼 챔버보다도 상기 로더 모듈로부터 분리된 위치에 배치 가능한 반송 모듈.
  41. 로더 모듈 내의 대기 반송 로봇이, 포트에 배치된 카세트 내의 기판을 제 1 로드록 챔버 및 제 2 로드록 챔버에 반송하는 대기 반송 공정과,
    상기 제 1 로드록 챔버에 연결되는 제 1 트랜스퍼 챔버 내의 제 1 진공 반송 로봇이, 상기 제 1 로드록 챔버에 반송되는 기판을 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 공정과,
    상기 제 1 진공 반송 공정과 병행되어 실행되고, 상기 제 2 로드록 챔버에 연결되는 제 2 트랜스퍼 챔버 내의 제 2 진공 반송 로봇이, 상기 제 2 로드록 챔버에 반송되는 기판을 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 공정
    을 갖고,
    상기 제 1 진공 반송 로봇이 상기 제 1 로드록 챔버로부터 상기 제 1 프로세스 챔버까지 기판을 반송하는 제 1 반송 루트 및 상기 제 2 진공 반송 로봇이 상기 제 2 로드록 챔버로부터 상기 제 2 프로세스 챔버까지 기판을 반송하는 제 2 반송 루트가 서로 높이가 다른 위치에 마련되고,
    상기 제 1 반송 루트의 적어도 일부와 상기 제 2 반송 루트의 적어도 일부가 상하 방향으로 겹치고,
    상기 제 2 반송 루트는 상기 제 1 반송 루트보다도 상기 로더 모듈로부터 분리된 위치까지 기판을 반송하는
    기판 처리 방법.
  42. 복수의 카세트를 배치 가능한 복수의 포트가 마련됨과 아울러, 포트에 배치된 카세트 내의 기판을 반송하는 대기 반송 로봇이 마련되는 로더 모듈과,
    상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 1 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 1 반송 유닛과,
    상기 제 1 반송 유닛과는 높이가 다른 위치에 마련되고, 상기 로더 모듈과 기판을 처리하기 위한 적어도 하나의 제 2 프로세스 챔버의 사이에서 기판을 반송하기 위한 제 2 반송 유닛
    을 구비하고,
    상기 제 1 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 1 로드록 챔버 및 상기 제 1 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 1 프로세스 챔버에 반송하는 제 1 진공 반송 로봇이 마련되는 제 1 트랜스퍼 챔버를 포함하고,
    상기 제 2 반송 유닛은, 상기 대기 반송 로봇에 의해 기판이 반송되는 제 2 로드록 챔버 및 상기 제 2 로드록 챔버에 반송된 기판을 상기 적어도 하나의 제 2 프로세스 챔버에 반송하는 제 2 진공 반송 로봇이 마련되는 제 2 트랜스퍼 챔버를 포함하고,
    상기 적어도 하나의 제 1 프로세스 챔버와 상기 적어도 하나의 제 2 프로세스 챔버는 상하 방향으로 겹치지 않고,
    상기 제 1 반송 유닛의 적어도 일부와 상기 제 2 반송 유닛의 적어도 일부가 상하 방향으로 겹치는 기판 처리 시스템
    을 이용한 반도체 소자의 제조 방법.
KR1020137005836A 2010-08-06 2011-08-03 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법 KR101331288B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010178165 2010-08-06
JPJP-P-2010-178165 2010-08-06
PCT/JP2011/004401 WO2012017653A1 (ja) 2010-08-06 2011-08-03 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法

Publications (2)

Publication Number Publication Date
KR20130041308A true KR20130041308A (ko) 2013-04-24
KR101331288B1 KR101331288B1 (ko) 2013-11-20

Family

ID=45559174

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137005836A KR101331288B1 (ko) 2010-08-06 2011-08-03 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법

Country Status (6)

Country Link
US (1) US9312153B2 (ko)
JP (1) JP5503006B2 (ko)
KR (1) KR101331288B1 (ko)
CN (1) CN103155133A (ko)
TW (1) TWI524458B (ko)
WO (1) WO2012017653A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190000934A (ko) * 2016-06-02 2019-01-03 어플라이드 머티어리얼스, 인코포레이티드 12각형 이송 챔버 및 이를 갖는 프로세싱 시스템
KR20200074934A (ko) * 2017-05-23 2020-06-25 도쿄엘렉트론가부시키가이샤 진공 반송 모듈 및 기판 처리 장치
KR102186268B1 (ko) * 2019-05-27 2020-12-03 현대중공업지주 주식회사 기판 이송장치용 보수장치

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5996857B2 (ja) 2011-09-30 2016-09-21 東京エレクトロン株式会社 駆動装置及び基板処理システム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104584188B (zh) * 2012-08-08 2017-05-31 应用材料公司 连结型真空处理工具和使用该工具的方法
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6377918B2 (ja) * 2014-03-06 2018-08-22 株式会社ダイヘン 基板損傷検出装置、その基板損傷検出装置を備えた基板搬送ロボット及び基板損傷検出方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10438828B2 (en) 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR101754589B1 (ko) * 2016-11-21 2017-07-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10378279B2 (en) * 2017-07-12 2019-08-13 Lam Research Corporation Step ladder with component rack system for fabrication facility
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN108054116A (zh) * 2017-11-29 2018-05-18 德淮半导体有限公司 工艺设备及其工作方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI758595B (zh) * 2018-03-31 2022-03-21 日商平田機工股份有限公司 腔室構造
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
DE102018004086A1 (de) * 2018-05-18 2019-11-21 Singulus Technologies Ag Durchlaufanlage und Verfahren zum Beschichten von Substraten
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7175201B2 (ja) * 2019-01-10 2022-11-18 東京エレクトロン株式会社 処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11721564B2 (en) * 2019-04-08 2023-08-08 Tokyo Electron Limited Substrate processing system and substrate transfer apparatus and method
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220009216A (ko) * 2020-07-15 2022-01-24 삼성전자주식회사 발광 소자, 발광 소자의 제조 방법, 및 발광 소자를 포함하는 디스플레이 장치
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
US20220081757A1 (en) * 2020-09-11 2022-03-17 Tokyo Electron Limited Film forming apparatus, film forming system, and film forming method
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI809981B (zh) * 2022-07-15 2023-07-21 堤維西交通工業股份有限公司 光學透鏡及透鏡裝置
CN115806187B (zh) * 2022-11-23 2024-04-23 成都芯锐科技有限公司 一种用于ai芯片的智能转运装置及其转运方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240721A (ja) 1991-01-25 1992-08-28 Sony Corp マルチチャンバプロセス装置
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JPH1012695A (ja) * 1996-06-25 1998-01-16 Tokyo Electron Ltd 処理装置
JPH10107124A (ja) * 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP2965038B1 (ja) 1998-09-21 1999-10-18 日新電機株式会社 真空処理装置
JP2000195925A (ja) 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6428262B1 (en) * 1999-08-11 2002-08-06 Proteros, Llc Compact load lock system for ion beam processing of foups
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP2002151568A (ja) 2000-11-07 2002-05-24 Tokyo Electron Ltd 被処理体の処理システム及び搬送方法
KR100422467B1 (ko) 2001-05-09 2004-03-12 삼성전자주식회사 반도체장치 제조설비
JP2004006665A (ja) 2002-02-20 2004-01-08 Tokyo Electron Ltd 真空処理装置
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
JP2006506818A (ja) * 2002-11-15 2006-02-23 ユナキス・バルツェルス・アクチェンゲゼルシャフト 二次元的に拡大化された基板を真空処理するための装置および同基板の製造方法
US20040141832A1 (en) 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4627992B2 (ja) * 2004-01-08 2011-02-09 住友精密工業株式会社 基板処理システム
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US8292563B2 (en) * 2004-06-28 2012-10-23 Brooks Automation, Inc. Nonproductive wafer buffer module for substrate processing apparatus
JP4566035B2 (ja) * 2005-03-11 2010-10-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
JP2009010009A (ja) * 2007-06-26 2009-01-15 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5084420B2 (ja) 2007-09-21 2012-11-28 東京エレクトロン株式会社 ロードロック装置および真空処理システム
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP5570775B2 (ja) 2008-09-26 2014-08-13 株式会社日立国際電気 基板処理装置のセットアップ方法、基板処理装置により実施される半導体装置の製造方法及び基板処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190000934A (ko) * 2016-06-02 2019-01-03 어플라이드 머티어리얼스, 인코포레이티드 12각형 이송 챔버 및 이를 갖는 프로세싱 시스템
KR20200074934A (ko) * 2017-05-23 2020-06-25 도쿄엘렉트론가부시키가이샤 진공 반송 모듈 및 기판 처리 장치
KR102186268B1 (ko) * 2019-05-27 2020-12-03 현대중공업지주 주식회사 기판 이송장치용 보수장치

Also Published As

Publication number Publication date
TWI524458B (zh) 2016-03-01
US20130202387A1 (en) 2013-08-08
TW201225201A (en) 2012-06-16
KR101331288B1 (ko) 2013-11-20
US9312153B2 (en) 2016-04-12
JPWO2012017653A1 (ja) 2013-10-03
WO2012017653A1 (ja) 2012-02-09
JP5503006B2 (ja) 2014-05-28
CN103155133A (zh) 2013-06-12

Similar Documents

Publication Publication Date Title
KR101331288B1 (ko) 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
US10679879B2 (en) Substrate processing apparatus
JP5212165B2 (ja) 基板処理装置
US10427303B2 (en) Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
KR20140004132A (ko) 진공 처리 장치
KR100578134B1 (ko) 멀티 챔버 시스템
JP5610009B2 (ja) 基板処理装置
JP6747136B2 (ja) 基板処理装置
KR102244352B1 (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
JP2017163103A (ja) 基板処理装置
WO2012108439A1 (ja) 基板中継装置,基板中継方法,基板処理装置
US20220068677A1 (en) Systems and methods for integrating load locks into a factory interface footprint space
CN113195170A (zh) 基板传送装置及基板传送***
US9962840B2 (en) Substrate conveyance apparatus
KR100896472B1 (ko) 반도체소자 제조를 위한 멀티챔버 시스템 및 기판 처리 방법
KR20050094735A (ko) 기판 제조 장치
JP6296164B2 (ja) ロボットシステムおよび搬送方法
KR101700608B1 (ko) 기판처리장치
KR101486243B1 (ko) 기판 반송 장치 및 그것을 갖는 기판 처리 시스템
KR20080071681A (ko) 반도체소자 제조를 위한 멀티챔버 시스템
TW202312233A (zh) 具有冗餘度的工廠介面
JP2024525276A (ja) 冗長性のあるファクトリインターフェース
KR101492258B1 (ko) 인라인 기판처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181030

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 7