KR20090126318A - 스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치 - Google Patents

스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치 Download PDF

Info

Publication number
KR20090126318A
KR20090126318A KR1020097022779A KR20097022779A KR20090126318A KR 20090126318 A KR20090126318 A KR 20090126318A KR 1020097022779 A KR1020097022779 A KR 1020097022779A KR 20097022779 A KR20097022779 A KR 20097022779A KR 20090126318 A KR20090126318 A KR 20090126318A
Authority
KR
South Korea
Prior art keywords
level
silane
substrate
plasma
sin film
Prior art date
Application number
KR1020097022779A
Other languages
English (en)
Other versions
KR101350544B1 (ko
Inventor
로버트 디 클라크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090126318A publication Critical patent/KR20090126318A/ko
Application granted granted Critical
Publication of KR101350544B1 publication Critical patent/KR101350544B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 스트레인드 SiN막과, 이 스트레인드 SiN막을 포함하는 반도체 장치를 형성하는 방법에 관한 것이다. 본 방법은, 기판을 실리콘 전구체를 함유하는 가스에 노출시키는 단계, 상기 기판을, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화되며, 상기 실리콘 전구체와 제1 반응성 특징으로 반응하도록 구성된 질소 전구체를 함유하는 가스에 노출시키는 단계, 및 상기 기판 상에 형성된 상기 SiN막의 특성이 변하여 스트레인드 SiN막을 제공하도록, 상기 기판을, 상기 제1 레벨과는 상이한 제2 레벨의 플라즈마 전력에서 상기 플라즈마 소스에 의하여 활성화되며, 상기 실리콘 전구체와 제2 반응성 특징으로 반응하도록 구성된 질소 전구체를 함유하는 가스에 노출시키는 단계를 포함한다.

Description

스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치{METHOD FOR FORMING STRAINED SILICON NITRIDE FILMS AND A DEVICE CONTAINING SUCH FILMS}
(관련 출원들의 교차 참조)
본 출원은, 본 출원과 동일 날짜에 출원되며, 발명의 명칭이 "STRAINED METAL NITRIDE FILMS AND METHOD OF FORMING"인 공동 계류 중인 미국 특허 출원 번호 제11/XXX,XXX호(대리인 일람 번호 304031US); 본 출원과 동일 날짜에 출원되며, 발명의 명칭이 "STRAINED METAL NITRIDE FILMS AND METHOD OF FORMING"인 공동 계류 중인 미국 특허 출원 번호 제11/XXX,XXX호(대리인 일람 번호 306464US); 본 출원과 동일 날짜에 출원되며, 발명의 명칭이 "STRAINED METAL SILICON NITRIDE FILMS AND METHOD OF FORMING"인 공동 계류 중인 미국 특허 출원 번호 제11/XXX,XXX호(대리인 일람 번호 306467US); 본 출원과 동일 날짜에 출원되며, 발명의 명칭이 "STRAINED METAL SILICON NITRIDE FILMS AND METHOD OF FORMING"인 공동 계류 중인 미국 특허 출원 번호 제11/XXX,XXX호(대리인 일람 번호 306468US); 및 2006년 9월 29일에 출원되며, 발명의 명칭이 "A METHOD OF FORMING STRAINED SILICON NITRIDE FILMS AND A DEVICE CONTAINING SUCH FILMS"인 공동 계류 중인 미국 특허 출원 번호 제11/529,380호(대리인 일람 번호 296626US)에 관한 것이다. 이들 출원들의 전체 내용들은 여기서 그 전체가 참조용으로 사용되었다.
(발명의 분야)
본 발명은 반도체 프로세싱에 관한 것이며, 보다 자세하게는 스트레인드(strained) 실리콘 질화물(silicon nitride)(SiN)막들을 형성하는 방법 및 이 스트레인드 실리콘 질화물막들을 포함하는 반도체 장치들에 관한 것이다.
반도체 장치들과 초대규모 집적 회로들에 질화물계막들이 광범위하게 사용되고 있다. 예컨대, 질화물막들은, 다른 많은 사용들 중에서, 도판트들에 대한 확산 장벽으로서, 미세 피쳐(feature)들의 에칭 동안의 에칭 스톱막으로서, 그리고 제작된 장치들의 캡슐화(encapsulation)를 위한 최종 패시배이션막으로서, 반도체 장치들에 광범위하게 사용되어 왔다. 질화물막들은 다양한 프로세싱 시스템들과 프로세스 가스들을 사용하여 저압에서 또는 대기압에서 증착될 수 있다. 이들 프로세싱 시스템들은, 예컨대 열 화학적 기상 증착법(thermal chemical vapor deposition)(TCVD), 플라즈마 강화된 화학적 기상 증착법(plasma-enhanced chemical vapor deposition)(PECVD), 또는 원격-PECVD를 수행할 수 있다.
상보성 금속 산화물 반도체(complementary metal oxide semiconductor)(CMOS) 트랜지스터 성능을 향상시키기 위한 최근의 발명들은, 현재의 초대규모 집적 회로(ultra-large scale integration)(ULSI) 집적 기술들과 양립할 수 있는 스트레인드 세라믹층들에 대한 산업 요구를 일으켰다. 특히, 네가티브형 금속 산화물 반도체(negative metal oxide semiconductor)(NMOS) 트랜지스터들 에 대한 채널 캐리어 이동성은, MOS 트랜지스터의 채널 영역 상에 단축 또는 2축 인장 스트레인을 도입함으로써 증가될 수 있다. 유사하게는, 압축 스트레인드막들은 포지티브형 금속 산화물 반도체(positive metal oxide semiconductor)(PMOS) 트랜지스터들에 대한 채널 캐리어 이동성의 향상을 실현하는 데 사용될 수 있다.
통상적으로, 스트레인드막들은 현존하는 제작 프로세스들과 양립할 수 있는 막들의 후처리로 형성되었다. 예컨대, 스트레인드 SiN막들의 경우, SiN막이 원하는 두께로 형성된 후, 자외선 처리되어 막의 표면 영역의 밀도를 변경함으로써 스트레인을 생성한다. 대안적으로는, 증착된 SiN막이, 막의 일부 N을 O로 치환한 가스들을 함유하는 산소로 처리됨으로써 스트레인을 생성할 수 있다. 본 발명자들은 스트레인드막들을 형성하는 이들 통상적인 방법들에서 몇몇 문제점들을 인식하였다.
우선, 증착된 막의 후처리는 생산 단계들을 증가시켜 작업 처리량을 감소시키고, 고가의 전용 공구를 요할 수도 있다. 또한, 증착된 막의 후처리는 주로 막 표면에 영향을 미쳐, 스트레인량의 제어를 제한하고, 일부 딥(deep) 처리 프로세스들을 허용할 수 없을 정도로 길게 한다. 마지막으로, 통상적인 프로세스들은, 현재 실행 중인 많은 소형 피쳐 제작 프로세스들에 대하여 허용할 수 없는 두께 및 점착성(conformality) 제어를 가질 수 있는 CVD 프로세스에 의하여 초기막을 증착시킨다.
따라서, 본 발명의 일 태양은, 스트레인드 SiN층들의 제공과 연관된 상술된 또는 다른 문제점들 중 하나 이상을 감소 또는 제거하는 것이다.
본 발명의 또다른 태양은, 후처리에 의해서가 아닌, 막의 형성 동안 SiN막에 스트레인을 생성하는 것이다. 본 발명의 이들 및/또는 다른 태양들은 본 발명의 실시예들 중 임의의 것에 의하여 제공될 수도 있다.
본 발명의 실시예에 따르면, 본 방법은, 기판을 실리콘 전구체를 함유하는 가스에 노출시키는 단계, 상기 기판을, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화되며, 상기 실리콘 전구체와 제1 반응성 특징으로 반응하도록 구성된 질소 전구체를 함유하는 가스에 노출시키는 단계, 및 상기 기판 상에 형성된 SiN막의 특성이 변하여 스트레인드 SiN막을 제공하도록, 상기 기판을, 상기 제1 레벨과는 상이한 제2 레벨의 플라즈마 전력에서 상기 플라즈마 소스에 의하여 활성화되며, 상기 실리콘 전구체와 제2 반응성 특징으로 반응하도록 구성된 질소 전구체를 함유하는 가스에 노출시키는 단계를 포함한다.
본 발명의 일 실시예에 따르면, 본 방법은, a) 기판을 실리콘 전구체를 함유하는 가스 펄스에 노출시키는 단계; b) 상기 기판을, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출시키는 단계; c) 상기 기판을, 상기 실리콘 전구체를 함유하는 가스 펄스에 노출시키는 단계; d) 상기 기판을, 제2 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 포함하는 가스 펄스에 노출시키는 단계; 및 e) 단계들 a) - d)를 미리 결정된 횟수로 반복하는 단계를 포함한다.
본 발명의 보다 완전한 이해와 그 수반하는 많은 이점들은, 첨부된 도면들과 연관하여 고려하면 다음의 상세한 설명을 참조하여 보다 양호하게 이해되므로, 용이하게 획득될 것이다.
도 1a는 본 발명의 실시예에 따른, 스트레인드 SiN막을 포함하는 장치의 단면도를 개략적으로 도시한다.
도 1b는 본 발명의 실시예에 따른, 기판 상에 스트레인드 SiN막을 형성하기 위한 프로세싱 시스템을 도시한다.
도 2a 내지 도 2e는 본 발명의 실시예들에 따른, 스트레인드 SiN막을 형성하기 위한 프로세스 흐름도들이다.
도 3a 및 도 3b는 본 발명의 실시예들에 따른, 프로세스 챔버에 연결된 상이한 레벨들의 플라즈마 전력을 도시하는 전력 그래프들을 도시한다.
본 발명의 실시예들은 프로세스 챔버에서 스트레인드 SiN막들을 증착하는 프로세싱 방법들을 설명한다. 본 발명의 실시예들에 따르면, 막 두께에 걸쳐 밀도 구배(gradient)를 갖는 SiN막들을 증착시키기 위하여, 실리콘 전구체에 대한 질소 전구체의 반응성의 차이가 사용된다. 반응성의 차이는 프로세싱 동안 질소 전구체의 플라즈마 활성화를 변화시킴으로써 달성된다. 예컨대, 이 반응성은 플라즈마 활성화의 형태와, 활성화를 위하여 사용되는 플라즈마 전력의 레벨에 의하여 제어될 수도 있다. 본 발명의 실시예들에 따르면, 플라즈마 활성화는, 플라즈마 챔버 내의 직접 플라즈마 소스를 사용하여, 또는 원격 플라즈마 소스를 사용하여 달성될 수도 있다.
예컨대, SiN 증착률과 막 밀도를 변화시키기 위하여, 플라즈마 활성화가 이용될 수도 있다. 일부 경우들에서, 이 밀도의 차이는, 실리콘 전구체를 변경시킴으로써, 질소 전구체를 변경시킴으로써, 및/또는 다른 프로세싱 조건들을 변경시킴으로써 더 영향을 받을 수도 있다. SiN과 같은 비정질막의 두께에 걸친 밀도 변화는, SiN막에 걸쳐 생성된 열 팽창 계수의 변동들로 인하여 SiN막 스트레인을 생성할 것이다. 이 막들은 인장 변형되고/되거나 압축 변형될 수 있다.
일 예에서, 본 발명의 실시예들은, 상기 [배경기술]란에서 언급된 바와 같이, CMOS 트랜지스터의 채널 영역 상에 스트레인을 생성하는 데 사용될 수도 있다. 본 발명의 다른 실시예들은, 상당히 상이한 열 팽창 계수들을 갖는 재료들 사이에 위치된 스트레인드 SiN막을 형성하는 데 이용될 수도 있어, 이 재료들 간의 부착성을 증가시키고, 또는 서멀 사이클링(thermal cycling) 동안 층간 분리(delamination)의 가능성을 감소시킨다. 보다 자세하게는, 상이한 재료들 사이에 배치된 스트레인드 SiN막은, 제1 재료와 접촉하는 막이 상기 제1 재료와 양립할 수 있는 제1 밀도를 가지나, 제2 재료의 접촉 영역에서 상기 제2 재료와 양립할 수 있는 제2 밀도로 이행하기 위하여 밀도가 점차 변화하도록, 본 발명의 실시예들에 따라 설계될 수 있다.
본 발명의 실시예들은, 스트레인드 SiN막들을 증착시키기 위하여, 플라즈마 강화 원자층 증착법(plasma enhanced atomic layer deposition)(PEALD), 플라즈마 강화 CVD(PECVD), 또는 펄스 PECVD법들을 이용한다. 이들 증착법들은 다양한 재료 들을 증착시키기 위한 공지된 방법들이다. PEALD는, 순차 포화 표면 반응들을 사용하는 PECVD 관련 막 증착법이다. PEALD에서, 가스 전구체들의 펄스들은 기판에 교대로 노출된다. PECVD에서, 중단되지 않는 가스 전구체들의 흐름이 기판에 노출되고, 펄스 PECVD에서, 하나 이상의 가스 전구체(들)의 흐름이 막 증착 동안 주기적으로 중단된다. PEALD, PECVD 및 펄스 PECVD에서, 증착 프로세스의 적어도 일부 동안 플라즈마 여기가 이용된다. 이것은, 막 증착 동안 프로세싱 조건들을 변화시키게 한다. 일 예에서, 질소 전구체(예컨대, N2, 암모니아(NH3), 또는 하이드라진(N2H4)) 가스를 활성화시키는 데 사용되는 플라즈마 전력의 레벨은 PEALD, PECVD 또는 펄스 PECVD 프로세싱 동안 변할 수 있다. 다른 예에서, 플라즈마 전력의 레벨의 변화와 조합하여 희석 가스가 사용될 수도 있어서, 반응성에 영향을 미친다.
여기서 사용된 바와 같이, SiN막들은 주원소들로서 실리콘(Si)과 질소(N)를 함유하는 막들에 관한 것이며, 여기서 SiN막들의 원소 조성은 Si와 N에 대한 광범위의 원자 농도들에 걸쳐 변할 수 있다. 또한, SiN막들은, 프로세싱 동안 또는 기판 전달 동안 SiN막들로 혼합될 수도 있는, 탄소(C), 산소(O), 염소(Cl), 및 수소(H)와 같은 불순물들을 함유할 수도 있다. "막(film)" 및 "층(layer)"이라는 용어들은 여기서 상호 교환 가능하게 사용되어, 기판 상에 증착되거나 형성된 재료를 칭한다.
실리콘 전구체들의 예들은, 실란(SiH4), 디실란(Si2H6), 모노클로로실란(SiClH3), 디클로로실란(SiH2Cl2), 트리클로로실란(SiHCl3), 헥사클로로디실 란(Si2Cl6), 디에틸실란, 및 알킬아미노실란 화합물들을 포함하나, 이들에 한정되지 않는다. 알킬아미노실란 화합물들의 예들은, 디-이소프로필아미노실란(H3Si(NiPr2)), 비스(tert - 부틸아미노)실란((C4H9(H)N)2SiH2), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 및 트리스(디메틸하이드라지노)실란(HSi(N(H)NMe2)3)을 포함하나, 이들에 한정되지 않는다.
질소 전구체들의 예들은, N2, NH3, N2H4, 및 C1 - C10 알킬하이드라진 화합물들을 포함하나, 이들에 한정되지 않는다. 통상적인 C1 및 C2 알킬하이드라진 화합물들은, 모노메틸-하이드라진(MeNHNH2), 1,1-디메틸-하이드라진(Me2NNH2), 및 1,2-디메틸-하이드라진(MeNHNHMe)을 포함한다.
이제 도면들을 참조하여, 도 1a는 본 발명의 실시예에 따른 스트레인드 SiN막을 포함하는 장치의 단면도를 개략적으로 도시한다. MOS 장치(100) 상에 스트레인드 SiN막(122)이 배치된다. MOS 장치(100)는, 도시된 바와 같이, 스트레인드 SiN막(122) 외에도, 도핑된 영역들(113 및 114)(예컨대, 소스 및 드레인)을 갖는 기판(112), 게이트 스택(120), 및 스페이서(121)를 또한 포함한다. 기판(112)은, 예컨대 Si, Ge, SiGe, 또는 GaAs 웨이퍼일 수 있다. 기판(112)은, 임의의 크기, 예컨대 200mm 기판, 300mm 기판, 또는 훨씬 더 큰 기판일 수 있다.
게이트 스택(120)은 채널 영역(115) 상에 유전체층(116)을 포함한다. 유전체층(116)은, 예컨대 SiO2층, SiN층, SiON층, 또는 그 조합, 또는 임의의 다른 적절한 재료를 포함할 수 있다. 유전체층(116)은 고유전 상수(하이(high)-k) 유전체 재료를 더 포함할 수 있다. 하이-k 유전체 재료는, 예컨대 Ta2O5, TiO2, ZrO2, Al2O3, Y2O3, HfOxNy, HfSiOxNy, HfSiOx, HfO2, ZrSiOx, ZrOxNy, ZrSiOxNy, TaSiOx, SrOx, SrSiOx, LaOx, LaSiOx, YOx, 또는 YSiOx, 또는 그 2이상의 조합들을 포함하는 금속 산화물들과 그 실리케이트들을 포함할 수 있다.
도 1a의 실시예에서, 유전체층(116) 상에 도전층(117)(예컨대, 게이트 전극층)이 형성되고, 도전층(117) 상에 실리사이드층(118)이 형성되어 도전층(117)의 전기 저항을 감소시킨다. 게이트 스택(120)의 상부 상에 게이트 스택(120)을 보호하기 위하여 캡층(119)이 위치될 수 있다. 캡층(119)은, 예컨대 SiN층일 수 있다.
본 발명의 일 실시예에서, 도전층(117)은 다결정 실리콘(poly-Si)으로 도핑될 수 있고, 실리사이드층(118)은 텅스텐 실리사이드일 수 있다. 게이트 스택(120)은 도 1a에 도시된 것과는 상이한 층들, 그보다 더 작은 층들 또는 더 많은 층들을 포함할 수도 있다. 일 예에서, 도전층(117) 및/또는 실리사이드층(118)은 금속 게이트층으로 대체될 수도 있다. 도 1a는, 게이트 스택(120)의 각 측면 상에 스페이서(121)가 형성되어, 게이트 스택(120)을 손상으로부터 보호하고 게이트의 전기적 성능을 보장하는 것을 더 도시하고 있다. 또한, 스페이서(121)는 MOS 장 치(100)의 소스 및 드레인(113, 114)의 형성을 위하여 하드 마스크로서 사용될 수 있다. 대안적으로, 일 실시예에서, 하나보다 많은 스페이서(121)가 사용될 수도 있다. 대안적으로, 다른 실시예에서, 스페이서(121)에 스트레인드 SiN막이 통합될 수도 있다.
일 실시예에서, MOS 장치(100)는, 스트레인드 SiN막(122)이 채널 영역(115) 상에 인장 응력을 도입함으로써 채널 캐리어 이동성을 증가시키는 것인 NMOS 장치일 수 있다. 다른 실시예에서, MOS 장치(100)는, 스트레인드 SiN막(122)이 채널 영역(115) 상에 압축 응력을 도입함으로써 채널 캐리어 이동성을 증가시키는 것인 PMOS 장치일 수 있다. 도 1의 실시예에서, 스트레인드 SiN막(122)은 또한, MOS 장치(100)의 캡슐화 및 보호를 위하여 최종 패시배이션막으로서 기능할 수 있다. 그러나, 스트레인드 SiN막은 채널 영역(115) 상에 응력을 생성하기 위하여 다양한 방식으로 CMOS 구조에 통합될 수 있다.
도 1b는, 본 발명의 실시예에 따른, 기판 상에 스트레인드 SiN막을 형성하기 위한 프로세싱 시스템(1)을 도시한다. 프로세싱 시스템(1)은, PEALD 프로세스, PECVD 프로세스, 또는 펄스 PECVD 프로세스, 또는 이들 프로세스들의 2 이상의 조합을 수행하도록 구성될 수 있다. 프로세싱 시스템(1)은, 스트레인드 SiN막이 형성되는 기판(25)을 지지하도록 구성된 기판 홀더(20)를 갖는 프로세스 챔버(10)를 포함한다. 프로세스 챔버(10)는, 프로세스 가스들을 프로세스 챔버(10)로 도입시키기 위하여 구성된 상부 어셈블리(31)(예컨대, 샤워헤드)를 더 포함한다. 상부 어셈블리(31)는, 실리콘 전구체 가스 공급 시스템(40), 질소 전구체 가스 공급 시 스템(42), 및 퍼지 가스 공급 시스템(44)에 연결되어 있다. 또한, 프로세싱 시스템(1)은, 기판 홀더(20)에 연결되어, 기판(25)의 온도를 낮추거나 올리고, 제어하도록 구성된 기판 온도 제어 시스템(60)을 포함한다. 또한, 프로세싱 시스템(1)은, 프로세스 챔버(10), 기판 홀더(20), 상부 어셈블리(31), 실리콘 전구체 가스 공급 시스템(40), 질소 전구체 가스 공급 시스템(42), 퍼지 가스 공급 시스템(44), 및 기판 온도 제어 시스템(60)에 연결될 수 있는 제어기(70)를 포함한다.
대안적으로, 또는 부가적으로, 제어기(70)는 하나 이상의 추가적인 제어기들/컴퓨터들(미도시)에 연결될 수 있고, 제어기(70)는 추가적인 제어기/컴퓨터로부터 셋업 및/또는 구성 정보를 획득할 수 있다.
도 1b에서, 단일 프로세싱 요소들(10, 20, 31, 40, 42, 44, 및 60)이 도시되어 있으나, 이것은 본 발명에 대하여 요구되는 것은 아니다. 프로세싱 시스템(1)은, 독립적인 프로세싱 요소들 외에, 이들과 연관된 임의 수의 제어기들을 갖는 임의 수의 프로세싱 요소들을 포함할 수 있다.
제어기(70)는 임의 수의 프로세싱 요소들(10, 20, 30, 40, 42, 44, 및 60)을 구성하도록 사용될 수 있고, 제어기(70)는 프로세싱 요소들로부터 데이터를 수집, 제공, 처리, 저장 및 디스플레이할 수 있다. 제어기(70)는 프로세싱 요소들 중 하나 이상을 제어하기 위하여 다수의 애플리케이션들을 포함할 수 있다. 예컨대, 제어기(70)는, 사용자가 하나 이상의 프로세싱 요소들을 모니터하고, 및/또는 제어할 수 있게 하는 사용하기 쉬운 인터페이스들을 제공할 수 있는 그래픽 유저 인터페이스(graphic user interface)(GUI) 구성 요소(미도시)를 포함할 수 있다.
계속 도 1b를 참조하면, 프로세싱 시스템(1)은 200mm 기판, 300mm 기판, 또는 더 큰 크기의 기판들을 프로세스하도록 구성될 수도 있다. 사실상, 프로세싱 시스템(1)은, 당업자에 의하여 인식될 것인 바와 같이, 그 크기에 상관없이 기판들, 웨이퍼들, 또는 LCD들을 프로세스하도록 구성될 수도 있다. 따라서, 본 발명의 실시예들은 반도체 기판의 프로세싱에 관련하여 설명되지만, 본 발명은 단지 여기에 제한되는 것은 아니다.
실리콘 전구체 가스 공급 시스템(40)과 질소 전구체 가스 공급 시스템(42)은 가스들을 프로세스 챔버(10)로 교대로 또는 동시에 도입시키도록 구성된다. 가스들의 교대 도입은, 주기적일 수 있고, 또는 실리콘 전구체와 질소 전구체의 도입 간의 가변적인 기간들로 비주기적일 수도 있다.
도 1b를 계속 참조하여, 퍼지 가스 공급 시스템(44)은 퍼지 가스를 프로세스 챔버(10)에 도입시키도록 구성된다. 예컨대, 퍼지 가스의 도입은, 프로세스 챔버(10)로의 실리콘 전구체와 질소 전구체의 펄스들의 도입 사이에 발생할 수도 있다. 퍼지 가스는, 희가스(즉, He, Ne, Ar, Kr, Xe)와 같은 불활성 가스 또는 수소(H2)를 포함할 수 있다.
또한, 기판 온도 제어 시스템(60)은, 기판 홀더(20)에 연결되어, 기판(25)의 온도를 상승시키고 제어하도록 구성된다. 기판 온도 제어 시스템(60)은, 기판 홀더(20)로부터 열을 받아, 이 열을 열 교환기 시스템(미도시)에 전달하고, 또는 가열시 열 교환기 시스템으로부터 열을 전달하는 재순환 냉각재 흐름을 포함하는 냉 각 시스템과 같은 온도 제어 요소들을 포함한다. 또한, 이 온도 제어 요소들은, 프로세스 챔버(10)의 챔버 벽 또는 프로세싱 시스템(1) 내의 임의의 다른 구성 요소는 물론, 기판 홀더(20)에 포함될 수 있는, 저항성 가열 요소들과 같은 가열/냉각 요소들, 또는 열전 가열기들/냉각기들을 포함할 수 있다. 기판 온도 제어 시스템(60)은, 예컨대 기판 온도를 실내 온도에서 약 350℃ 내지 550℃로 상승시켜 제어하도록 구성될 수 있다. 대안적으로, 기판 온도는, 예컨대 약 150℃ 내지 350℃의 범위일 수 있다. 그러나, 기판의 온도는, 특정 실리콘과 질소 전구체들로부터 스트레인드 SiN막을 주어진 기판의 표면 상에 증착시키게 하기 위한 원하는 온도에 기초하여 선택되는 것으로 이해되어야 한다.
기판(25)과 기판 홀더(20) 간의 열 전달을 향상시키기 위해서, 기판 홀더(20)는, 기판(25)을 기판 홀더(20)의 상부 표면에 부착시키기 위하여, 기계 클램핑 시스템, 또는 정전 클램핑 시스템과 같은 전기 클램핑 시스템을 포함할 수 있다. 또한, 기판 홀더(20)는, 기판(25)과 기판 홀더(20) 간의 가스 갭 열 컨덕턴스를 향상시키기 위하여, 기판(25)의 이면에 가스를 도입시키도록 구성된 기판 이면 가스 전달 시스템을 더 포함할 수 있다. 이러한 시스템은, 기판의 온도 제어가 고온 또는 저온에서 요구될 때 이용될 수 있다. 예컨대, 기판 이면 가스 전달 시스템은, 헬륨 가스 갭 압력이 기판(25)의 중심과 에지 사이에서 독립적으로 변할 수 있는 2영역 가스 분배 시스템을 구비할 수 있다.
또한, 도 1b의 프로세스 챔버(10)는, 진공 펌핑 시스템(34), 밸브(36), 및 덕트(38)를 포함하는 압력 제어 시스템(32)에 더 연결되어 있으며, 이 압력 제어 시스템(32)은, 기판(25) 상에 스트레인드 SiN막을 형성하는 데 적합한 압력으로 프로세스 챔버(10)를 제어 가능하게 배기시키도록 구성된다. 진공 펌핑 시스템(34)은 터보 분자 진공 펌프(turbo-molecular vacuum pump)(TMP) 또는 크라이오 펌프(cryogenic pump)를 포함할 수 있고, 밸브(36)는 챔버 압력을 스로틀링(throttling)하기 위한 게이트 밸브를 포함할 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(미도시)가 프로세스 챔버(10)에 연결될 수 있다. 압력 측정 장치는 절대 용량 압력계일 수 있다. 압력 제어 시스템(32)은, 예컨대 SiN막의 증착 동안 약 0.1토르와 약 100토르 사이로 프로세스 챔버 압력을 제어하도록 구성될 수 있다.
실리콘 전구체 가스 공급 시스템(40), 질소 전구체 가스 공급 시스템(42), 및 퍼지 가스 공급 시스템(44)은 하나 이상의 압력 제어 장치들, 하나 이상의 흐름 제어 장치들, 하나 이상의 필터들, 하나 이상의 밸브들, 및/또는 하나 이상의 흐름 센서들을 포함할 수 있다. 흐름 제어 장치들은 기압 구동 밸브들, 전자 기계(솔레노이드) 밸브들, 및/또는 고속 펄스 가스 주입 밸브들을 포함할 수 있다. 본 발명의 실시예들에 따르면, 가스들은 프로세스 챔버(10)로 순차적으로 그리고 교대로 펄스화될 수도 있고, 여기서 각 가스 펄스의 길이는, 예컨대 약 0.1초 내지 약 100초 사이일 수 있다. 대안적으로, 각 가스 펄스의 길이는 약 1초 내지 약 10초 사이일 수 있다.
프로세싱 시스템은, 프로세스 챔버(10)에서 가스 노출들의 적어도 일부 동안 플라즈마를 생성하도록 구성된 플라즈마 생성 시스템을 더 포함한다. 본 발명의 일 실시예에 따르면, N2, NH3, N2H4, 또는 C1 - C10 알킬하이드라진 화합물, 또는 그 조합을 포함하는 질소 함유 가스로부터 플라즈마 여기된 질소가 형성될 수도 있다.
플라즈마 생성 시스템은, 프로세스 챔버(10)에 연결되어, 프로세스 챔버(10)로 도입된 가스들에 전력을 연결시키도록 구성된 제1 전력 소스(52)를 포함한다. 제1 전력 소스(52)는, 가변 전력 소스일 수도 있고, 고주파(radio frequency)(RF) 생성기와 임피던스 정합 네트워크를 포함할 수도 있고, 전극을 더 포함할 수도 있으며, 이 전극을 통하여 RF 전력이 프로세스 챔버(10) 내의 플라즈마에 연결된다. 이 전극은 상부 어셈블리(31)에 형성될 수 있고, 기판 홀더(20)와 대향하도록 구성될 수 있다. 임피던스 정합 네트워크는, 임피던스 정합 네트워크의 출력 임피던스를, 전극과 플라즈마를 포함하여 프로세스 챔버(10)의 입력 임피던스와 정합시킴으로써, RF 생성기로부터 플라즈마로의 RF 전력의 전달을 최적화하도록 구성될 수 있다. 예컨대, 임피던스 정합 네트워크는, 반사(reflected) 전력을 감소시킴으로써 RF 전력의 프로세스 챔버(10) 내의 플라즈마로의 전달을 향상시키도록 기능한다. 정합 네트워크 토폴로지들(예컨대, L형,
Figure 112009066769524-PCT00001
형, T형 등)과 자동 제어법들이 당업자들에게 공지되어 있다.
대안적으로, 제1 전력 소스(52)는 RF 생성기와 임피던스 정합 네트워크를 포함할 수도 있고, 유도성 코일과 같은 안테나를 더 포함할 수도 있으며, 이 안테나를 통하여 RF 전력이 프로세스 챔버(10) 내의 플라즈마에 연결된다. 안테나는, 예컨대 유도 결합 플라즈마 소스 또는 헬리콘 소스에서와 같이, 나선형 또는 솔레노 이드 코일을 포함할 수 있고, 또는 예컨대 트랜스포머 결합 플라즈마 소스에서와 같이 평평한 코일을 포함할 수 있다.
또한 대안적으로, 제1 전력 소스(52)는 극초단파 생성기를 포함할 수도 있고, 마이크로파 안테나와 마이크로파 윈도우를 더 포함할 수도 있으며, 이 마이크로파 윈도우를 통하여 극초단파 전력이 프로세스 챔버(10) 내의 플라즈마에 연결된다. 극초단파 전력(microwave power)의 연결은, 전자 사이클로트론 공명(electron cyclotron resonance)(ECR) 기술을 사용하여 달성될 수 있고, 미국 특허 제5,024,716호에 개시된 바와 같이, 슬롯 평면 안테나(slotted plane antenna)(SPA)와 같은 표면파 플라즈마 기술을 사용하여 채용될 수도 있으며, 상기 미국 특허 제5,024,716호의 전체 내용이 여기서 참조용으로 사용되었다.
본 발명의 일 실시예에 따르면, 프로세싱 시스템(1)은, 프로세스 챔버(10)로의 가스들의 교대 도입의 적어도 일부 동안, 플라즈마를 생성시키거나 플라즈마의 생성을 원조하도록(기판 홀더(20)의 바이어싱을 통하여) 구성된 기판 바이어스 생성 시스템을 포함한다. 기판 바이어스 생성 시스템은, 프로세스 챔버(10)에 연결되어, 전력을 기판(25)에 연결시키도록 구성된 기판 전력 소스(54)를 포함할 수 있다. 기판 전력 소스(54)는 RF 생성기와 임피던스 정합 네트워크를 포함할 수도 있고, 전극을 더 포함할 수도 있으며, 이 전극을 통하여 RF 전력이 기판(25)에 연결된다. 이 전극은 기판 홀더(20)에 형성될 수 있다. 예컨대, 기판 홀더(20)는 RF 생성기(미도시)로부터 임피던스 정합 네트워크(미도시)를 통하여 기판 홀더(20)로의 RF 전력의 전송을 통하여 RF 전압에서 전기적으로 바이어스될 수 있다. RF 바 이어스를 위한 통상적인 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있고, 13.56 MHz일 수 있다. 플라즈마 프로세싱을 위한 RF 바이어스 시스템들은 당업자에게 공지되어 있다. 대안적으로, RF 전력은 다중 주파수들에서 기판 홀더 전극에 인가된다. 플라즈마 생성 시스템과 기판 바이어스 시스템이 도 1b에 개별 개체들로서 도시되어 있지만, 이들은 기판 홀더(20)에 연결된 하나 이상의 전력 소스들을 실제로 구비할 수도 있다.
또한, 프로세싱 시스템(1)은, 플라즈마 여기된 가스가 기판(25)에 노출되는 프로세스 챔버(10)로 플라즈마 여기된 가스를 흐르게 하기 전에, 가스(예컨대, 질소 전구체)를 제공하여 원격으로 플라즈마 여기시키기 위한 원격 플라즈마 시스템(56)을 포함한다. 원격 플라즈마 시스템(56)은, 예컨대 마이크로파 주파수 생성기를 포함할 수 있다.
계속 도 1b를 참조하여, 제어기(70)는, 마이크로프로세서, 메모리, 및 프로세싱 시스템(1)으로부터의 출력들을 모니터하는 것은 물론, 프로세싱 시스템(1)으로의 입력들을 전달하고 활성화하는 데 충분한 제어 전압들을 생성할 수 있는 디지털 I/O 포트를 구비할 수 있다. 또한, 제어기(70)는, 프로세스 챔버(10), 기판 홀더(20), 상부 어셈블리(31), 실리콘 전구체 가스 공급 시스템(40), 질소 전구체 가스 공급 시스템(42), 퍼지 가스 공급 시스템(44), 기판 온도 제어 시스템(60), 압력 제어 시스템(32), 기판 전력 소스(54), 원격 플라즈마 시스템(56), 및 제1 전력 소스(52)에 연결되어, 이들과 정보를 교환할 수도 있다. 예컨대, 메모리에 기억된 프로그램은, 증착 프로세스를 수행하기 위하여 프로세스 레시피에 따라 프로세싱 시스템(1)의 상술된 구성 요소들에의 입력들을 활성화시키는 데 이용될 수도 있다. 제어기(70)의 일 예는 미국 텍사스주 오스틴 소재의 델 코포레이션으로부터 이용 가능한 DELL PRECISION WORKSTATION 610TM이다.
그러나, 제어기(70)는, 메모리에 포함된 하나 이상의 명령들의 하나 이상의 시퀀스들을 실행하는 프로세서에 응답하여, 본 발명의 마이크로프로세서 기반 프로세싱 단계들의 일부 또는 전부를 수행하는 범용 컴퓨터 시스템으로서 실행될 수도 있다. 이러한 명령들은, 하드 디스크 또는 착탈 가능한 매체 드라이브와 같은 다른 컴퓨터 판독 가능한 매체로부터 제어기 메모리로 판독될 수도 있다. 멀티-프로세싱 장치에서의 하나 이상의 프로세서들은, 주 메모리에 포함된 명령들의 시퀀스들을 실행하기 위하여 제어기 마이크로프로세서로서도 또한 채용될 수도 있다. 대안적인 실시예들에서, 하드 와이어드(hard-wired) 회로가 소프트웨어 명령들 대신 또는 이들과 조합하여 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정 조합에 한정되지 않는다.
제어기(70)는, 본 발명의 교시에 따라 프로그램된 명령들을 유지하고, 데이터 구조들, 테이블들, 레코드들, 또는 본 발명을 실행하는 데 필수적일 수도 있는 다른 데이터들을 유지하기 위한, 제어기 메모리와 같은 적어도 하나의 컴퓨터 판독 가능한 매체 또는 메모리를 포함한다. 컴퓨터 판독 가능한 매체의 예들은, 컴팩트 디스크들, 하드 디스크들, 플로피 디스크들, 테이프, 광자기(magneto-optical) 디스크들, PROM들(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 컴팩트 디스크들(예컨대, CD-ROM), 또는 임의의 다른 광학 매체, 펀치 카드들, 페이퍼 테이프, 또는 홀들의 패턴들을 갖는 다른 물리적 매체, 반송파(후술됨), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 들 수 있다.
컴퓨터 판독 가능한 매체 중 임의의 하나, 또는 그 조합에 기억된 것은, 제어기(70)를 제어하고, 본 발명을 실행하기 위한 장치 또는 장치들을 구동하고, 및/또는 제어기가 사용자와 상호 동작하게 하기 위한 소프트웨어이다. 이러한 소프트웨어는, 장치 드라이버들, 운영 시스템(OS)들, 개발 도구들, 및 애플리케이션 소프트웨어를 포함할 수도 있으나, 이들에 한정되지 않는다. 이러한 컴퓨터 판독 가능한 매체는, 본 발명의 실행시 수행된 프로세싱의 전체 또는 일부(프로세싱이 분배된다면)를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
컴퓨터 코드 장치들은, 해석 가능한 프로그램들, 다이나믹 링크 라이브러리들(dynamic link libraries)(DLLs), 자바 클래스들, 및 완전 실행 가능한 프로그램들을 포함하는(그러나, 이들에 한정되지 않음) 임의의 해석 가능한 또는 실행 가능한 코드 메카니즘일 수도 있다. 또한, 본 발명의 프로세싱의 일부들은 더 양호한 성능, 신뢰성, 및/또는 비용을 위하여 분산될 수도 있다.
여기서 사용되는 바와 같은 "컴퓨터 판독 가능한 매체"라는 용어는, 실행을 위하여 제어기(70)의 프로세서에 명령들을 제공할 때 참가하는 임의의 매체를 칭한다. 컴퓨터 판독 가능한 매체는, 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하는(그러나, 이들에 한정되지 않음) 많은 형태들을 취할 수도 있다. 비휘발성 매체는, 예컨대 하드 디스크 또는 착탈 가능한 매체 드라이브와 같은, 광, 자기 디 스크들, 및 광자기 디스크들을 포함한다. 휘발성 매체는, 주 메모리와 같은 동적 메모리를 포함한다. 또한, 다양한 형태들의 컴퓨터 판독 가능한 매체는 하나 이상의 명령어들의 하나 이상의 시퀀스들을, 실행을 위하여 제어기의 프로세서에 실행하는 데 관련될 수도 있다. 예컨대, 명령들은 원격 컴퓨터의 자기 디스크 상에 처음 전달될 수도 있다. 원격 컴퓨터는, 본 발명의 전체 또는 일부를 실행하기 위한 명령들을 동적 메모리로 원격으로 로딩하고, 이 명령들을 네트워크를 통하여 제어기(70)로 보낼 수 있다.
제어기(70)는 프로세싱 시스템(1)에 관하여 국부적으로 위치될 수도 있고, 또는 프로세싱 시스템(1)에 관하여 원격으로 위치될 수도 있다. 예컨대, 제어기(70)는, 직접 접속, 인트라넷, 인터넷, 및 무선 접속 중 적어도 하나를 사용하여 프로세싱 시스템(1)과 데이터를 교환할 수도 있다. 제어기(70)는, 예컨대 고객측(즉, 장치 제작자 등)에서 인트라넷에 연결될 수도 있고, 또는 예컨대 판매자측(즉, 기기 제조업체)에서 인트라넷에 연결될 수도 있다. 부가적으로, 예컨대 제어기(70)는 인터넷에 연결될 수도 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)는 예컨대, 직접 접속, 인트라넷, 및 인터넷 중 적어도 하나를 통하여 데이터를 교환하기 위하여 제어기(70)에 액세스할 수도 있다. 또한, 당업자에 의하여 인식될 것인 바와 같이, 제어기(70)는 무선 접속을 통하여 프로세싱 시스템(1)과 데이터를 교환할 수도 있다.
대안적으로, 본 발명의 실시예들에서 설명된 스트레인드 SiN막들을 형성하기 위하여 다중 기판들을 동시에 프로세싱할 수 있는 배치 프로세싱 시스템이 이용될 수도 있다.
도 2a는 본 발명의 실시예에 따른, 프로세스 챔버에서 기판 상에 스트레인드 SiN막을 형성하기 위한 프로세스 흐름도이다. 도 2a의 프로세스는, 예컨대 도 1a에 도시된 것과 같은 CMOS 구조를 형성하기 위하여 수행될 수도 있고, 예컨대 도 1b의 프로세싱 시스템(1)과 같은 프로세싱 시스템에서 수행될 수도 있다. 도 2a에 도시된 바와 같이, 본 프로세스는 실리콘 전구체를 함유하는 가스에 기판을 노출시키는 단계 202에서 시작한다. 실리콘 전구체는, 예컨대 실란, 디실란, 모노클로로실란, 디클로로실란, 트리클로로실란, 헥사클로로디실란, 디에틸실란, 및 알킬아미노실란 화합물들을 포함할 수 있다. 알킬아미노실란 화합물들의 예들은, 디-이소프로필아미노실란(H3Si(NiPr2)), 비스(디에틸아미노)실란(H2Si(NEt2)2), 비스(디이소프로필아미노)실란(H2Si(NiPr2)2), 트리스(이소프로필아미노)실란(HSi(NiPr2)3), 비스(tert-부틸아미노)실란((tBu(H)N)2SiH2), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 및 트리스(디메틸하이드라지노)실란(HSi(N(H)NMe2)3)을 포함하나, 이들에 한정되지 않는다. 실리콘 전구체의 가스 펄스는 Ar과 같은 불활성 가스를 더 함유할 수 있다.
단계 204에서, 기판은, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하 여 활성화되고, 실리콘 전구체와 제1 반응성 특징으로 반응하도록 구성된 질소 전구체를 함유하는 가스에 노출된다. 일 실시예에서, 제1 레벨의 플라즈마 전력은 플라즈마 활성화 전력(예컨대, OW)보다 작고, 따라서 플라즈마가 활성화되지 않는다. 질소 전구체의 플라즈마 활성화는 기판 상에 형성된 SiN막의 특성, 예컨대 SiN막의 증착률과 밀도에 영향을 미친다. 플라즈마 활성화된 질소 전구체는, 챔버의 프로세싱 공간 내의 실리콘 전구체와 반응할 수도 있고, 또는 기판의 표면 상에 흡착된 실리콘 전구체와 반응할 수도 있고, 또는 양쪽 모두로 반응할 수도 있다. 질소 전구체는, 예컨대 N2, NH3, N2H4, 및 C1 - C10 알킬하이드라진 화합물들로부터 선택될 수 있다. 가스는 Ar과 같은 불활성 가스를 더 함유할 수 있다.
단계 206에서, 기판은, 제2 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스에 노출되어, 제1 반응성 특징과는 상이한 제2 반응성 특징으로 실리콘 전구체와 반응한다.
질소 전구체(또는 다른 전구체들)의 플라즈마 활성화는 금속 전구체에 대한 반응성에 영향을 미치는 질소 전구체의 전자 여기 및/또는 이온화를 일으킬 수 있다. 또한, 플라즈마 활성화는 질소 전구체의 적어도 부분적인 해리를 일으킬 수 있고, 따라서 금속 전구체에 대한 상이한 반응성으로 변형된 질소 전구체를 생성한다. 플라즈마 전력의 레벨 및 플라즈마 밀도의 증가는, 예컨대 일반적으로 전기적으로 여기되고, 이온화되고, 적어도 부분적으로 해리된 질소 전구체의 양을 증가시킬 것이다. 또한, 증가된 플라즈마 전력의 레벨 및 플라즈마 밀도는 플라즈마 환 경에서 추가적으로 대전된 종들(예컨대, 아르곤 이온들, 전자들, 또는 양쪽 모두)의 농도를 변화시키는 데 사용될 수도 있다. 이들 추가적으로 대전된 종들은 증착 동안 금속 질화막과 기판과 상호 작용할 수도 있어, 금속 질화막의 두께의 적어도 일부의 반응성 특징 및 특성(예컨대, 밀도, 스트레인)에 영향을 미친다.
도 2a에 도시된 프로세스(200)의 단계들은, 원하는 두께를 갖는 스트레인드 SiN막이 기판 상에 증착될 때 까지 미리 결정된 시간동안 계속되거나, 미리 결정된 횟수로 반복될 수 있다. 또한, 프로세스(200)의 단계들(202, 204 및 206)의 시퀀스는 본 발명의 실시예들에 따라 광범위하게 변할 수 있다. 예컨대, 실리콘 전구체와 플라즈마 활성화된 질소 전구체는 시간적 오버랩을 갖지 않는 구별되는 가스 펄스들로서 프로세스 챔버에 제공될 수 있으며, 여기서 플라즈마 전력의 레벨은 이 구별되는 가스 펄스들에 대하여 변한다. 대안적으로, 실리콘 전구체와 질소 전구체는, 단지 플라즈마 전력의 레벨을 변화시키는 동안, 연속하여 흐를 수도 있다. 이들 방법들 중 일부 조합이 또한 사용될 수도 있다. 예컨대, 질소 전구체와 플라즈마가 상이한 레벨들의 플라즈마 전력에서 펄스화되는 동안, 실리콘 전구체가 챔버에 연속적으로 제공될 수 있고, 또는 실리콘과 질소 전구체 모두가 연속적으로 제공될 수 있고, 플라즈마가 상이한 레벨들의 플라즈마 전력에서 펄스화된다. 임의의 당업자가 이해할 것인 바와 같이, 다양한 조합들이 가능하고, 본 발명의 실시예들은 여기 도 2a 내지 도 2e에 설명된 특정 예들로 한정되지 않는다.
따라서, 본 발명자들은, 실리콘 전구체에 대한 상이한 반응성 특징들을 갖는 질소 전구체에 기판을 노출시키는 것이 SiN층에 스트레인을 발생시킬 수 있다는 것 을 발견하였다. 따라서, 종래의 후처리법에 의해서가 아니라, SiN층이 증착될 때, 스트레인드 SiN층이 형성될 수 있다. 따라서, 본 발명의 실시예들은 스트레인드 SiN막을 형성하는 데 필요한 생산 시간과 기기를 감소시킬 수도 있다. 또한, SiN막의 형성 동안 제공된 스트레인은 후처리법들의 것보다 양호하게 제어될 수도 있다. 예컨대, SiN막 전체에 걸쳐(표면 영역만에서가 아닌) 미리 결정된 스트레인 구배는 스트레인드 SiN막을 형성하기 위한 특정 프로세스 레시피에 의하여 제공될 수 있다. 특히, 플라즈마 활성화의 형태와 활성화를 위하여 사용되는 플라즈마 전력의 레벨과 노출 시간과 같은 프로세싱 조건들은 SiN막에 미리 결정된 스트레인을 제공하기 위하여 설정될 수 있다.
또한, 본 발명의 실시예들은, 이하에 논의될 것인 바와 같은, PEALD, PECVD, 또는 펄스 PECVD 프로세스들을 사용하여 스트레인드 SiN막의 형성을 허용한다. 이 점에 있어서, SiN층의 형성 동안 스트레인의 제공으로부터 야기되는 생산 시간의 감소는, 종래의 CVD와 후처리 프로세스들보다 감소된 시간으로 스트레인드 SiN막이 ALD 프로세스에 의하여 형성되도록 허용할 수도 있다. 또한, 본 발명은, 현재 실제로 CVD법들보다 SiN층의 두께와 점착성에서의 보다 양호한 제어도 제공할 수도 있다.
도 2b는 본 발명의 실시예에 따라 스트레인드 SiN막을 형성하기 위한 프로세스 흐름도이다. 프로세스(220)는 PEALD 프로세스이며, 상이한 가스 펄스들 간에 부분적 오버랩을 갖거나 또는 시간적 오버랩을 갖지 않는 실리콘 전구체와 플라즈마 활성화된 질소 전구체의 순차적인 가스 노출들을 포함한다.
프로세스(220)는, 단계 222에서, 기판을, 실리콘 전구체와 선택적으로 Ar과 같은 불활성 가스를 함유하는 가스 펄스에 노출시키는 단계를 포함한다. 단계 224에서, 기판은, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출된다. 단계 226에서, 기판은, 실리콘 전구체를 함유하는 제2 가스 펄스에 노출된다. 단계 228에서, 기판은 제2 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출된다.
도 3a 및 도 3b는, 본 발명의 실시예들에 따른, 프로세스 챔버에 연결된 상이한 레벨들의 플라즈마 전력을 도시하는 전력 그래프들을 도시한다. 도 3a의 예시적인 전력 곡선(310)에 의하여 도시된 바와 같이, 플라즈마 전력의 레벨은 복수의 구별되는 레벨들(320, 330, 및 340)로 프로세스 챔버에 인가될 수도 있다. 일 예에서, 전력 레벨(320)은 플라즈마 형성에 대한 하한이거나 그 미만일 수도 있고, 전력 레벨(340)은 플라즈마 전력의 원하는 최대 레벨에 대응할 수도 있다. 플라즈마 전력의 원하는 최대 레벨은, 바람직하게는 기판 상에 임의의 증착된 막들을 포함하는 기판을 붕괴시키거나 손상을 입히도록 결정된 레벨보다 더 높지 않다. 도 3b에 도시된 바와 같이, 플라즈마 전력의 레벨은 전력 곡선(350)에 의하여 나타낸 연속적으로 변하는 방식으로 프로세스 챔버에 인가될 수도 있다.
당업자에 의하여 이해될 것인 바와 같이, 도 3a 및 도 3b의 전력 곡선들은 예시적인 것이며, 변하는 플라즈마 전력의 레벨은 프로세스에 의하여 증착될 막의 조성과 특징에 따를 수도 있다. 예컨대, 도 3a의 플라즈마 전력은 플라즈마 전력 의 3개보다 많은 구별적인 레벨들을 포함할 수 있고, 도 3b의 플라즈마 전력은 비선형 방식으로 변할 수도 있다. 또한, 플라즈마 전력의 레벨을 변화시키기 위하여 계단형과 경사형 전력의 조합이 사용될 수 있다. 또한, 전력은, 온오프되는 경우, 불연속 펄스들로 제공될 수도 있다. 또한, 본 발명의 실시예에 따라 향상된 증착 속도들에서 불순물들이 감소된 막의 증착을 가능하게 하는 플라즈마 전력의 적합한 높은 레벨들은, 직접 실험법 및/또는 실험 계획법(design of experiments)(DOE)에 의하여 결정될 수 있다. 기판 온도, 프로세스 압력, 프로세스 가스의 유형, 및 관련된 가스 흐름들과 같은 다른 조정 가능한 프로세스 파라미터들 또한 직접 실험법 및/또는 DOE에 의하여 결정될 수 있다.
다시 도 2b로 돌아가서, 단계 222 내지 단계 228은, 원하는 두께를 갖는 스트레인드 SiN막이 기판에 증착될 때 까지, 프로세스 흐름 234로 도시된 바와 같이 미리 결정된 횟수로 반복될 수도 있다. 프로세스 챔버는 각 단계들 222, 224, 226 및 228 후에, 불활성 가스로 씻어 내거나(purge), 배기되거나(evacuate), 또는 씻어 내고 배기될 수도 있다.
일 실시예에 따르면, 단계들 222 및 224는, 단계들 226 및 228을 수행하기 전에, 프로세스 흐름 230으로 도시된 바와 같이 제1 횟수로 순차적으로 수행될 수도 있다.
다른 실시예에 따르면, 단계들 226 및 228은, 프로세스 흐름 234에서 단계들 222 및 224를 반복하기 전에, 프로세스 흐름 232로 도시된 바와 같이 제2 횟수로 순차적으로 수행될 수도 있다.
또다른 실시예에 따르면, 단계들 222 및 224는 프로세스 흐름 230으로 도시된 바와 같이 단계들 226 및 228을 수행하기 전에, 제1 횟수로 순차적으로 수행될 수도 있고, 단계들 226 및 228은 프로세스 흐름 234에서 단계들 222 및 224를 반복하기 전에, 프로세스 흐름 232로 도시된 바와 같이 제2 횟수로 순차적으로 수행될 수도 있다. 이 점에 있어서, "제1 횟수"와 "제2 횟수"라는 용어들은 쉬운 이해를 위하여 상이한 용어들을 제공하기 위하여 사용된다는 것이 이해되어야 한다. 그러나, 제1 및 제2 횟수는 동일하거나 상이한 수일 수 있다.
일 실시예에 따르면, 프로세스 흐름 234가 수행될 때마다 제1 횟수는 단조 감소할 수도 있고, 제2 횟수는 단조 증가할 수도 있다. 일 예에서, 단계 222에서, 기판은 실리콘 전구체를 함유하는 가스 펄스에 노출되고, 단계 224에서, 기판은 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출되고, 단계 222 및 단계 224는 프로세스 흐름 230을 사용하여 2회 반복된다. 그 후, 단계 226에서, 기판은 실리콘 전구체를 함유하는 가스 펄스에 노출되고, 단계 228에서, 기판은, 제2 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출된다. 다음, 단계 222에서, 기판이 실리콘 전구체를 함유하는 가스 펄스에 노출되고, 단계 224에서, 기판은, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출되고, 단계 222 및 단계 224가 프로세스 흐름 230을 사용하여 1회 반복된다. 그 후, 단계 226에서, 기판은 실리콘 전구체를 함유하는 가스 펄스에 노출되고, 단계 228에서, 기판은, 제2 레벨의 플라즈마 전력에 서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출되고, 단계 226 및 단계 228은 프로세스 흐름 232을 사용하여 1회 반복된다. 다음, 단계 222에서, 기판은 실리콘 전구체를 함유하는 가스 펄스에 노출되고, 단계 224에서, 기판은, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출된다. 그 후, 단계 226에서, 기판은 실리콘 전구체를 함유하는 가스 펄스에 노출되고, 단계 228에서, 기판은, 제2 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출되고, 단계 226 및 단계 228이 프로세스 흐름 232를 사용하여 2회 반복된다. 이 예에서, 스트레인드 SiN막의 증착 중에 제1 횟수는 3에서 2로 다시 1로 감소되며, 제2 횟수는 1에서 2로 다시 3으로 증가된다.
계속 도 2b를 참조하여, 본 발명의 일 실시예에 따르면, 단계 224에서의 가스 펄스는 질소 전구체와의 제1 비를 갖는 희석 가스를 더 포함할 수도 있고, 단계 228에서의 가스 펄스는 질소 전구체와의 제2 비를 갖는 희석 가스를 더 포함할 수도 있고, 여기서 제2 비는 제1 비와는 상이하다. 질소 전구체에의 희석 가스의 첨가는 프로세스 챔버 내의 플라즈마 밀도에 영향을 미칠 수 있고, 따라서 활성화된 질소 전구체의 양은 실리콘 전구체와 상호 작용하는 데 사용 가능하다. 희석 가스는 He, Ar, Ne, Kr, Xe, 또는 H2, 또는 그 2 이상의 조합에서 선택될 수도 있다. 제1 및 제2 비들은, 예컨대 D/N 또는 D/(D+N)로서 정의될 수도 있고, 여기서 D 및 N은 각각 희석 가스와 질소 전구체의 양들을 칭한다. 일 실시예에 따르면, 제1 및 /또는 제2 비들은, 실질적으로 순수한 질소 전구체에 대응하는 비에서, 희석 가스와 질소 전구체의 조합에 대응하는 다른 비로, 실질적으로 순수한 희석 가스에 대응하는 또다른 비로 변할 수도 있다. 일 예에서, D/(D+N) 비는 SiN막의 증착 동안, 예컨대 0, 0.05, 0.10, ..., 0.90, 0.95, 및 1.0으로서 단조 증가할 수도 있다.
도 2c는 본 발명의 다른 실시예에 따라 스트레인드 SiN막을 형성하기 위한 프로세스 흐름도이다. 프로세스 240은, 단계 242에서 플라즈마 전력의 레벨을 선택하는 단계를 포함한다. 플라즈마 전력의 레벨은, 플라즈마 형성에 대한 하한 이하인 제1 레벨에서, 플라즈마 전력의 원하는 최대 레벨에 대응하는 플라즈마 전력의 제2 레벨까지의 범위일 수 있다. 따라서, 플라즈마 전력의 제1 레벨은 0W의 플라즈마 전력일 수 있다. 단계 244에서, 기판은 실리콘 전구체를 함유하는 가스 펄스에 노출되고, 단계 246에서, 기판은, 플라즈마 전력의 선택된 레벨에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출된다. 단계 248에서, 플라즈마 전력의 레벨이 조정되고, 프로세스 흐름 250으로 도시된 바와 같이 단계 244가 반복된다. 일 실시예에 따르면, 플라즈마 전력의 레벨은 SiN막의 증착 동안 단조 증가 또는 감소할 수 있다. 프로세스 240은, 단계 244 및 246에서 가스 펄스들의 적어도 부분적인 시간적 오버랩을 갖는 실리콘 전구체와 플라즈마 활성화된 질소 전구체의 가스 노출들의 중단을 포함하는 펄스 PECVD 프로세스로서 수행될 수도 있다. 대안적으로, 프로세스 240은 단계 244와 단계 246에서 가스 펄스들의 오버랩이 없는 PEALD 프로세스로서 수행될 수도 있다.
도 2c를 계속 참조하여, 본 발명의 일 실시예에 따르면, 단계 246의 가스 펄스는 질소 전구체와의 제1 비를 갖는 희석 가스를 더 포함할 수도 있고, 단계 248은 제1 비에서 이 제1 비와는 상이한 제2 비까지 희석 가스량을 조정하는 단계를 더 포함할 수도 있다.
도 2d는 본 발명의 다른 실시예에 따라 스트레인드 SiN막을 형성하기 위한 프로세스 흐름도이다. 프로세스(260)는, 단계 262에서, 기판을, 실리콘 전구체와, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출시키는 단계를 포함한다. 단계 264에서, 기판은, 실리콘 전구체와, 제1 레벨의 플라즈마 전력과는 상이한 제2 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출된다. 본 발명의 일 실시예에 따르면, 단계 262의 가스 펄스는 질소 전구체와의 제1 비를 갖는 희석 가스를 더 포함할 수도 있고, 단계 264의 가스 펄스는 질소 전구체와의 제2 비를 갖는 희석 가스를 더 포함할 수도 있고, 여기서 제2 비는 제1 비와는 상이하다.
도 2e는 본 발명의 다른 실시예에 따라 스트레인드 SiN막을 형성하기 위한 프로세스 흐름도이다. 프로세스 280은, 단계 282에서, 기판을, 실리콘 전구체와, 노출 동안 변하는 플라즈마 전력의 레벨에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스에 노출하는 단계를 포함한다. 일 예에서, 플라즈마 전력의 레벨은 SiN막의 증착 동안 단조 증가 또는 감소할 수 있다. 본 발명의 일 실시예에 따르면, 단계 282의 가스 펄스는 질소 전구체와의 비를 갖는 희석 가스를 더 포함할 수도 있고, 여기서 이 비는 노출 동안 변한다. 일 예에서, 이 비는 SiN막의 증착 동안 단조 증가 또는 감소할 수 있다.
본 발명은 그 몇몇 실시예들의 기술로 설명되었고, 그 실시예들이 상당히 상세하게 설명되었으나, 이들은 이러한 상세한 사항으로 첨부된 청구항들의 범위를 제한하거나, 어떤 식으로든 한정하고자 함이 아니다. 부가적인 이점들 및 변형들이 당업자들에게 용이하게 가능할 것이다. 따라서, 광범위한 태양에서의 본 발명은, 도시되고 설명된 특정 상세한 사항, 대표적인 시스템들 및 방법, 및 설명된 예들에 한정되지 않는다. 예컨대, 본 발명의 실시예들은, 동일한 질소 전구체와 동일한 실리콘 전구체에 관하여 상이한 반응성 특징들을 제공하기 위하여 플라즈마 전력을 변화시키는 것에 관하여 설명하였지만, 본 발명은 이러한 설명에 한정되지 않는다. 특히, 플라즈마 전력이 변하는 특징은, 스트레인드 SiN막을 증착시키기 위하여, 질소 전구체를 변화시키는 것 및/또는 실리콘 전구체 형태를 변화시키는 것과 조합하여 사용될 수도 있다. 따라서, 일반적인 발명의 개념의 범위를 벗어나지 않고 이러한 상세한 사항에 대한 변형이 이루어질 수도 있다.

Claims (27)

  1. 프로세스 챔버에서 기판 상에 스트레인드(strained) SiN막을 증착하는 방법으로서,
    상기 기판을 실리콘 전구체를 함유하는 가스에 노출시키는 단계;
    상기 기판을, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화되며, 상기 실리콘 전구체와 제1 반응성 특징으로 반응하도록 구성된 질소 전구체를 함유하는 가스에 노출시키는 단계; 및
    상기 기판 상에 형성된 상기 SiN막의 특성이 변하여 상기 스트레인드 SiN막을 제공하도록, 상기 기판을, 상기 제1 레벨과는 상이한 제2 레벨의 플라즈마 전력에서 상기 플라즈마 소스에 의하여 활성화되며, 상기 실리콘 전구체와 제2 반응성 특징으로 반응하도록 구성된 질소 전구체를 함유하는 가스에 노출시키는 단계
    를 포함하는 스트레인드 SiN막의 증착 방법.
  2. 제 1 항에 있어서,
    a) 상기 기판을 상기 실리콘 전구체를 함유하는 가스 펄스에 노출시키는 단계;
    b) 상기 기판을, 상기 제1 레벨의 플라즈마 전력에서 상기 플라즈마 소스에 의하여 활성화된 상기 질소 전구체를 함유하는 가스 펄스에 노출시키는 단계;
    c) 상기 기판을 상기 실리콘 전구체를 함유하는 가스 펄스에 노출시키는 단 계;
    d) 상기 기판을, 상기 제2 레벨의 플라즈마 전력에서 상기 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스 펄스에 노출시키는 단계; 및
    e) 상기 단계들 a) - d)를 미리 결정된 횟수로 반복하는 단계
    를 포함하는 스트레인드 SiN막의 증착 방법.
  3. 제 2 항에 있어서,
    상기 단계 c) 및 상기 단계 d)를 수행하기 전에, 상기 단계 a) 및 상기 단계 b)를 제1 횟수로 순차적으로 수행하는 단계를 더 포함하는 스트레인드 SiN막의 증착 방법.
  4. 제 2 항에 있어서, 상기 단계 e)에서 상기 단계 a) 및 상기 단계 b)를 반복하기 전에, 상기 단계 c) 및 상기 단계 d)를 제2 횟수로 순차적으로 수행하는 단계를 더 포함하는 스트레인드 SiN막의 증착 방법.
  5. 제 2 항에 있어서,
    상기 단계 c) 및 상기 단계 d)를 수행하기 전에, 상기 단계 a) 및 상기 단계 b)를 제1 횟수로 순차적으로 수행하는 단계, 및 상기 단계 e)에서 상기 단계 a) 및 상기 단계 b)를 반복하기 전에, 상기 단계 c) 및 상기 단계 d)를 제2 횟수로 순차적으로 수행하는 단계를 더 포함하는 스트레인드 SiN막의 증착 방법.
  6. 제 5 항에 있어서,
    상기 단계 e)는, 상기 스트레인드 SiN막의 증착 동안, 상기 제1 횟수 또는 상기 제2 횟수, 또는 상기 제1 횟수와 상기 제2 횟수 모두를 변화시키는 단계를 더 포함하는 것인 스트레인드 SiN막의 증착 방법.
  7. 제 2 항에 있어서,
    상기 스트레인드 SiN막의 증착 동안, 상기 제1 레벨의 플라즈마 전력 또는 상기 제2 레벨의 플라즈마 전력, 또는 상기 제1 레벨의 플라즈마 전력과 상기 제2 레벨의 플라즈마 전력 모두가 변하는 것인 스트레인드 SiN막의 증착 방법.
  8. 제 7 항에 있어서,
    상기 제1 레벨의 플라즈마 전력 또는 상기 제2 레벨의 플라즈마 전력, 또는 상기 제1 레벨의 플라즈마 전력과 상기 제2 레벨의 플라즈마 전력 모두는, 플라즈마 형성을 위한 하한 이하의 낮은 레벨의 플라즈마 전력과, 플라즈마 전력의 원하는 최대 레벨에 대응하는 높은 레벨의 플라즈마 전력 사이에 있는 것인 스트레인드 SiN막의 증착 방법.
  9. 제 1 항에 있어서,
    상기 실리콘 전구체는, 실란, 디실란, 모노클로로실란, 디클로로실란, 트리 클로로실란, 헥사클로로디실란, 디에틸실란, 또는 알킬아미노실란, 또는 그 2 이상의 조합을 포함하는 것인 스트레인드 SiN막의 증착 방법.
  10. 제 9 항에 있어서,
    상기 알킬아미노실란은, 디-이소프로필아미노실란(H3Si(NiPr2)), 비스(디에틸아미노)실란(H2Si(NEt2)2), 비스(디이소프로필아미노)실란(H2Si(NiPr2)2), 트리스(이소프로필아미노)실란(HSi(NiPr2)3), 비스(tert-부틸아미노)실란((tBu(H)N)2SiH2), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 또는 트리스(디메틸하이드라지노)실란(HSi(N(H)NMe2)3), 또는 그 2이상의 조합을 포함하는 것인 스트레인드 SiN막의 증착 방법.
  11. 제 1 항에 있어서,
    상기 질소 전구체는, N2, NH3, N2H4, 및 C1 - C10 알킬 하이드라진 화합물들에서 선택되는 것인 스트레인드 SiN막의 증착 방법.
  12. 제 2 항에 있어서,
    상기 각 단계들 a) - d) 후에, 상기 프로세스 챔버를 씻어 내는 단계(purging), 또는 배기하는 단계(evacuating), 또는 씻어 내는 단계와 배기하는 단계 모두를 더 포함하는 스트레인드 SiN막의 증착 방법.
  13. 제 2 항에 있어서,
    상기 단계 a) 및 상기 단계 b)는 적어도 부분적인 시간적 오버랩을 갖는 것인 스트레인드 SiN막의 증착 방법.
  14. 제 2 항에 있어서,
    상기 단계 c) 및 상기 단계 d)는 적어도 부분적인 시간적 오버랩을 갖는 것인 스트레인드 SiN막의 증착 방법.
  15. 제 2 항에 있어서,
    상기 단계 a) 및 상기 단계 b)는 적어도 부분적인 시간적 오버랩을 가지고, 상기 단계 c) 및 상기 단계 d)는 적어도 부분적인 시간적 오버랩을 갖는 것인 스트레인드 SiN막의 증착 방법.
  16. 제 1 항에 있어서,
    상기 기판을, 제1 레벨의 플라즈마 전력에서 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스에 노출시키는 상기 단계는, 상기 질소 전구체와의 제1 희석 비를 갖는 희석 가스를 제공하는 단계를 더 포함하고, 상기 기판을, 제2 레벨의 플라즈마 전력에서 상기 플라즈마 소스에 의하여 활성화된 질소 전구체를 함유하는 가스에 노출시키는 상기 단계는, 상기 제1 희석 비와는 상이한, 상기 질소 전구체와의 제2 희석 비를 갖는 희석 가스를 제공하는 단계를 더 포함하는 것인 스트레인드 SiN막의 증착 방법.
  17. 제 16 항에 있어서,
    상기 희석 가스는, He, Ar, Ne, Kr, Xe, 또는 H2, 또는 그 2 이상의 조합에서 선택되는 것인 스트레인드 SiN막의 증착 방법.
  18. 프로세스 챔버에서 기판 상에 스트레인드 SiN막을 증착하는 방법으로서,
    상기 기판을, 실리콘 전구체와, 플라즈마 전력의 레벨에서 활성화된 질소 전구체를 함유하는 가스 펄스에 노출시키는 단계
    를 포함하며, 상기 플라즈마 전력의 레벨은 상기 노출 동안 변하는 것인 스트레인드 SiN막의 증착 방법.
  19. 제 18 항에 있어서,
    상기 플라즈마 전력의 레벨은, 플라즈마 형성에 대한 하한 이하인 제1 레벨의 플라즈마 전력과, 플라즈마 전력의 원하는 최대 레벨에 대응하는 제2 레벨의 플 라즈마 전력 사이에서 변하는 것인 스트레인드 SiN막의 증착 방법.
  20. 제 18 항에 있어서,
    상기 플라즈마 전력의 레벨은 상기 SiN막의 증착 동안 단조롭게(monotonically) 변하는 것인 스트레인드 SiN막의 증착 방법.
  21. 제 18 항에 있어서,
    상기 질소 전구체는, N2, NH3, N2H4, 및 C1 - C10 알킬 하이드라진 화합물들에서 선택되는 것인 스트레인드 SiN막의 증착 방법.
  22. 제 18 항에 있어서,
    상기 실리콘 전구체는, 실란, 디실란, 모노클로로실란, 디클로로실란, 트리클로로실란, 헥사클로로디실란, 디에틸실란, 또는 알킬아미노실란, 또는 그 2 이상의 조합을 포함하는 것인 스트레인드 SiN막의 증착 방법.
  23. 제 22 항에 있어서,
    상기 알킬아미노실란은, 디-이소프로필아미노실란(H3Si(NiPr2)), 비스(디에틸아미노)실란(H2Si(NEt2)2), 비스(디이소프로필아미노)실란(H2Si(NiPr2)2), 트리스(이소프로필아미노)실란(HSi(NiPr2)3), 비스(tert-부틸아미노)실란((tBu(H)N)2SiH2), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 및 트리스(디메틸하이드라지노)실란(HSi(N(H)NMe2)3), 또는 그 2 이상의 조합을 포함하는 것인 스트레인드 SiN막의 증착 방법.
  24. 제 18 항에 있어서, 상기 가스 펄스는, He, Ar, Ne, Kr, Xe, 또는 H2, 또는 그 2 이상의 조합에서 선택된 희석 가스를 더 포함하는 것인 스트레인드 SiN막의 증착 방법.
  25. 제 21 항에 있어서,
    상기 희석 가스와 상기 질소 전구체와의 비는 상기 노출 동안 변하는 것인 스트레인드 SiN막의 증착 방법.
  26. 제 1 항에 따라 증착된 스트레인드 SiN막을 포함하는 반도체 장치.
  27. 제 18 항에 따라 증착된 스트레인드 SiN막을 포함하는 반도체 장치.
KR1020097022779A 2007-03-30 2008-02-27 스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치 KR101350544B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/730,288 2007-03-30
US11/730,288 US7651961B2 (en) 2007-03-30 2007-03-30 Method for forming strained silicon nitride films and a device containing such films
PCT/US2008/055056 WO2008121463A1 (en) 2007-03-30 2008-02-27 Method for forming strained silicon nitride films and a device containing such films

Publications (2)

Publication Number Publication Date
KR20090126318A true KR20090126318A (ko) 2009-12-08
KR101350544B1 KR101350544B1 (ko) 2014-01-10

Family

ID=39795208

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097022779A KR101350544B1 (ko) 2007-03-30 2008-02-27 스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치

Country Status (4)

Country Link
US (1) US7651961B2 (ko)
JP (1) JP5509472B2 (ko)
KR (1) KR101350544B1 (ko)
WO (1) WO2008121463A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101378478B1 (ko) * 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR20210129627A (ko) * 2013-05-31 2021-10-28 노벨러스 시스템즈, 인코포레이티드 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (425)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8142862B2 (en) * 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP2012038965A (ja) * 2010-08-09 2012-02-23 Lapis Semiconductor Co Ltd 半導体装置及びその製造方法
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9447287B2 (en) * 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
WO2013004439A1 (de) * 2011-07-01 2013-01-10 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
JP6124477B2 (ja) * 2013-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US20140346648A1 (en) * 2013-05-23 2014-11-27 Globalfoundries Inc. Low-k nitride film and method of making
US20160247675A1 (en) * 2013-06-18 2016-08-25 Wonik Ips Co., Ltd. Method for manufacturing thin film
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
TWI654336B (zh) * 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
CN103762321B (zh) * 2013-12-31 2017-06-09 中山市贝利斯特包装制品有限公司 一种有机器件薄膜封装方法及装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102202089B1 (ko) * 2015-01-08 2021-01-12 주식회사 원익아이피에스 질화막의 제조방법
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
TWI576918B (zh) * 2014-02-28 2017-04-01 圓益Ips股份有限公司 製造氮化物薄膜的方法及控制該氮化物薄膜的壓應力的方法
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
KR102303983B1 (ko) 2014-09-22 2021-09-23 삼성전자주식회사 반도체 장치 및 그 제조 방법, 및 상기 반도체 장치를 포함하는 반도체 패키지
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102334110B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자 형성방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10522387B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and multi-wafer deposition apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20210158862A (ko) * 2019-05-23 2021-12-31 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 원자층 증착 프로세스
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11329219B2 (en) 2019-08-06 2022-05-10 Samsung Electronics Co., Ltd. Method of manufacturing a magnetoresistive random access memory device
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117052A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 Peald氮化物膜
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20220097974A (ko) * 2019-11-08 2022-07-08 램 리써치 코포레이션 무선 주파수 (rf) 전력 램핑을 사용한 플라즈마 강화된 원자층 증착 (ald)
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0216285B1 (en) * 1985-09-20 1992-05-13 Sumitomo Electric Industries, Ltd. Method of annealing a compound semiconductor substrate
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
US20060029692A1 (en) * 2004-08-06 2006-02-09 Young Kang Supplementary food for health using kimchi as principal raw material and method producing the same
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US20070030568A1 (en) * 2005-07-26 2007-02-08 Tohoku University Future Vision Inc. High-reflectance visible-light reflector member, liquid-crystal display backlight unit employing the same, and manufacture of the high-reflectance visible-light reflector member
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
DE102006019881B4 (de) * 2006-04-28 2017-04-06 Advanced Micro Devices, Inc. Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US9018104B2 (en) 2010-04-09 2015-04-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US9217199B2 (en) 2010-04-09 2015-12-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US9334567B2 (en) 2010-04-09 2016-05-10 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
KR101378478B1 (ko) * 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR20210129627A (ko) * 2013-05-31 2021-10-28 노벨러스 시스템즈, 인코포레이티드 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Also Published As

Publication number Publication date
JP2010530127A (ja) 2010-09-02
WO2008121463A1 (en) 2008-10-09
KR101350544B1 (ko) 2014-01-10
US20080242116A1 (en) 2008-10-02
JP5509472B2 (ja) 2014-06-04
US7651961B2 (en) 2010-01-26

Similar Documents

Publication Publication Date Title
KR101350544B1 (ko) 스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치
US7939455B2 (en) Method for forming strained silicon nitride films and a device containing such films
JP2010530127A5 (ko)
JP5219815B2 (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
KR101379089B1 (ko) 금속 하드 마스크 제조
US7300891B2 (en) Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US7531452B2 (en) Strained metal silicon nitride films and method of forming
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
KR101639464B1 (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
US7776733B2 (en) Method for depositing titanium nitride films for semiconductor manufacturing
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
US7494937B2 (en) Strained metal silicon nitride films and method of forming
KR20080002908A (ko) 고유전율의 유전체층 형성 방법 및 시스템
KR102538510B1 (ko) 얇은 산화하프늄 막들 중의 도펀트 농도의 튜닝성
US8119540B2 (en) Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7265066B2 (en) Method and system for increasing tensile stress in a thin film using collimated electromagnetic radiation
US7807586B2 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8003503B1 (en) Method of integrating stress into a gate stack
US7713868B2 (en) Strained metal nitride films and method of forming
CN113330141B (zh) 沉积氮化硅的方法
TW202247469A (zh) 以偶極膜工程化的mosfet閘極
US20080274624A1 (en) Method for depositing titanium nitride films for semiconductor manufacturing
US8178446B2 (en) Strained metal nitride films and method of forming
TW202236388A (zh) 使用電漿調諧金屬氮化物膜性質的方法
US9330901B2 (en) Nitrogen-containing oxide film and method of forming the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 7