KR20080015364A - 표면 프로세싱 장치들 - Google Patents

표면 프로세싱 장치들 Download PDF

Info

Publication number
KR20080015364A
KR20080015364A KR1020070080229A KR20070080229A KR20080015364A KR 20080015364 A KR20080015364 A KR 20080015364A KR 1020070080229 A KR1020070080229 A KR 1020070080229A KR 20070080229 A KR20070080229 A KR 20070080229A KR 20080015364 A KR20080015364 A KR 20080015364A
Authority
KR
South Korea
Prior art keywords
transmission plate
plasma
processing apparatus
surface processing
apertures
Prior art date
Application number
KR1020070080229A
Other languages
English (en)
Other versions
KR101410515B1 (ko
Inventor
오웨인 페레두르 토마스
앤드류 존 바실리오스 그리피스
마이클 조셉 쿡
Original Assignee
옥스퍼드 인스트루먼츠 플라즈마 테크놀로지 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 옥스퍼드 인스트루먼츠 플라즈마 테크놀로지 리미티드 filed Critical 옥스퍼드 인스트루먼츠 플라즈마 테크놀로지 리미티드
Publication of KR20080015364A publication Critical patent/KR20080015364A/ko
Application granted granted Critical
Publication of KR101410515B1 publication Critical patent/KR101410515B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판의 표면 프로세싱에서 사용되는 표면 프로세싱 장치가 제공된다. 표면 프로세싱 장치는 플라즈마 소스와 그리고 기판이 그 내에 장착되어 사용되는 프로세싱 챔버를 포함한다. 프로세싱 챔버는 플라즈마 소스에 효과적으로 연결되고 표면 프로세싱 장치는 플라즈마 소스와 프로세싱 챔버 사이에 사용되는 플라즈마의 트랜스미션을 위한 트랜스미션 플레이트에 의해 특징되어 진다. 트랜스미션 플레이트는 하나 또는 그 이상의 어퍼쳐들의 물리적 형상 및/또는 하나 또는 그 이상의 어퍼쳐들의 분포가 기판의 표면 상에 소정의 프로세싱 패턴을 제공하도록 조절되는 하나 또는 그 이상의 어퍼쳐들을 포함한다. 일반적으로 어퍼쳐들의 설계는 웨이퍼 기판에 걸쳐 실질적으로 균일한 증착 속도를 제공하도록 조정된다.
표면 프로세싱, 플라즈마 소스, 프로세싱 챔버, 트랜스미션 플레이트, 어퍼쳐

Description

표면 프로세싱 장치들{Surface processing apparatus}
본 발명은 기판의 표면 프로세싱을 위한 장치들에 관련되고, 특히 화학적 기상 증착 또는 식각을 돕는 고밀도 플라즈마를 활용하는 장치들에 관련된다.
화학 기상 증착(CVD) 및 플라즈마 식각은 반도체 및 집적 회로 산업에서 잘 알려진 프로세싱 방법들이다. 일반적인 CVD 공정에서 반도체 웨이퍼는 한정된 반응 챔버 내에 위치되고 상기 웨이퍼의 표면은 다양한 화학 물질들에 노출되는데, 여기에서 상기 화학 물질들은 가스 형태로 또는 캐리어 가스 내에 함유되어 반응 챔버 내로 주입된다. 화학 물질들은 전형적으로 하나 또는 그 이상의 휘발성 전구체들을 포함하는데, 상기 휘발성 전구체들은 반도체 웨이퍼의 표면을 바꾸고 연관된 물질들의 화학적 성질에 의존하여 필요한 프로세싱을 제공하기 위하여 웨이퍼 기판과 결합하거나 및/또는 웨이퍼 기판 상에서 분해된다. 많은 공정들에서 휘발성의 기상 부산물들이 또한 생성되는데, 상기 부산물들은 반응 챔버를 지나는 기체 플로우를 사용하여 제거된다. 표면 반응들은 새로운 물질들을 부가하거나 또는 존재하는 표면을 식각할 수 있다. 통상적인 프로세싱 공정들은 소자 구성부품들, 전기적 연결들, 유전체들, 전하 장벽들 및 다른 통상적인 회로 요소들을 형성하기 위하여 웨이 퍼 기판 상에 물질층들을 증착하고 웨이퍼 기판에서 물질층들을 식각하는 것을 포함한다.
최근에는, 표면 공정의 수준 및/또는 프로세싱 속도를 향상시키기 위하여 플라즈마 강화(enhancement)가 CVD 시스템들에 결합되고 있다. 이러한 플라즈마-강화 CVD(PECVD) 시스템들은 일반적으로 하나 또는 그 이상의 화학적 전구체들의 반응도(reactivity)를 증가시키기 위하여 기상의 화학물질들의 분리 및 이온화에 의해 작용한다. 통상적인 CVD 시스템들과 비교하여 볼 때 플라즈마 내의 활동적인 입자들에 기인하는 개선된 반응도는 프로세싱 속도를 증가시키고 사용되는 더 낮은 프로세싱 온도들을 허용한다. 플라즈마 강화는 특히 식각 공정들에서 유용하다.
플라즈마는 평행 플레이트(PP) 시스템을 사용하여 반응 챔버 내에 인시츄로 생성될 수 있거나 또는 반응 챔버 및/또는 기판에서 멀리 떨어져서 생성되고 그 다음에 반응 챔버 내로 이송될 수 있다. 일반적인 PP 시스템은 도 10에서 도해된다. 제1 플레이트(104)는 위에 웨이퍼 기판(103)이 장착되는 플랫폼으로 사용되고 제2 플레이트(150)는 제1 플레이트(104) 위에 평행한 평면으로 위치된다. 양 플레이트들은 단일 챔버(115) 내에 위치되고 공정 가스 혼합물들이 샤워헤드로 명명되는 어셈블리 내의 상부 제2 플레이트(150) 내의 홀들(106)의 어레이를 통하여 챔버(115) 내로 주입된다. 일반적으로, 그 다음에 제2 플레이트(150)가 라디오 주파수(RF) 전류 소스(160)로 구동되고 두 플레이트 사이의 공간에서 주입된 가스 혼합물들을 사용하여 플라즈마(113)가 생성된다. 샤워헤드 홀들(106)은 홀들 내부에 형성되는 강력한 기생 플라즈마들을 제한하기 위하여 실제로 제조될 수 있는 가능한 한도만큼 좁다. 가스 혼합물 입구들 내에 어떠한 플라즈마가 형성된다면 강렬한 국부적인 플라즈마가 유발될 수 있으며, 이것은 프로세싱 균일도를 교란시키고 가스 주입 장치들을 열화시킬 수 있다. 각 홀은 보통은 길이와 직경의 비가 적어도 5:1을 가지는 작은 튜브의 형상을 가진다.
PECVD 및 플라즈마 식각 분야에서 당대의 발전들과 더불어, 고밀도(HD) 플라즈마의 사용이 매우 실행 가능해지고 있다. 고밀도 플라즈마 CVD(HDPCVD) 또는 식각 시스템들은 일반적으로 생성된 이온 및 전자 밀도가 1011cm-3 보다 더 큰 시스템들이다. 이것은 또한 일반적인 평행 플레이트 시스템들과 비교될 때 크기에서 한 오더(order)만큼 분리 효율을 높일 수 있다. 이러한 향상된 플라즈마 특성들은 HDPCVD 공정들의 프로세싱 속도 및/또는 수준을 더 증가시키고 통상적인 PECVD와 비교할 때 더 낮은 수소 함유 막들 , 더 낮은 공정 온도들에서의 양질의 막들, 높은 종횡비 구조들에서의 보이드가 없는 갭 필링(gap filling) 및 자기-평탄화의 잠재적인 이점들을 제공한다.
HDPCVD의 통상적인 수행은 유도 결합 코일에 의해 둘러싸인 플라즈마 생성 챔버를 포함하는 유도 결합 플라즈마(ICP) 소스를 사용한다. 플라즈마 생성 챔버 내에 전기장을 발생시키기 위하여 이러한 코일은 RF 전원에 의해 구동되는데, 이것이 차례로 플라즈마 구름을 생성하고 점화(ignite)한다. 저주파수들(55kHz 이하), 고주파수들(13.56MHz) 또는 마이크로웨이브 주파수들(여기에서 상기 코일은 마이크로웨이브 공동으로 대체된다)을 포함하는 다양한 RF 주파수들이 사용될 수 있다. 플라즈마 소스를 프로세싱 챔버에 원격으로(remotely) 위치시킴으로써, ICP 시스템들은 프로세싱 챔버 내의 표면 공정들에 영향을 미치지 않으면서 고밀도 플라즈마가 원격으로 생성될 수 있도록 한다.
두 개의 또는 그 이상의 가스들 또는 가스 혼합물들이 일반적으로 ICP HDPCVD 시스템으로 주입된다: 제1 가스 또는 가스 혼합물은 ICP 생성 챔버로 주입되고 제2 가스 또는 가스 혼합물은 반응 챔버로 주입된다. 생성된 전기장은 플라즈마 생성 챔버 내에서 제1 가스의 전자들을 가속시키고, 이것은 각각의 가스 분자들을 이온화시키고 각각의 전자-가스 분자 충돌들 내에서 운동 에너지의 전달을 허용한다. 미국 특허 5,792,272는 이 분야에서 알려진 것처럼 HD ICP 반응기의 예시를 제공한다.
그러나, HDPCVD를 사용함에 있어서 몇 가지의 문제점들이 있다. 일반적인 CVD 시스템들에서, 공정 균일도는 웨이퍼 기판의 반응 표면에 걸쳐서 균일한 종들의 분포를 만들기 위하여 화학 물질들의 플로우 역학을 제어함으로써 구현되고 있다. HDPCVD 시스템에서는 플라즈마가 어떠한 주입된 가스의 플로우 역학과 상호 작용하기 때문에 프로세싱 챔버 내부의 가스들의 분포를 제어하는 것이 매우 힘들다.
E.R.Keiter 및 M.J.Kushner는 IEEE Transactions on Plasma Science, Vol.27, No.2, April 1999에서 공개된 "Radical and Electron Densities in a High Plasma Density-Chemical Vapour Deposition Reactor from a Three-Dimensional Simulation"이라는 그들의 논문에서 가스 분포의 문제점에 대하여 논의하였다. 이 논문의 도 2는 증착 균일도가 저압 반응 공간에서 가스 플로우 패턴들, 그리고 특 히 막에 대한 주요한 물질을 가지는 가스 혼합물, 대표적으로 실리콘을 함유하는 막들에 대한 실란 SiH4,의 플로우 패턴에 의해 어떻게 강하게 영향을 받는지 명확하게 도해한다.
박막 증착의 균일도는 중요한 성능 파라미터인데, 최근의 증착 공정에서는 웨이퍼 기판의 직경에 걸쳐 약 ±3% 의 오차를 목표로 한다. 이러한 수준의 균일도는 결합된 RF 유도 코일을 사용하여 생성된 플라즈마를 만들거나 또는 가스 인젝터들의 특별한 배치를 사용함으로써 종래 기술에서 구현되어 왔다.
EP-0870072-A1는 플라즈마 소스와 기판 사이의 둥근 테 내의 가스 주입 노즐들의 특별한 배열이 공정 균일도에 도움이 된다고 설명한다. 그러나, 이렇게 증가된 균일도는 노즐 형상의 경험적 조정을 통해 구현되는데, 이것은 성가시며 HDPCVD 반응기 장치들의 복잡한 변경들을 필요로 한다. 게다가, 가스 주입 노즐들의 갯수를 증가시키고 노즐 형상을 변경함에 따라, 프로세싱 균일도에 불측의 영향을 미칠 수 있는 복잡한 플로우 패턴들이 프로세싱 챔버 내에 형성될 수 있다.
미국 특허 5,800,621-A 및 5,401,350은 RF 유도 코일들의 배열을 형성함으로써 ICP 소스의 균일도를 조정하는 방법을 설명한다. 그러나, 이러한 방법들은 플라즈마 소스 챔버 내에 전기장 인자들의 복잡한 모델링을 필요로 하고 또한 일반적으로 고전력 요구들을 가지고 더 복잡한 전기적 조절을 필요로 한다.
모든 CVD 공정들을 사용함에 있어서 발생하는 다른 문제점은 일반적으로 웨이퍼 기판에 적용되는 화학물질들이 또한 프로세싱 챔버의 대부분을 더 덮는다는 것이다. 따라서 플라즈마 공정에 의해 인시츄로 챔버를 클리닝하는 능력이 PECVD 및 HDPCVD 시스템들에 중요하다. 균일도를 개선하기 위한 많은 종래 기술들이 프로세싱 장치들을 또한 복잡하게 하기 때문에 그들은 인시츄로 챔버를 클리닝 하는 어려움 및 이런 방식으로 영향을 받는 구성요소들을 수리 또는 교체하는 능력을 증가시킨다.
따라서, ICP HDPCVD 시스템에서 공정의 균일도를 조절하는 유연한 방법이 요구된다. 바람직하게는 이러한 해답은 그러한 시스템들의 구성을 중대하게 변경하지 않고 단순하게 클리닝 및 유지를 허용하게 하여야 한다.
본 발명이 이루고자 하는 기술적 과제는 웨이퍼 기판에 걸쳐 실질적으로 균일한 증착 속도를 제공하는 데 있다.
본 발명의 제1 측면에 따르면 기판의 표면 프로세싱에서 사용하기 위한 표면 프로세싱 장치가 제공되고, 상기 표면 프로세싱 장치는 플라즈마 소스; 및 기판이 그 내에 장착되고 사용되며 상기 플라즈마 소스에 작용하도록(operatively) 연결되는 프로세싱 챔버를 포함하고; 상기 표면 프로세싱 장치는 상기 플라즈마 소스 및 상기 프로세싱 챔버 사이에 사용되는 플라즈마의 트랜스미션을 위한 트랜스미션 플레이트에 의해 특징 지어지고, 상기 트랜스미션 플레이트는 상기 기판의 상기 표면 상에 소정의 프로세싱 패턴을 제공하기 위하여 하나 또는 그 이상의 어퍼쳐들의 물리적 형상 및/또는 상기 하나 또는 그 이상의 어퍼쳐들의 분포가 조절되는 하나 또는 그 이상의 어퍼쳐들을 포함한다.
여기되고 이온화된 플라즈마 종들은 고체 표면들과 접촉함으로써 빨리 소멸(quenching)된다고 잘 알려져 있으므로 종래의 기술은 트랜스미션 플레이트의 사용에서 떨어져서 설명하고 있다. 따라서 일반적인 가스 플로우를 변경하는데 사용하기 위해 발전된 모든 기술들은 플라즈마 소스 및 연결된 프로세싱 챔버를 포함하는 경우들에서 플라즈마 종들의 매우 다른 특성들에 기인하여 사용하기 부적절하다. 더욱이, PECVD 샤워헤드 시스템들은 어떤 비용을 치르더라도 플라즈마가 샤워 헤드를 통과하는 것을 억제하도록 설계되는 것임에 반해, 트랜스미션 플레이트는 활성화된 종들이 중대한 소멸 없이 플레이트를 통과하게 허용하도록 설계된다. 플레이트의 다른 부분들을 통과하는 플라즈마 종들의 비율을 변화함으로써, 표면 프로세싱의 균일도를 최적화하는 단순하고 효과적인 수단이 제공된다.
플라즈마 소스와 프로세싱 챔버 사이에 장착되는 트랜스미션 플레이트의 형상들을 조절함으로써, 본 발명은 기판의 표면 상에 프로세싱의 주의 깊은 제어를 가능하게 한다. 일반적으로, 하나 또는 그 이상의 어퍼쳐들의 물리적 형상 및/또는 분포가 균일한 프로세싱 속도가 기판의 표면에 걸쳐 제공되게 하도록 트랜스미션 플레이트가 설계된다. 만일 다른 프로세싱 패턴들이 필요하거나 또는 만일 트랜스미션 플레이트가 크리닝 또는 교체되어야 할 필요가 있으면, 그러한 플레이트는 제거 또는 교체하기에 단순하다. 이것은 소정의 노즐 형상 또는 RF 코일 구성들의 사용과 대조되는데, 여기에서 균일도를 제공하는 방법은 완전한 HDPCVD 장치와 본질적으로 결합된다.
바람직하게는, 트랜스미션 플레이트는 각각의 원형의 어퍼쳐의 직경이 트랜스미션 플레이트의 두께보다 더 큰 복수개의 원형의 어퍼쳐들을 포함한다. 각각의 어퍼쳐의 직경과 트랜스미션 플레이트 두께 사이의 비율은 일반적으로 3:1 보다 더 커야 한다. 그러면 이것은 트랜스미션 플레이트가 플라즈마를 만드는 활성화된 종들을 파괴하지 않으면서 사용되도록 허용한다. 일반적으로, 트랜스미션 플레이트는 실질적으로 실린더형의 플라즈마 소스 및 프로세싱 챔버에 대응하는 원형의 형상이다. 이러한 경우들에서 플라즈마 소스는 일반적으로 프로세싱 챔버와 축방향으로 정렬되는데, 상기 프로세싱 챔버는 플라즈마 소스의 아래에 장착된다.
어떠한 실시예들에서는 복수개의 어퍼쳐들은 트랜스미션 플레이트 상에 하나 또는 그 이상의 동심의(concentric) 어퍼쳐 링들에 분포되고, 하나 또는 그 이상의 동심의 어퍼쳐 링들의 중심(들)은 트랜스미션 플레이트의 중심이다. 이러한 경우들에서, 각 동심의 어퍼쳐 링 내에 복수개의 어퍼쳐들의 각 간격 또는 각 동심의어퍼쳐 링들의 쌍 내에 반지름 방향의(radial) 간격은 기판의 표면 상에 소정의 프로세싱 패턴을 제공하기 위해 조정된다.
바람직하게는, 플라즈마 소스는 유도 결합 플라즈마를 생성하고 플라즈마 챔버 및 RF 구동 유도 결합 코일을 포함한다. RF 소스에 대한 보통의 구동 인자들은 13.56MHz의 주파수 및 1 내지 3kW의 전력이다.
일반적으로, 장치는 두 가스 또는 가스 혼합물 공급들을 사용한다: 플라즈마 소스으로의 제1 가스 또는 가스 혼합물 공급 및 프로세싱 챔버로의 제2 가스 및 가스 혼합물 공급. 기판의 일반적인 표면 프로세싱은 기판의 표면 상에 증착 또는 기판의 표면에서의 물질의 제거를 포함한다. 어떠한 실시예들에서 하나 또는 그 이상의 어퍼쳐들의 물리적 형상 및/또는 하나 또는 그 이상의 어퍼쳐들의 분포는 기판의 폭에 걸쳐 실질적으로 균일한 증착 속도 또는 물질 제거 속도를 제공하기 위해 조절된다.
트랜스미션 플레이트의 열적 열화를 방지하기 위해, 그리고 열적 사이클링을 거치면서 트랜스미션 플레이트에서 파티클들이 벗겨져 떨어지는 것을 제한하기 위 해, 플레이트의 열전도도는 일반적으로 100 W m-1 K-1 보다 더 크고 플레이트는 낮은 열적 저항 경로를 통해 외부 챔부로 열적으로 연결된다. 트랜스미션 플레이트는 금속 또는 금속 합금 플레이트를 포함할 수 있다. 대신으로 더 낮은 열 팽창 계수를 가지면서 더 낮은 열전도도 물질이 사용될 수 있는데, 이것은 알루미나 세라믹과 같은 더 높은 온도에서 동작할 수 있다. 트랜스미션 플레이트가 식각을 위하여 염소를 함유하는 가스 혼합물들을 가지면서 사용되어야 하는 곳에서는, 그러면 알루미나가 바람직하다. 플라즈마에 대해 비활성을 가지면서 개선된 측면 열전도도의 유리한 효과들을 결합하기 위해, 플라즈마-스프레이 알루미나와 같은, 반응성 플라즈마에 더욱 비활성인 물질로 코팅된 금속 또는 양극 산화 처리된 알루미늄을 사용하는 것이 또한 가능하다.
본 발명의 제2 측면에 따르면 프로세싱 챔버 내에 장착되는 기판의 표면 프로세싱에서 사용하기 위한 트랜스미션 플레이트의 제조방법이 제공되는데, 상기 트랜스미션 플레이트는 플라즈마 소스와 상기 프로세싱 챔버 사이에서 장착되어 사용되고 그리고 상기 플라즈마 소스에서 상기 프로세싱 챔버까지 플라즈마의 트랜스미션을 허용하는 하나 또는 그 이상의 어퍼쳐들을 포함하고, 상기 방법은 다음의 단계들을 포함한다:
a) 트랜스미션 플레이트 없이 상기 플라즈마 소스 및 상기 프로세싱 챔버를 사용하여, 상기 기판의 반경에 대하여 상기 기판 상에 표면 프로세스의 프로세싱 속도를 측정하는 단계;
b) 상기 측정된 프로세스 속도에 프로세스 속도 함수 d(r)를 피팅하는 단계;
c) d(r) x T(r)이 상수가 되도록, 상기 트랜스미션 플레이트의 중심에서의 반경의 함수인 플라즈마 트랜스미션 함수 T(r)을 계산하는 단계;
d) 상기 트랜스미션 플레이트에 대해 측정된 플라즈마 트랜스미션 함수가 상기 플라즈마 트랜스미션 함수 T(r)에 가장 좋은 적합성을 제공하도록 하나 또는 그 이상의 어퍼쳐들의 물리적인 형상 및/또는 하나 또는 그 이상의 어퍼쳐들의 분포에 대한 어퍼쳐 설계를 정의하는 단계;
e) d) 단계에서 정의되는 상기 어퍼쳐 설계를 사용하여 트랜스미션 플레이트를 제조하는 단계.
이러한 방법을 따름으로써, 새로운 또는 다른 프로세싱 조건들에 대응하여 새로운 트랜스미션 플레이트들이 빠르고 쉽게 만들어질 수 있다.
본 발명의 제3 측면에 따르면 앞에서 정의된 장치의 동작 방법이 제공되는데, 상기 방법은 다음을 포함한다:
a) 상기 트랜스미션 플레이트의 하나의 면 상에 상기 플라즈마 소스 내로 제1 가스 또는 가스 혼합물을 주입하는 단계;
b) 상기 트랜스미션 플레이트의 다른 면의 상기 프로세싱 챔버 내로 제2 가스 또는 가스 혼합물을 주입하는 단계;
c) 측정된 프로세싱 속도에 대응하여 두 개의 주입된 가스들의 가스 플로우 비를 조정하는 단계.
모든 가스 혼합물들은 불활성 가스들을 포함할 수 있고 모든 가스 공급들은 같은 불활성 가스를 주입할 수 있다. 이러한 방법은 균일도를 더 증가시키고 트랜스미션 플레이트는 플라즈마 소스 내로 바람직하지 않은 반응성 가스 종들의 움직임을 제한한다.
본 발명에 따르면 웨이퍼 기판에 걸쳐 실질적으로 균일한 증착 속도를 제공할 수 있다.
발명이 더 잘 이해될 수 있도록, 발명의 어떠한 실시예들이 첨부된 도면들을 참조하여 지금 설명된다.
도 1은 고밀도 플라즈마 화학 기상 증착(HDPCVD) 시스템의 개요적인 도해이다. 시스템은 두 개의 주요 구성요소들로 구성된다: 플라즈마 소스(1) 및 프로세싱 챔버(2). 플라즈마 소스는 정전기 쉴드(10)에 의해 둘러싸이는 수직축에 대하여 절연성의 실리더 튜브로부터 구성되는 플라즈마 챔버(8)를 포함한다. 일반적으로, 석영 또는 알루미나가 절연체로 사용된다. 실린더 튜브(8)의 상부에 일련의 가스 입구들(9)이 있는데, 이들은 축대칭 분포를 가지고 플라즈마 생성에 사용되는 플라즈마 챔버 내로 제1 가스 또는 가스 혼합물을 주입하기 위하여 사용된다. 바람직하게는, 이러한 제1 가스 혼합물은 아르곤과 같은 불활성 가스를 포함한다. 이러한 가스 또는 가스 혼합물은 플라즈마 소스(1) 내에 이온화되고 여기되며, 그 다음에 플로우 및 확산의 결합에 의해 프로세싱 챔버(2)로 이송된다.
이 플라즈마 챔버(8)를 둘러싸는 것은 플라즈마 챔버(8) 내에 플라즈마를 생 성하는 데 사용하기 위한 유도 결합 코일을 형성하는 수냉식 라디오 주파수(RF) 코일 안테나(7)이다. RF 코일(7)은 매칭 유닛(미도시)을 통하여 13.56MHz, 3kW RF 발전기에 연결된다. 유효하게, RF 코일(7)을 통과하는 전류는 플라즈마 챔버(8)의 축을 따라 RF 자기 플럭스를 발생시키고, 이 자기 플럭스는 플라즈마 챔버(8) 내에 RF 전기장을 더 유도한다. 유도 전기장은 플라즈마 챔버 내에 고밀도 플라즈마를 생성하면서 주입된 가스 구름 내에 전자를 가속시킨다. 유도 결합 RF 코일(7)을 조절함으로써, 오퍼레이터는 플라즈마 챔버(8) 내의 플라즈마의 분리 및 입사 이온들의 밀도를 조절할 수 있다. 가장 집중적인 플라즈마가 도 1에서 음영으로 처리된 영역(13)으로 나타내어 진다.
트랜스미션 플레이트가 적당하게 정렬된 홀을 가진다면, 검사 포트(14)가 레이저 간섭계(interferometry)에 의해 기판 표면을 관찰하기 위하여 제공되어 질 수 있다. 상부 플레이트(16), 측면 커버(15) 및 플라즈마 소스 베이스 플레이트(17)는 RF 코일(7)에서의 RF 복사를 포함하기 위해 울타리를 형성한다.
플라즈마 소스(1) 아래에는 프로세싱 챔버(2)가 있는데, 이것은 플라즈마 챔버(8)에 대하여 축방향으로 정렬된다. 프로세싱 챔버(2)는 일반적으로 205mm 의 지름을 가지고 헬륨으로 열전달을 보조하여 냉각되거나 가열되는 하부 전극으로 만들어진 기판 테이블(4)을 포함한다. 이 테이블은 기판 표면에서 이온 충돌 에너지를 조절하기 위하여 분리된 RF 전원에 의해 동력을 공급받거나 또는 전기적으로 접지될 수 있다. 웨이퍼 기판(3)은 이러한 기판 테이블(4) 상에 위치되고 모듈의 클램핑 장치(5)를 사용하여 공간 내에서 더 고정될 수 있다. 프로세싱 챔버(2)는 일반 적으로 기계적 펌프에 의해 보강되는 터보분자(turbomolecular) 펌프를 사용하여 기판 테이블(4) 아래에 장착된 펌핑 포트(11)를 통해 공기를 빼냄으로써 저압 또는 진공 내에서 유지된다. 이 예시에서, 펌핑 포트(11)는 200mm 직경의 높은 전도성 펌핑 포트이다. 가스 노즐들의 링이 프로세싱 챔버(2)의 상부에서 둥근 테(annulus, 6)의 형태로 제공되는데, 상기 링을 통하여 가스 또는 가스 혼합물이 주입된다. 실리콘 화합물을 증착하는 공정들에서는, 실란(silane)과 같은 실리콘을 함유하는 가스가 이러한 가스 혼합물에 포함된다. 바람직하게는, 아르곤과 같은 불활성 가스가 이러한 혼합물의 일부를 구성한다.
적절한 RF 전류를 ICP 코일에 제공함으로써 플라즈마 소스(1) 내에 플라즈마(13)가 사용되도록 생성된다. 종래 기술의 시스템들에서, 플라즈마 소스(1)는 프로세싱 챔버(2)에 직접적으로 연결되고 웨이퍼 기판(3) 상의 이온 충돌 에너지는 RF 바이어스를 기판 테이블(4)에 인가함으로써 조절된다. 그러나, 도입부에서 설명된 Keiter 및 Kushner 논문에서 알 수 있듯이, 이러한 종래 기술 시스템들은 웨이퍼 기판(3) 상에 불균일한 프로세싱 속도를 유발하게 한다.
따라서, 균일도를 제공하기 위해, 트랜스미션 플레이트가 플라즈마 소스(1) 및 프로세싱 챔버(2) 사이에 장착되고 플라즈마(13)가 트랜스미션 플레이트(12)를 통과하여 구동되는데, 이것이 플라즈마 구름 내의 전자 분포를 변경한다. 본 발명에서, 트랜스미션 플레이트에 의한 플라즈마 플로우의 가로막음은 플로우의 형태를 변경시킨다.
트랜스미션 플레이트 상에 어퍼쳐(aperture)들의 형태 및/또는 배치를 생성 하는 방법은 도 1의 장치들과 관련하여 지금 설명될 것이다. 첫째, 정해진 표면 공정이 선택되고 어셈블리에서 트랜스미션 플레이트(12)가 제거된 채 그에 따라서 설치된다. 테스트 웨이퍼 기판(3)이 다음에 기판 테이블(4) 상에 위치되고 플라즈마가 플라즈마 소스(1) 내에 생성된다. 다음에 플라즈마가 트랜스미션 플레이트가 없이 웨이퍼 상에 물질을 증착하기 위하여 사용되고 그 다음에 결과로서 생기는 웨이퍼 기판(3)이 분석된다. 트랜스미션 플레이트(12)의 유리한 영향들 없이 테스트 웨이퍼 기판(3)을 사용하여 HDPCVD 공정에 대한 증착 속도가 측정될 수 있다. 이러한 데이타로부터 웨이퍼 반경에 대한 증착 속도의 그래프가 도 6 및 도 9과 유사한 방식으로 그려질 수 있다. 일단 실험 데이타가 플롯(plot)되면 임의의 반경 r에서 증착 속도가 계산되어 질 수 있도록 증착 속도 함수 d(r)이 데이타에 피팅(fitting)될 수 있다. 큐빅 스플라인(cubic spline) 또는 폴리노믹(polynomic) 곡선들에 적용되는 최소 평균 제곱 오차(least mean square error) 방법들을 포함하는 그러나 이에 한정되지 않는 모든 알려진 데이타 피팅 기술들이 사용될 수 있다.
증착 속도 함수 d(r)이 피팅된 후에 그 다음에 트랜스미션 플레이트 반경 r의 함수로서 트랜스미션 플레이트를 지나는 플라즈마 트랜스미션 함수를 생성하기 위해 트랜스미션 플레이트에 대한 트랜스미션 함수 T(r)가 계산된다. 이러한 함수들 모두는 트랜스미션 플레이트 및 기판 웨이퍼가 축방향으로 정렬된다고 가정한다. d(r) x T(r)=1, 즉, 트랜스미션 함수가 증착 속도 함수의 역수가 되도록 계산되는, 트랜스미션 함수 T(r)이 계산된다. 일단 필요한 트랜스미션 함수 T(r)이 계산된다면 그 다음에 트랜스미션 함수 T(r)에 가장 잘 적합하는 실제의 플라즈마 트 랜스미션 분포를 제공하도록 일련의 어퍼쳐들이 생성 또는 계산될 수 있다. 이것은 일반적인 플라즈마 플로우 모델들 및 방정식들을 사용하여, 실험적으로 또는 이론적으로 수행될 수 있다. 예를 들어, 동작 조건들이 알려진다면 그 다음에 플라즈마 플로우와 관련하여 플라즈마 플럭스 파라미터를 제공하기 위하여 기판 테이블을 향하여 움직일 때의 플라즈마의 속도가 계산될 수 있다. 그 다음에 트랜스미션 함수 T(r)이 플라즈마 플럭스에 비례한다고 가정될 수 있다. 일반적인 계산들에 의해 트랜스미션 플레이트의 고리 모양의 면적 당 어퍼쳐 면적의 양이 계산될 수 있고 다음에 어퍼쳐 형상이 이러한 면적 요구들에 가장 잘 부합하도록 피팅될 수 있다.
앞에서 설명된 함수 피팅의 일례가 도 12에 도해된다. 다양한 반경 위치들에서 플라즈마 트랜스미션의 척도(measure)인, 필요한 플라즈마 투명도(transparency) 의 분석을 위한 출발점으로서 10개의 동일폭의 동심원 밴드들로 나누어지는 트랜스미션 플레이트가 사용된다. 각각의 동심원 밴드에 대한 이상적인 플라즈마 투명도가 채워지지 않은 막대들(121)에 도시되고 트랜스미션 플레이트가 없는 경우의 웨이퍼 기판 상의 증착 속도에서 유도된다. 그 다음에 도 2에서 도시되고 10개의 동심원 밴드들에 걸쳐 위치되는 것처럼, 동일한 직경 홀들의 일련의 단순한 링들에 근거한 설계가 전개되고 플라즈마 투명도는 당업계에서 알려진 방정식들을 사용하여 계산된다. 그 다음에 이상적인 투명도에 가장 적합한 계산된 플라즈마 투명도를 가지는 설계가 선택된다. 선택된 설계의 투명도가 음영처리된 막대(120)로 도시되고 균일한 표면 공정에 필요한 이상적인 투명도에 좋은 적합성을 제공한다. 단일 중심 홀을 삽입 또는 생략하는 필요로 하는 이원적인 선택에 기인 하여 중심에서 적합성이 부족할 뿐이다.
가변의 트랜스미션 플레이트 변수들은 단일 면적 당 어퍼쳐들의 갯수, 어퍼쳐의 형상, 원형 어퍼쳐들이 사용된다면 각 어퍼쳐의 직경, 타원형의 어퍼쳐들이 사용된다면 각 어퍼쳐의 장축 및 단축 또는 상기 내용들의 어떠한 조합을 포함하지만 그러나 이러한 내용에 한정되지는 않는다. 단일 면적당 어퍼쳐들의 갯수는 도 2 내지 5에서 도해된 것처럼 동심원 링 배열을 사용하여 더 나아가서 정의될 수 있는데, 여기에서 어퍼쳐 밀도는 동심의 링들(30-35)의 반지름 방향의 간격 및 일련의 원형 어퍼쳐들(21)의 동심의 간격에 의존한다.
트랜스미션 함수로부터의 어퍼쳐 설계의 전개는 어퍼쳐 형태 상의 구속들을 일반적으로 수반한다. 예를 들어, 원형 어퍼쳐들이 사용된다면 트랜스미션 플레이트를 통하는 플라즈마 플로우의 효율을 보장하기 위하여 그러한 어퍼쳐들의 직경은 트랜스미션 플레이트의 두께보다 더 커야한다. 실험적인 테스트들 및 모델링을 통하여 적어도 3:1의 어퍼쳐 직경과 플레이트 두께의 비는 요구되는 트랜스미션 속도를 제공하고 활동적인 플라즈마 종들의 파괴 및 재결합을 방지한다는 것이 알려지고 있다. 벽에 접촉하지 않으면서 두께 h의 플레이트 내에 반경 R의 단일 원형 홀을 통하여 이동(transmit)되는 가스 입자들의 비율이 계산되며, 도 11에서 도시된다. 이러한 계산은 평균 자유 경로가 홀 치수들에 비교하여 길다고 가정한다. 도면은 만약 홀의 종횡비(반경:두께)가 적어도 3:1이면 측벽과 잠재적으로 소멸시키는(quenching) 충돌 없이 적어도 50%의 종들이 이동되며, 그리고 만약 종횡비가 1.5:1이면 적어도 30%의 종들이 이동된다는 것을 도시한다. 만약 비-원형 어퍼쳐들 이 직경 인자 대신에 폭 및 길이 인자들과 관련되어 사용된다면 유사하게 어퍼쳐 폭과 플레이트 두께 및/또는 어퍼쳐 길이와 플레이트 두께 비율이 사용되어야 한다.
이러한 방법을 사용하여 설계된 트랜스미션 플레이트 구성들의 가능한 예시들이 도 2 내지 5에서 도해된다. 각 트랜스미션 플레이트는 플라즈마가 플라즈마 소스(1)에서 프로세싱 챔버(2)까지 통과하는 것을 허용하는 복수개의 원형 어퍼쳐들(21)이 내부에 존재하는 원형 디스크(20)를 포함한다. 상기 기술된 설계 공정에서 도 3 내지 5에서 검은 음영에 의해 강조된 어떤 어퍼쳐들(23, 24 및 26)을 제거함으로써 결과적으로 얻어지는 프로세싱 속도는 트랜스미션 플레이트(12)가 존재하지 않을 때 구현되는 프로세싱 속도에서 변경될 수 있다.
도 2는 중앙의 원형 어퍼쳐(36) 및 증가하는 반경들의 6개의 동심의 어퍼쳐 링들을 포함하는 기본 어퍼쳐 분포를 도해한다: 균일하게 이격된 원형의 어퍼쳐들(21)을 포함하는(39) 제1, 외곽의 동심의 어퍼쳐 링(30); 균일하게 이격된 원형의 어퍼쳐들(21)을 또한 포함하는(39) 제2 동심의 어퍼쳐 링(31); 균일하게 이격된 원형의 어퍼쳐들(21)을 포함하는(28) 제3 동심의 어퍼쳐 링(32); 균일하게 이격된 원형의 어퍼쳐들(21)을 포함하는(18) 제4 동심의 어퍼쳐 링(33); 균일하게 이격된 원형의 어퍼쳐들(21)을 포함하는(12) 제5 동심의 어퍼쳐 링(34); 균일하게 이격된 원형의 어퍼쳐들(21)을 포함하는(6) 제6 동심의 어퍼쳐 링(35); 및 중앙의 어퍼쳐(36). 이러한 패턴은 플레이트 에지 부근에서의 최대의 트랜스미션, 10mm의 일정한 홀 직경 및 측면 열전도 및 기계적 안정성을 위한 금속 잔존물(remaining)의 적 절한 양이라는 추가적인 제약조건들과 함께, 먼저 기술된 트랜스미션 플레이트 설계의 방법을 사용하여 유도된다.
첫번째 3개의 동심의 어퍼쳐 링들(30, 31, 32)은 제1의 균일한 반지름 방향의 간격(40)을 가지는데, 즉 제1 외곽의 동심의 링(30) 내의 원형의 어퍼쳐들의 중심에서 제2 동심의 링(31) 내의 원형의 어퍼쳐들의 중심까지의 거리는 제2 동심의 링(31) 내의 원형의 어퍼쳐들의 중심에서 제3 동심의 링(32) 내의 원형의 어퍼쳐들의 중심까지의 거리와 동일하다. 내부의 동심의 어퍼쳐 링들(33, 34, 35) 및 중앙의 어퍼쳐(36)는 제2의 균일한 반지름 방향의 간격(41)을 가지는데, 이것은 제1의 균일한 반지름 방향의 간격(40)보다 더 크다. 외부의 3개의 동심의 어퍼쳐 링들(30, 31, 32)에서 원형 어퍼쳐들의 각 간격(42)은 제2 동심 어퍼쳐 링(31)이 동심의 링 당 최대 어퍼쳐 밀도를 가지면서 변화한다. 내부의 동심의 어퍼쳐 링들(33, 34, 35)은 또한 변화하는 각 간격을 가지는데, 최소 각 간격이 외부의 3개의 동심의 어퍼쳐 링들(30, 31, 32)의 최대 각 간격보다 더 크고, 동심의 어퍼쳐 링들의 반경이 감소함에 따라 원형의 어퍼쳐의 각 간격은 증가한다.
일반적으로, 원형의 디스크(20)는 3에서 5 밀리미터 사이의 두께를 가지고 알루미늄 합금 번호 6082로 제조된다. 적합한 트랜스미션 속도를 허용하기 위해, 원형의 어퍼쳐들(21)의 직경이 플레이트의 두께보다 더 크고, 일반적으로 도해된 트랜스미션 플레이트에 대해 어퍼쳐 직경 대 플레이트 두께의 비는 3:1보다 더 크다. 그러므로, 상기 알루미늄 합금을 사용하여, 원형의 어퍼쳐들의 직경은 9 및 15mm 사이이고, 바람직하게는 모든 어퍼쳐들의 직경은 9mm 보다 더 크다. 그러나, 5mm보다 더 큰 어퍼쳐 직경은 유리한 트랜스미션 특성들을 나타내기 시작한다.
도 1의 장치와 함께, SiNx의 증착에서 사용될 때 도 2 내지 5에서 도해되는 각 트랜스미션 플레이트 구성의 효과는 도 6에서 도시된 그래프에 도해된다. 라인 61은 트랜스미션 플레이트(12)가 장치에서 없을 때 100mm 폭의 웨이퍼의 직경에 걸쳐 증착속도가 어떻게 변화하는지 도해한다. 종래 기술에서 알 수 있듯이, 트랜스미션 플레이트가 존재하지 않는 것이 에지들 보다 웨이퍼의 중앙에 더 많은 물질이 증착되는 것을 유발한다는 것이 확실히 명백하다. 그러면 이것은 후속의 반도체 및 직접 회로 프로세싱에 대하여 부정적인 영향들을 미치는 불균일한 증착 패턴을 유발한다.
도 2에서 도해되는 것처럼 트랜스미션 플레이트의 사용은 도 6에서의 선 62에 의해 도해되는 것처럼, 웨이퍼 기판에 걸쳐 증착 속도 프로파일을 개선한다. 6개의 동심의 어퍼쳐 링들의 다른 방사상 및 각 간격은 더 많은 양의 플라즈마가 내부의 동심의 어퍼쳐 링들(33 내지 35)을 통과하는 것보다 외부의 3개의 동심의 어퍼쳐 링들(30, 31, 32)를 통과되어 전달될 수 있다는 것을 의미한다. 이러한 인자들을 변경함으로써 동심의 링 면적 당 플라즈마 트랜스미션 팩터가 변경될 수 있고, 이것은 차례로, 웨이퍼 기판(3)이 트랜스미션 플레이트(12)에 대하여 축방향으로 정렬된다고 가정하면, 웨이퍼 기판(3)의 해당 동심의 영역들 내에 증착 속도를 변경할 수 있다. 예를 들어, 동심의 어퍼쳐 밀도가 제2 동심의 어퍼쳐 링(31) 내에서 가장 크므로, 동심의 단위 면적 당 플라즈마 플럭스는, 균일한 플라즈마 밀도 및 트랜스미션 플레이트(12)에 수직인 속도를 가정하면, 역시 최대일 수 있다. 실 제 분야의 사용에서, 플라즈마 밀도는 트랜스미션 플레이트(12)의 직경에 걸쳐 플라즈마 챔버(8) 내에서 변화하고 따라서 원형의 어퍼쳐들(21)의 배열에 의해 생성되는 다른(differing) 어퍼쳐 밀도들은 플레이트의 측면에서 프로세싱 챔버(2) 상에 더 균일한 플라즈마 밀도를 생성하는 것을 돕는다. 그러나, 도 2에서 도해되는 트랜스미션 플레이트(12)는 여전히 웨이퍼 기판(3)에 걸쳐 증착 속도에서 어떠한 불균일성을 발생시키며, 그리고 실험적으로 또는 함수 피팅의 개선된 적용에 의해, 상기 설계 방법을 반복함으로써 더욱 최적화될 수 있다.
트랜스미션 플레이트(12)의 원형 디스크(20) 내에 원형 어퍼쳐들(21)의 분포가 중앙 어퍼쳐(23)를 제거함으로써 변경될 때, 도 3에서 개념상으로 도해된 것처럼, 그 다음에 기판 웨이퍼의 중앙부에 증착 속도의 피크는 라인 63에 의해 도해된 것처럼 감소된다. 이것은 트랜스미션 플레이트(12)에 더 이상 중앙의 어퍼쳐(23)가 존재하지 않으므로, 플라즈마 챔버(8)의 중앙부 근처에 존재하는 플라즈마가 더 이상 프로세싱 챔버(2)를 향하여 수직으로 이송될 수 없고, 따라서 프로세싱 챔버(2)의 중앙부 근처에 플라즈마의 결핍을 발생시키고 트랜스미션 플레이트의 아래의 웨이퍼 기판(3)의 중앙부에 화학 반응의 속도를 감소시키기 때문이다.
원형의 어퍼쳐들(21)의 분포를 더 변경함으로써, 예를 들어 원형 디스크(20)에 어떠한 어퍼쳐들이 남아야 하고 어떠한 어퍼쳐들이 제외되어야 하는지를 선택함으로써, 웨이퍼에 걸친 증착 속도는 더 변경될 수 있다. 도 4에서 도시된 어퍼쳐 분포는 중앙의 어펴쳐(23)를 배제하고 동심의 어퍼쳐 링(35)의 어퍼쳐들을 제거하는데, 음영으로 처리된 영역(24)에 의해 개념적으로 도해된다. 이러한 구성을 가지 는 결과적인 증착 속도 프로파일은 더 평탄해지고 도 6의 라인 65에 의해 도해된다. 그러나, 이러한 패턴은 웨이퍼 기판(3)의 중앙부에 증착 속도를 과도하게 감소시켜서 'm'형상의 증착 속도 프로파일을 생성하므로 여전히 최적은 아니다. 최적의 증착 속도 패턴은 도 5의 트랜스미션 플레이트에 의해 제공되는데, 이것은 라인 64에 의해 도해되는 것처럼 웨이퍼의 표면에 걸쳐 실질적으로 균일한 증착 속도를 제공한다. 이러한 구성에서 동심의 어퍼쳐 링(35)에 원형의 어퍼쳐들의 절반이 잔류하고 중앙의 어퍼쳐(23)과 음영으로 표시된 어퍼쳐들(26)만이 제거된다. 실험적인 테스트들은 SiNx 증착에서 ±1.50%(7mm 에지를 제외하고)의 두께 균일성이 구현될 수 있다는 것을 더 보여준다. 이 테스트들에서 모든 트랜스미션 플레이트들은 3mm의 플레이트 두께 및 10mm 직경 홀들을 사용한다.
트랜스미션 플레이트(12)에서 배제하거나 포함하기 위하여 어떠한 어퍼쳐들을 선택하는 것뿐만 아니라 또는 그 대신에, 어떠한 어퍼쳐들의 직경이 또한 변경될 수 있다. 예를 들어, 원형의 어퍼쳐들(21)이 일련의 동심의 원들로 배열된다면, 동심의 어퍼쳐 링(24)과 유사하게, 각 동심의 링의 반경이 감소함에 따라 각 동심의 링 내에 각 일련의 어퍼쳐들의 직경이 감소될 수 있다. 그렇다면 이것은 도 4 및 도 5에서 도시된 분포와 유사한 효과를 가질 수 있고, 여기에서 플레이트의 반경에 걸쳐 어펴쳐 직경 변화의 비율은 기판 웨이퍼(3)에 걸쳐 증착 속도를 변경할 수 있다. 대신으로, 일련의 동심의 링들에 근거한 유사한 설계는 타원형의 형상을가지는 어퍼쳐들을 사용할 수 있다. 각 동심의 원의 반경이 감소됨에 따라 각 어퍼쳐의 장축이 감소될 수 있으며 외부의 동심의 원들에서 넓거나 긴 타원들을 가지고 더 중앙부의 동심의 원들에서 거의 원형의 타원들을 가지는 패턴으로 귀착된다. 이것은 다시 더 균일한 증착을 제공하도록 도울 수 있는 변형된 증착 속도를 만들 수 있다.
상기 분포들 및 배열들이 웨이퍼 기판(3) 상에 물질의 증착과 관련하여 설명되었지만, 상기 장치들은 식각 또는 웨이퍼 기판에서 물질을 제거함에 있어 사용될 수 있다는 것이 동일하게 가능하다. 이러한 경우들에서, 당업계에서 알려진 것처럼, 활성화된 플라즈마(13)가 화학 전구체들을 활성화하고 분리하는 수단을 제공하는데, 이들은 웨이퍼 기판(3)의 표면 상에 물질을 제거하기 위해 반응한다.
도 5의 배열의 3차원 모델이 도 7에 도시된다. 사용되는 트랜스미션 플레이트(12)가 플레이트 에지 근처의 3개의 포인트들(70)에서 상부 표면에 또는 플라즈마 소스(1)의 외부 챔버(15)의 베이스 플레이트(17)에 장착된다. 트랜스미션 플레이트(12)를 베이스 플레이트(17) 온도의 20℃ 이내에서 유지하도록 상기 장착은 낮은 열 저항 경로를 제공하도록 구성된다. 이것은 소스 내에서 3kW 플라즈마를 동작하는 동안 플레이트의 중앙부에서 온도 측정을 하여 증명된다. 플레이트 온도는 70C를 초과하지 않는다. 알루미늄 합금 번호 6082는 플라즈마(13)와 접촉하는 트랜스미션 플레이트의 영역들에서 열을 외부로 도전하기 위하여 높은 수준의 열전도도를 가지고, 플레이트는 일반적으로 발생된 열을 더 발산하기 위하여 유사한 열전도도의 물질로 제조된 외부 챔버의 부분과 열적으로 연결된다. 트랜스미션 플레이트(12)의 온도 변화를 최소화함으로써, 트랜스미션 플레이트(12)에서 파티클들이 벗겨져 떨어지지 않고 웨이퍼 기판(3) 상에 더 많은 물질이 증착될 수 있다. 또한 트랜스미션 플레이트의 온도를 조절함으로써 트랜스미션 플레이트와 활동적인 플라즈마 사이의 부정적인 상호작용이 감소될 수 있다. 비록 100 W m-1 K-1 이상의 열전도도를 가지는 금속 또는 금속 합금이 권장되더라도, 알루미늄 합금 대신에 다른 금속들 또는 금속 합금들이 사용될 수 있다. 대신으로, 트랜스미션 플레이트(12)는 알루미나 시트 또는 다른 세라믹으로 구성될 수 있다. 알루미나의 열전도도는 알루미늄의 열전도도의 약 10분의 1이므로, 알루미나 트랜스미션 플레이트는 같은 알루미늄 트랜스미션 플레이트보다 더 큰 온도 상승이 있게 된다. 그러나, 알루미나의 열팽창 계수는 알루미늄의 열팽창 계수의 약 3분의 1이고, 따라서 알루미나의 열팽창 계수는 대개 일반적으로 증착되는 절연막들에 더 적합하고, 그래서 열적 사이클링은 증착된 물질들의 심각한 플레이킹(flaking)을 발생시키지 않는다.
트랜스미션 플레이트(12)가 3개의 연결 포인트들(70)에 의해 외부 챔버와 연결되기만 하므로, 트랜스미션 플레이트(12)는 다양한 공정들에 대하여 쉽게 장착 또는 제거될 수 있다. 예를 들어, 분포들이나 플레이트들이 열화를 나타내기 시작하면, 새로운 분포들이 적용될 수 있거나 또는 플레이트들이 교체될 수 있다. SiOx 또는 SiNx 막들을 증착할 때 인시츄로 트랜스미션 플레이트(12)를 클리닝하기 위해, 플루오르를 함유하는 플라즈마가 사용될 수 있는데, 이것은 플레이트 상에 형성되어 있는 어떠한 증착물들을 제거할 수 있다.
앞에서 설명된 것처럼, 다른 프로세싱 기술들 또는 다른 화학적 증착들을 사용할 때는 트랜스미션 플레이트(12)는 변경될 필요성이 있을 수 있다. 예를 들어 도 7에서 도해된 트랜스미션 플레이트는 SiNx 증착에 사용하기 위해 설계되지만, 그러나 SiOx 증착에 사용할 때는, 증착을 개시하기 위해 더 낮은 플라즈마 활성화를 필요로 한다. 따라서 도 8은 SiOx 증착에서 사용하기 위한 SiOx 트랜스미션 플레이트(82)를 도해한다. SiOx 트랜스미션 플레이트(82)는 플레이트의 중앙의 원형 부분(84)에서 어퍼쳐들이 존재하지 않으면서 원형의 어퍼쳐들(21)의 3개의 동심의 링들(85, 86, 87)을 포함한다. 이러한 플레이트를 사용할 때 기판 웨이퍼에 걸쳐 결과로서 생기는 북-남(91) 및 서-동(92) 증착 높이(level)가 도 9에 도시된다. 도 8에서 도해된 트랜스미션 플레이트를 사용할 때, ±1.43%(7mm 에지를 제외한)의 균일도가 100mm 웨이퍼 기판(3)에 걸쳐 생성될 수 있다. 그러면 이것은, 도 2에서 도시된 것처럼, 변경되지 않은 트랜스미션 플레이트를 사용하여 얻어지는 일반적으로 ±3.5% 인 균일도 변화를 절반으로 한다. 트랜스미션 플레이트가 없다면 불균일도는 훨씬 더 크다.
트랜스미션 플레이트(12)에서 막이 벗겨져 떨어지지 않고 트랜스미션 플레이트(12)를 사용할 때 경험적인 관찰들에서 적어도 5 미크론에서 20 미크론까지의 기판 상의 전체 증착 두께가 증명되어 진다. 또한 트랜스미션 플레이트의 존재는 다른 공정 가스들을 어디에 주입해야 하는지 선택함으로써 표면 공정의 단계들을 알맞게 맞추어 만드는 것을 가능하게 한다. 실란과 같은 가스들은 이러한 가스들이 상기 가스들이 접촉하는 가까운 표면에 부착되는 물질을 생산하면서 쉽게 분리되기 때문에 고밀도 플라즈마에, 즉 플라즈마 챔버(1) 내로, 주입되어서는 안된다는 것 이 알려져 있다. 트랜스미션 플레이트(12)는, 플라즈마 소스 영역을 더 깨끗하게 유지하면서, HDP 영역으로 그러한 가스들의 침입을 제한하는 데 도움이 된다. 더 나아가, 플레이트 아래로의 주입은 많은 여분의 이온 충돌을 부가하지 않으면서 다른 종들의 확산을 변경하는데 도움이 되지만, 플레이트 위로의 불활성 가스의 주입은 기판으로 향하여 여기된 종들 및 이온들을 흐르게 할 수 있다. 트랜스미션 플레이트 위로 주입된 불활성 가스는 또한 트랜스미션 플레이트 아래로 가스 혼합물을 가지고 주입된 동일한 불활성 가스일 수 있다. 이러한 공정의 효과의 예시는 도 13에서 도시된다. 도면은 플라즈마 소스(1) 내로 아르곤을 주입하면서 SiO2 증착의 균일도의 변화를 증명한다. 균일도는 최대 증착 속도 및 최소 증착 속도 사이의 차로서 측정되고, 그리고 플라즈마 소스 내로 아르곤 가스의 플로우가 증가함에 따라 이러한 측정은 감소한다.
요약하면, 본 발명은 많은 실시예와 관련하여 설명되어 진고 종래 기술에 비하여 많은 이점들을 제공한다.
ㆍ 트랜스미션 플레이트(12)는 새로운 트랜스미션 분포들을 변경하기 위해 쉽게 탈착(demountable)될 수 있다;
ㆍ 트랜스미션 플레이트(12)는 SiOx 또는 SiNx 막들을 증착할 때 플루오르를 함유하는 플라즈마에 의해 인시츄로 클리닝될 수 있다;
ㆍ 트랜스미션 플레이트(12)의 온도는 트랜스미션 플레이트(12) 장착 포인트들에서 외부 챔버로 우수한 열적 연결을 수행함으로써 단순하게 조절될 수 있다;
ㆍ 트랜스미션 플레이트(12)에서 막이 벗겨져 떨어지지 않으면서 본 발명을 사용하여 5 미크론의 그리고 20 미크론까지의 전체 증착 두께가 실증되었다; 그리고
ㆍ트랜스미션 플레이트(12)는 종래 기술의 복잡한 가스 노즐 및 RF 코일 설계들과 비교하여 훨씬 더 단순하고 경제적일 수 있다.
도 1은 본 발명에 따른 표면 프로세싱 장치를 도해한다;
도 2는 본 발명에 따른 제1의 가능한 트랜스미션 플레이트 어퍼쳐 구성을 도해한다;
도 3은 본 발명에 따른 제2의 트랜스미션 플레이트 어퍼쳐 구성을 도해한다;
도 4는 본 발명에 따른 제3의 트랜스미션 플레이트 어퍼쳐 구성을 도해한다;
도 5는 본 발명에 따른 제4의 트랜스미션 플레이트 어퍼쳐 구성을 도해한다;
도 6은 증착 속도에 대한 트랜스미션 플레이트 어퍼쳐 구성의 영향을 도해하는 그래프이다;
도 7은 본 발명에 따른 트랜스미션 플레이트의 3D 모델을 도해하는 것이다;
도 8은 본 발명에 따른 SiOx 증착에서 사용하기 위한 트랜스미션 플레이트의 3D 모델의 제2 도해이다;
도 9는 도 8에서 도시된 것처럼 트랜스미션 플레이트를 사용할 때 증착 두께의 효과를 도해하는 그래프이다;
도 10은 종래 기술인 평행판 PECVD 시스템을 도해한다;
도 11은 트랜스미션 비율에 대한 반경 대 두께 종횡비의 변화의 영향을 도해하는 그래프이다;
도 12는 트랜스미션 플레이트의 반경에 걸쳐 측정된 투명도에서, 실제와 이상적인 플라즈마 투명도 사이의 적합성을 나타내는 것을 도해하는 그래프이다; 그리고
도 13은 증착 균일도에 대하여 트랜스미션 플레이트 위의 아르곤 가스의 주입의 영향을 도해하는 그래프이다.

Claims (34)

  1. 기판의 표면 프로세싱에서 사용하는 표면 프로세싱 장치로서,
    플라즈마 소스; 및
    상기 플라즈마 소스에 작용하도록(operatively) 연결되며, 기판이 그 내부에 장착되어 사용되는 프로세싱 챔버를 포함하고:
    플라즈마의 트랜스미션을 위하여 상기 플라즈마 소스 및 상기 프로세싱 챔버 사이에 위치하여 사용되는 트랜스미션 플레이트로서, 상기 기판의 표면 상에 소정의 프로세싱 패턴을 제공하기 위하여 하나 또는 그 이상의 어퍼쳐들의 물리적 형상 및/또는 상기 하나 또는 그 이상의 어퍼쳐들의 분포가 조절되는 하나 또는 그 이상의 어퍼쳐들을 포함하는 트랜스미션 플레이트에 특징이 있는 표면 프로세싱 장치.
  2. 제1항에 있어서, 상기 트랜스미션 플레이트는 복수개의 원형의 어퍼쳐들을 포함하는 표면 프로세싱 장치.
  3. 제2항에 있어서, 각각의 원형의 어퍼쳐의 직경은 상기 트랜스미션 플레이트의 두께보다 더 큰 표면 프로세싱 장치.
  4. 제3항에 있어서, 상기 어퍼쳐 직경과 트랜스미션 플레이트 두께의 비율은 3:1보다 더 큰 표면 프로세싱 장치.
  5. 제2항 내지 제4항 중 어느 하나의 항에 있어서, 각각의 원형의 어퍼쳐의 직경은 5mm 보다 더 큰 표면 프로세싱 장치.
  6. 제5항에 있어서, 각각의 원형의 어퍼쳐의 직경은 9mm 보다 더 큰 표면 프로세싱 장치.
  7. 제1항 내지 제6항 중 어느 하나의 항에 있어서, 상기 트랜스미션 플레이트는 형상이 원형인 표면 프로세싱 장치.
  8. 제7항에 있어서, 상기 복수개의 어퍼쳐들은 상기 트랜스미션 플레이트 상에 하나 또는 그 이상의 동심의 어퍼쳐 링들에 분포되고 상기 하나 또는 그 이상의 동심의 어퍼쳐 링들의 중심(들)은 상기 트랜스미션 플레이트의 중심인 표면 프로세싱 장치.
  9. 제8항에 있어서, 각각의 동심의 어퍼쳐 링 내에 상기 복수개의 어퍼쳐들의 동심의 간격은 상기 기판의 표면 상에 소정의 프로세싱 패턴을 제공하기 위해 조절되는 표면 프로세싱 장치.
  10. 제8항 또는 제9항에 있어서, 복수개의 동심의 어퍼쳐 링들은 상기 트랜스미 션 플레이트 상에 배열되고 각각의 동심의 어퍼쳐 링들의 쌍 사이의 반지름 방향의 간격은 상기 기판의 표면 상에 소정의 프로세싱 패턴을 제공하기 위해 조절되는 표면 프로세싱 장치.
  11. 제1항 내지 제10항 중 어느 하나의 항에 있어서, 상기 플라즈마 소스는 유도 결합 플라즈마인 표면 프로세싱 장치.
  12. 제11항에 있어서, 상기 플라즈마 소스는 플라즈마 챔버 및 유도 결합 코일을 포함하는 표면 프로세싱 장치.
  13. 제12항에 있어서, 상기 유도 결합 코일은 라디오 주파수(RF) 소스에 연결되는 표면 프로세싱 장치.
  14. 제13항에 있어서, 상기 RF 소스는 13.56MHz에서 RF 전류를 공급하는 표면 프로세싱 장치.
  15. 제1항 내지 제14항 중 어느 하나의 항에 있어서, 상기 플라즈마 소스에 제1 가스 서플라이(supply) 및 상기 프로세싱 챔버에 제2 가스 서플라이를 더 포함하는 표면 프로세싱 장치.
  16. 제1항 내지 제15항 중 어느 하나의 항에 있어서, 상기 기판의 상기 표면 프로세싱은 상기 기판의 상기 표면 상에 물질의 증착을 포함하는 표면 프로세싱 장치.
  17. 제16항에 있어서, 상기 하나 또는 그 이상의 어퍼쳐들의 물리적인 형상 및/또는 상기 하나 또는 그 이상의 어퍼쳐들의 분포는 상기 기판의 폭에 걸쳐서 실질적으로 균일한 증착 속도를 제공하기 위해 조절되는 표면 프로세싱 장치.
  18. 제1항 내지 제17항 중 어느 하나의 항에 있어서, 상기 기판의 상기 표면 프로세싱은 상기 기판의 상기 표면에서 물질의 제거를 포함하는 표면 프로세싱 장치.
  19. 제18항에 있어서, 상기 하나 또는 그 이상의 어퍼쳐들의 물리적인 형상 및/또는 상기 하나 또는 그 이상의 어퍼쳐들의 분포는 상기 기판의 폭에 걸쳐서 실질적으로 균일한 물질의 제거 속도를 제공하기 위해 조절되는 표면 프로세싱 장치.
  20. 제1항 내지 제19항 중 어느 하나의 항에 있어서, 상기 트랜스미션 플레이트의 열전도도는 100 W m-1 K-1 보다 더 큰 표면 프로세싱 장치.
  21. 제1항 내지 제20항 중 어느 하나의 항에 있어서, 상기 트랜스미션 플레이트 는 낮은 열적 저항 경로를 통해 상기 프로세싱 챔버에 열적으로 연결되는 표면 프로세싱 장치.
  22. 제1항 내지 제21항 중 어느 하나의 항에 있어서, 상기 트랜스미션 플레이트는 금속 또는 금속 합금 플레이트를 포함하는 표면 프로세싱 장치.
  23. 제22항에 있어서, 상기 트랜스미션 플레이트는 플라즈마에 의한 어택에 내성이 있는 막으로 코팅된 금속 또는 금속 합금 플레이트를 포함하는 표면 프로세싱 장치.
  24. 제1항 내지 제20항 중 어느 하나의 항에 있어서, 상기 트랜스미션 플레이트는 세라믹 플레이트를 포함하는 표면 프로세싱 장치.
  25. 제24항에 있어서, 상기 트랜스미션 플레이트는 알루미나 플레이트를 포함하는 표면 프로세싱 장치.
  26. 제12항 내지 제14항 중 어느 하나의 항에 있어서, 상기 플라즈마 챔버 및 상기 프로세싱 챔버는 실질적으로 실린더형인 챔버들을 포함하는 표면 프로세싱 장치.
  27. 제26항에 있어서, 상기 플라즈마 챔버 및 프로세싱 챔버는 축방향으로 정렬되는 표면 프로세싱 장치.
  28. 제1항 내지 제27항 중 어느 하나의 항에 있어서, 상기 플라즈마 소스는 상기 프로세싱 챔버 위에 장착되는 표면 프로세싱 장치.
  29. 프로세싱 챔버 내에 장착되는 기판의 표면 프로세싱에서 사용하는 트랜스미션 플레이트의 제조방법으로서, 상기 트랜스미션 플레이트는 플라즈마 소스와 상기 프로세싱 챔버 사이에서 장착되어 사용되고 그리고 상기 플라즈마 소스에서 상기 프로세싱 챔버까지 플라즈마의 트랜스미션을 허용하는 하나 또는 그 이상의 어퍼쳐들을 포함하고, 상기 방법은:
    a) 트랜스미션 플레이트 없이 상기 플라즈마 소스 및 상기 프로세싱 챔버를 사용하여, 상기 기판의 반경, r 에 대하여 상기 기판 상에 표면 프로세스의 프로세싱 속도를 측정하는 단계;
    b) 상기 측정된 프로세스 속도에 프로세스 속도 함수 d(r)를 피팅하는 단계;
    c) d(r) x T(r)이 상수가 되도록, 상기 트랜스미션 플레이트의 중심에서의 반경의 함수인 플라즈마 트랜스미션 함수 T(r)을 계산하는 단계;
    d) 상기 트랜스미션 플레이트에 대한 측정된 플라즈마 트랜스미션 함수가 상기 플라즈마 트랜스미션 함수 T(r)에 가장 좋은 적합성을 제공하도록 하나 또는 그 이상의 어퍼쳐들의 물리적인 형상 및/또는 하나 또는 그 이상의 어퍼쳐들의 분포에 대한 어퍼쳐 설계를 정의하는 단계;
    e) d) 단계에서 정의되는 상기 어퍼쳐 설계를 사용하여 트랜스미션 플레이트를 제조하는 단계;를 포함하는 트랜스미션 플레이트의 제조방법.
  30. 제29항에 있어서, 상기 d) 단계에서 정의되는 어퍼쳐들은 소정의 두께에 대한 폭의 비를 가지는 트랜스미션 플레이트의 제조방법.
  31. 제1항에서 정의되는 장치의 동작 방법으로서, 상기 방법은:
    a) 상기 트랜스미션 플레이트의 하나의 면 상에 상기 플라즈마 소스 내로 제1 가스 또는 가스 혼합물을 주입하는 단계;
    b) 상기 트랜스미션 플레이트의 다른 면의 상기 프로세싱 챔버 내로 제2 가스 또는 가스 혼합물을 주입하는 단계;
    c) 측정된 프로세싱 속도에 대응하여 두 개의 주입된 가스들의 가스 플로우 비를 조정하는 단계;를 포함하는 방법.
  32. 제31항에 있어서, 제1 및 제2 혼합물 가스들은 불활성 가스들을 포함하는 방법.
  33. 제32항에 있어서, 상기 제1 및 제2 가스들은 동일한 불활성 가스인 방법.
  34. 제31항 내지 제33항 중 어느 하나의 항에 있어서, 상기 트랜스미션 플레이트의 온도는 외부 챔버의 온도의 20℃ 이내로 유지되는 방법.
KR1020070080229A 2006-08-14 2007-08-09 표면 프로세싱 장치들 KR101410515B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB0616131.9 2006-08-14
GBGB0616131.9A GB0616131D0 (en) 2006-08-14 2006-08-14 Surface processing apparatus

Publications (2)

Publication Number Publication Date
KR20080015364A true KR20080015364A (ko) 2008-02-19
KR101410515B1 KR101410515B1 (ko) 2014-06-20

Family

ID=37056324

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070080229A KR101410515B1 (ko) 2006-08-14 2007-08-09 표면 프로세싱 장치들

Country Status (5)

Country Link
US (1) US20080035608A1 (ko)
EP (1) EP1889946B1 (ko)
JP (1) JP5546722B2 (ko)
KR (1) KR101410515B1 (ko)
GB (1) GB0616131D0 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101353033B1 (ko) * 2012-06-21 2014-01-23 주성엔지니어링(주) 박막형 태양전지 제조장치 및 이에 이용되는 버퍼 챔버
KR20190032077A (ko) * 2017-09-19 2019-03-27 서울과학기술대학교 산학협력단 리모트 플라즈마를 이용한 원자층 증착 시스템

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2208221A4 (en) * 2007-11-01 2010-12-15 Eugene Technology Co Ltd DEVICE FOR WAFER SURFACE TREATMENT USING AN INDUCTIVE COUPLED HIGH-FREQUENCY PLASMA
JP4929270B2 (ja) * 2008-11-17 2012-05-09 三菱重工業株式会社 真空処理装置
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6342195B2 (ja) * 2014-03-28 2018-06-13 株式会社アルバック 窒化ガリウム膜のエッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102329735B1 (ko) 2018-08-24 2021-11-22 주식회사 엘지화학 코팅기
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11094511B2 (en) * 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
GB201904587D0 (en) 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JPH08274083A (ja) * 1995-03-29 1996-10-18 Sumitomo Metal Ind Ltd プラズマ処理装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
JP2000034571A (ja) * 1998-07-16 2000-02-02 Komatsu Ltd 表面処理装置
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2003282565A (ja) * 2002-01-18 2003-10-03 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
US7183716B2 (en) * 2003-02-04 2007-02-27 Veeco Instruments, Inc. Charged particle source and operation thereof
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
JP4280603B2 (ja) * 2003-11-04 2009-06-17 キヤノン株式会社 処理方法
JP2005251803A (ja) * 2004-03-01 2005-09-15 Canon Inc プラズマ処理装置およびその設計方法
WO2006000846A1 (en) 2004-06-08 2006-01-05 Epispeed S.A. System for low-energy plasma-enhanced chemical vapor deposition
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101353033B1 (ko) * 2012-06-21 2014-01-23 주성엔지니어링(주) 박막형 태양전지 제조장치 및 이에 이용되는 버퍼 챔버
KR20190032077A (ko) * 2017-09-19 2019-03-27 서울과학기술대학교 산학협력단 리모트 플라즈마를 이용한 원자층 증착 시스템

Also Published As

Publication number Publication date
JP2008047915A (ja) 2008-02-28
US20080035608A1 (en) 2008-02-14
KR101410515B1 (ko) 2014-06-20
EP1889946A2 (en) 2008-02-20
GB0616131D0 (en) 2006-09-20
EP1889946A3 (en) 2009-09-02
JP5546722B2 (ja) 2014-07-09
EP1889946B1 (en) 2012-10-31

Similar Documents

Publication Publication Date Title
KR101410515B1 (ko) 표면 프로세싱 장치들
JP7175339B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
US7837826B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
KR101451244B1 (ko) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
KR101198439B1 (ko) 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터
US6417111B2 (en) Plasma processing apparatus
KR101280125B1 (ko) 플라즈마를 이용한 기상 증착 반응기 및 이를 이용한 박막 형성 방법
US6344420B1 (en) Plasma processing method and plasma processing apparatus
EP0805475A2 (en) Plasma processing apparatus
JP7002655B2 (ja) 低周波バイアスを利用した誘電体膜の形状選択的な堆積
JP2005093737A (ja) プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
JP4426632B2 (ja) プラズマ処理装置
EP3719833B1 (en) Surface processing apparatus
JP2007521614A (ja) 膨張熱プラズマを誘導結合するシステム及び方法
KR20000022193A (ko) 고밀도 플라즈마 화학기상증착 장치 및 그 방법
JP2007258570A (ja) プラズマ処理装置
JP4554712B2 (ja) プラズマ処理装置
TW202342806A (zh) 具有加熱噴頭的噴頭組件
JP2011179096A (ja) 薄膜形成装置

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180516

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190515

Year of fee payment: 6