JP2008047915A - 表面処理装置 - Google Patents

表面処理装置 Download PDF

Info

Publication number
JP2008047915A
JP2008047915A JP2007211350A JP2007211350A JP2008047915A JP 2008047915 A JP2008047915 A JP 2008047915A JP 2007211350 A JP2007211350 A JP 2007211350A JP 2007211350 A JP2007211350 A JP 2007211350A JP 2008047915 A JP2008047915 A JP 2008047915A
Authority
JP
Japan
Prior art keywords
transmission plate
plasma
surface treatment
treatment apparatus
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007211350A
Other languages
English (en)
Other versions
JP5546722B2 (ja
JP2008047915A5 (ja
Inventor
Owain Peredur Thomas
ペレデュア トーマス オーウェイン
Andrew John Vassilios Griffiths
ジョン ヴァシリオス グリフィス アンドリュー
Michael Joseph Cooke
ジョセフ クック マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oxford Instruments Plasma Technology Ltd
Original Assignee
Oxford Instruments Plasma Technology Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oxford Instruments Plasma Technology Ltd filed Critical Oxford Instruments Plasma Technology Ltd
Publication of JP2008047915A publication Critical patent/JP2008047915A/ja
Publication of JP2008047915A5 publication Critical patent/JP2008047915A5/ja
Application granted granted Critical
Publication of JP5546722B2 publication Critical patent/JP5546722B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】高密度プラズマ化学的気相法において均一なデポジットを行うこと
【解決手段】基板の表面処理で使用するための表面処理装置が提供される。この表面処理装置は、プラズマソースと、使用の際に基板を取り付ける処理チャンバとを備え、処理チャンバはプラズマソースに作動的に接続され、表面処理装置はプラズマソースと処理チャンバとの間で使用するプラズマを透過するための透過プレートを有する。この透過プレートは、1つ以上の開口部を備え、1つ以上の開口部の物理的形状および/または1つ以上の開口部の分布で基板の表面で所定の処理パターンを形成するようになっている。一般に開口部の形状は、ウェーハの基板を横断して実質的に均一なデポジットを行うようになっている。
【選択図】図1

Description

本発明は、基板を表面処理するための装置に関し、特に化学的気相法またはエッチング法を補助するために、高密度プラズマを利用する装置に関する。
化学的気相法(CVD)およびプラズマエッチングは、半導体および集積回路業界で使用される周知の処理方法である。標準的なCVDプロセスでは、特別な反応チャンバ内に半導体ウェーハを入れ、ウェーハの表面を種々の化学的物質に露出させ、化学的物質をキャリアガス内注入するか、またはガス状態で反応チャンバに注入する。化学的物質は一般に1つ以上の揮発性プリカーサを含み、これらプリカーサはウェーハの基板と反応したり、および/または基板上で分解したりし、半導体ウェーハの表面を変え、関係する物質の化学的特性に応じて必要な処理を行う。多くのプロセスでは、揮発性のガス状副産物も生じ、この副産物は反応チャンバを通過するガス流を使って除去される。表面反応は新しい材料を追加するか、または現在ある表面をエッチングすることができる。共通する処理操作は、ウェーハの基板上に材料の層をデポジットし、ウェーハ基板から材料の層をエッチングし、デバイスのコンポーネント、電気接続部、絶縁部、電荷バリアおよびその他の共通する回路要素を形成する。
近年、表面処理の質および/または処理レートを高めるために、CVDシステム内でプラズマ強化法が実施されている。これらプラズマ強化CVD(PECVD)シスは、1つ以上の化学的プリカーサの反応性を高めるためのガス状化学物質の解離およびイオン化によって一般に作動する。プラズマ内のエネルギー粒子に起因するこれら強化された反応性は、処理レートを高め、従来のCVDシステムと比較したときに、より低い処理温度を使用できるようにする。プラズマ強化方法は、特にエッチングプロセスに対して有効である。
プラズマは平行プレート(PP)システムを使って反応チャンバ内で現場で発生させてもよいし、または反応チャンバおよび/または基板から離れた状態で発生させ、反応チャンバ内に移してもよい。図10には標準的なPPシステムが示されており、第1プレート104は、ウェーハ103を取り付けるプラットフォームとして使用され、第2プレート150は第1プレート104の上方の平行平面内に位置する。双方のプレートは、単一チャンバ115内に位置し、シャワーヘッドと称されるアセンブリ内の上部第2プレート150内の孔106のアレイを通して、このチャンバ115内にプロセスガス混合物が注入される。一般に第2プレート150は、無線周波数(RF)電流源160により駆動され、これら2つのプレートの間の空間内で、注入されたガス混合物を使ってプラズマ113が発生される。シャワーヘッドの孔106は、これら孔の内部における強度の寄生プラズマの発生を制限するために、実際に製造できる程度に小さくなっている。ガス混合物の入口内でプラズマが生じると、強度の局部的プラズマが発生し、このプラズマは処理の均一性を乱し、ガス注入装置を劣化し得る。各孔は、通常細いチューブの形状をしており、直径に対する長さの比は少なくとも5対1となっている。
PECVDおよびプラズマエッチングの分野における最近の進歩により、高密度(HD)プラズマを使用することが、次第に多くなっている。高密度プラズマCVD(HDPCVD)またはエッチングシステムは、一般に発生するイオンまたは電子密度が1011cm−3より大きいシステムとなっている。これによっても、標準的な平行プレートシステムと比較した時に解離効率は、一桁の大きさだけ大きくなる。これらの強化されたプラズマ特性は、HDPCVDプロセスの処理レートおよび/または質を更に高め、従来のPECVDと比較した時に、膜の水素含有量がより低くなり、より低い処理温度で高品質の膜が得られ、高アスペクト比特性の、空隙を生じないギャップ充填が可能となり、および自動平坦化が生じるという潜在的利点が得られる。
HDPCVDの一般的実施方法は、プラズマ発生チャンバーを備えた、誘導結合されたプラズマ(ICP)ソースを利用しており、このチャンバーのまわりには、誘導結合コイルが巻かれている。このコイルはプラズマ発生チャンバ内に電界を発生するよう、RF電源によって駆動され、こうして生じた電界プラズマクラウドを形成し、かつこのクラウドを点弧する。ここで(55kHzより低い)低周波、高周波(13.56MHz)またはマイクロ波周波数(ここではコイルはマイクロ波キャビティと置換される)(2.45GHz)を含む種々のRF周波数を使用できる。プラズマソースを処理チャンバから離間して設けることにより、ICPシステムは処理チャンバ内での表面処理に影響することなく、高密度のプラズマを遠隔的に発生できるようにしている。
ICP HDPCVDシステム内には、一般に2つ以上のガスまたはガス混合物が注入される。ICP発生チャンバ内に第1ガスまたはガス混合物を注入し、基板反応チャンバ内に第2ガスまたはガス混合物を注入する。発生した電界は、プラズマ発生チャンバ内の第1ガスのうちの電子を加速し、これによって個々のガス分子がイオン化し、個々の電子とガス分子とが衝突することにより、運動エネルギーの伝達が可能となる。米国特許第5,792,272号は、当技術分野で知られているHD ICP反応器の一例を開示している。
しかしながら、HDPCVDを使用する場合にいくつかの問題が生じる。代表的なCVDシステムでは、ウェーハ基板の反応表面にわたってプラズマ種の分布を均一にするために、化学物質の流れダイナミクスを制御することによって、プロセスの均一性を得ている。HDPCVDシステムでは、処理チャンバ内部のガスの分布は、プラズマが注入された任意のガスの流れダイナミクスと相互作用するので、制御が極めて困難である。
E.R.カイター氏およびM.J.クッシュナー氏は、プラズマ科学に関するIEEEトランザクション、第27巻第2号、1999年4月に刊行された論文「三次元シミュレーションからの高プラズマ密度−化学的気相反応におけるラジカルな電子密度」において、ガス分布の問題について検討している。この論文の図には、低圧反応スペース内のガスフローパターンにより、特に膜のための一次材料を支持するガス化合物、一般的にはシリコン含有膜のためのシランSiH4 のフローパターンによって、デポジションの均一性がどのように大きく影響されるかを明らかに示している。
薄膜のデポジションの均一性は、ウェーハ基板の直径にわたって約±3%の誤差を目標とする現在のデポジットプロセスでは、重要な性能上のパラメータである。従来技術では、関連するRF誘導コイルを使って発生するプラズマをシェイピングするか、またはガス注入器の特定の配置を使用するかのいずれかにより、このレベルの均一性が得られている。
欧州特許出願第EP-0870072-A1号は、プラズマソースと基板との間の環状部においてガス注入ノズルを特定の配置にすることによって、プロセスの均一性を高めることができることを教示している。しかしながら、このような高い均一性は、ノズルの幾何学的形状を経験的に調節することによって得られており、このような調節は手間がかかり、HDPCVD反応装置を複雑に変更しなければならない。更に、ガス注入ノズルの数を増し、ノズルの幾何学的形状を変えることにより、処理チャンバ内で複雑な流れパターンを設定することが可能であるが、このことは処理の均一性に予測できない影響を与え得る。
米国特許第5,800,621-A号および同第5,401,350号は、RF誘導コイルの配置を定めることにより、ICPソースの均一性を調節する方法を教示している。しかしながら、これら方法はプラズマソースチャンバ内の電界パラメータの複雑なモデル化を必要とし、一般により大きいパワーを必要とし、かつより複雑な電子回路も必要とする。
すべてのCVDプロセスを使用することによって生じる別の問題は、ウェーハ基板に塗布される化学薬品が、一般に更に処理チャンバのほとんどをコーティングすることである。従って、プラズマプロセスにより、現場でチャンバをクリーニングできる能力は、PECVDおよびHDPCVDシステムに対して重要である。均一性を改善するための従来の多くの技術は、処理装置も複雑にしているので、現場でチャンバをクリーニングすること、およびこのような影響を受けた部品を修理したり、置換できる能力を更に困難にしている。
従って、ICP HDPCVDシステムにおけるプロセスの均一性を制御するフレキシブルな方法が望まれている。この解決案は、かかるシステムの構造に大きな影響を与えるものではなく、簡単なクリーニングおよびメンテナンスを可能にできるものであることが好ましい。
本発明の第1の様相によれば、プラズマソースと、前記プラズマソースに作動的に接続されており、使用時に基板を取り付ける処理チャンバとを備えた、基板の表面処理に使用するための表面処理装置であって、前記プラズマソースと前記処理チャンバとの間で使用するプラズマを透過するための透過プレートを有し、この透過プレートは1つ以上の開口部を備え、前記1つ以上の開口部の物理的形状および/または1つ以上の開口部の分布は、基板の表面での所定の処理パターンを形成するようになっている表面処理装置が提供される。
従来技術は透過プレートを使用することから離脱することを教示している。その理由は、励起し、イオン化したプラズマ種は固体表面と接触することによって迅速に急冷されるからである。従って、包括的なガス流を変えるのに使用するよう開発された技術は、プラズマ種の特性が極めて異なることに起因し、プラズマソースおよび接続された処理チャンバを必要とする状況で使用するには適していない。更に、PECVDシャワーヘッドシステムはすべての点を犠牲に、シャワーヘッドを通過するプラズマを抑制するように設計されているが、透過プレートは大きな急冷を生じることなく、アクティブなプラズマ種がプレートを通過できるように設計されている。プレートの異なる部分を通過するプラズマ種の一部を変えることにより、表面処理の均一性を最適にするための簡単かつ効果的な手段が提供される。
プラズマソースと処理チャンバの間に取り付けられる透過プレートの特徴を制御することにより、本発明は基板の表面での処理を注意深く制御できるようになる。一般に、透過プレートは基板の表面を横断して均一な処理レートが得られるように、1つ以上の開口部の物理的形状および/または分布を定めるよう設計される。異なる処理パターンが必要とされる場合に、または処理プレートをクリーニングしたり、交換しなければならないときに、かかるプレートの取り外すことおよび交換することは簡単である。このことは、均一性を提供するための方法が本来的に完全なHDPCVD装置向け専用となっている所定のノズルの幾何学的形状またはRFコイルコンフィギュレーションを使用することと対照的である。本発明では、異なるプラズマ種と共に使用するために透過プレートを変えることもできる。
透過プレートは、各円形開口部の直径が透過プレートの厚みよりも大となっている複数の円形開口部を含むことが好ましい。各開口部の直径と透過プレートの厚みの比は、一般に3対1よりも大とすべきである。このようにすることによって、プラズマを構成するアクティブなプラズマ種を破壊することなく、透過プレートを使用することが可能となる。透過プレートは実質的に円筒形のプラズマソースおよび処理チャンバに対して、形状が一般に円形となる。これらケースでは、プラズマソースとプラズマソースの下方に取り付けられる処理チャンバとは一般に軸方向に整合する。
一部の実施例では、透過プレート上の1つ以上の同心状開口部のリングに複数の開口部が分布し、1つ以上の同心状開口部のリングの中心は、透過プレートの中心となっている。これらケースでは、各同心状開口部のリング内の複数の開口部の回転角方向の間隔または同心状開口部のリングの各ペアの間の径方向の間隔は、基板の表面上に所定の処理パターンを形成するようになっている。
プラズマソースは誘導結合されたプラズマを発生し、プラズマチャンバと、RF駆動された誘導結合コイルを備えることが好ましい。RFソースに対する一般的な駆動パラメータは13.56MHzの周波数、および1〜3kWのパワーである。
一般にこの装置は、2つのガスまたはガス混合物供給部を使用する。すなわちプラズマソースに対する第1ガスまたはガス混合物供給部と、処理チャンバに対する第2ガスまたはガス混合物供給部を使用する。基板の代表的な表面処理は、基板の表面に材料をデポジットしたり、またはこの表面から材料を除去することを含む。一部の実施例では、1つ以上の開口部の物理的形状および/または1つ以上の開口部の分布が、基板の幅を横断する材料の実質的に均一なデポジットレートまたは材料の除去レートを提供するようになっている。
透過プレートの熱劣化を防止するために、更に熱サイクルを通過することによる透過プレートからの粒子のフレーキングを制限するために、プレートの熱伝導率は一般に100Wm−1k−1より大であり、プレートは低熱抵抗路を介して外部チャンバと熱接続される。透過プレートは金属または金属合金プレートのいずれかを含むことができる。これとは異なり、より高い温度で作動できる、より低い熱膨張係数を有する、より低い熱伝導率の材料、例えばアルミナセラミックスを使用してもよい。エッチングのために塩素を含むガス混合物と共に透過プレートを使用する場合、アルミナが好ましい。アノード酸化アルミニウムまたは反応性プラズマに対してより不活性な材料がコーティングされた金属、例えばプラズマ噴射アルミナを使用し、プラズマに対する不活性を有する改良された潜熱伝導率の有利な効果を組み合わせることもできる。
本発明の第2の様相によれば、使用時に透過プレートがプラズマソースと処理チャンバとの間に取り付けられ、前記プラズマソースから前記処理チャンバへのプラズマの透過を可能にする1つ以上の開口部を備えた、前記処理チャンバ内に取り付けられた基板の表面処理に使用するための透過プレートを製造するための方法であって、
a)プラズマソースと透過プレートを有しない処理チャンバとを使用し、前記基板の半径rに対する前記基板上の表面処理の処理レートを測定するステップと、
b)前記測定されたプロセスレートにプロセスレート関数d(r)を適合するステップと、
c)d(r)×T(r)が定数となるように、前記透過プレートの中心からの半径の関数としてプラズマ透過関数T(r)を計算するステップと、
d)前記透過プレートに対して測定されたプラズマ透過関数が、プラズマ透過関数T(r)に対して最良に適合するように、1つ以上の開口部の物理的形状および/または1つ以上の開口部の分布に対する開口部の構造を定めるステップと、
e)前記ステップd)で定義された開口部の構造を使用して、透過プレートを製造するステップとを備える、透過プレートを製造するための方法が提供される。
この方法に従うことにより、新しいか、または異なる処理条件に応答して、新しい透過プレートを迅速かつ容易に形成できる。
本発明の第3の様相によれば、
a)前記透過プレートの一方の側で、第1ガスまたはガス混合物を前記プラズマソース内に注入するステップと、
b)前記透過プレートの反対側の前記処理チャンバ内に第2ガスまたはガス混合物を注入するステップと、
c)測定された処理レートに応答し、前記2つの注入されるガスのガスフロー比を調節するステップとを備えた上記装置を作動させる方法が提供される。
双方のガス混合物は、貴ガスを含むことができ、双方のガス供給部は同一の貴ガスを注入してもよい。この方法は、均一性を更に高め、透過プレートはプラズマソースへの望ましくない反応ガス種の移動を制限する。
本発明を良好に理解できるようにするために、以下、添付図面を参照し、本発明について説明する。
図1は、高密度プラズマ化学的気相(HDPCVD)システムの略図である。このシステムは、2つの主要部品、すなわちプラズマソース1と、処理チャンバ2とから成る。プラズマソースは静電シールド10によって囲まれた垂直軸線を有する円筒形の絶縁チューブから構成されたプラズマチャンバ8を備える。一般に絶縁材料として石英またはアルミナが使用される。円筒形チューブ8の頂部には一組のガスの入口9が設けられており、これらガスの入口は、軸方向に対称的に分布しており、第1ガスまたはガス混合物がプラズマ発生に使用されるプラズマチャンバ内に注入するのに使用される。この第1ガス混合物はアルゴンのような貴ガスを含むことが好ましい。このガスまたはガス混合物は、プラズマソース1内でイオン化され、励起され、次に流れと拡散の組み合わせにより処理チャンバ2へ運搬される。
このプラズマチャンバ8を、水冷式無線周波数(RF)コイルアンテナ7が囲んでおり、このアンテナは、プラズマチャンバ8内にプラズマを発生する際に使用するための誘電結合コイルを形成している。このRFコイル7は、マッチングユニット(図示せず)を介して13.56MHzの3kWのRF発生器に接続されている。RFコイル7を通過する電流は、プラズマチャンバ8の軸線に沿ってRF磁束を有効に発生し、発生したこの磁束は更にプラズマチャンバ8内にRF電界を誘導する。こうして誘導された電界は、注入されたガスクラウド内で電子を加速し、プラズマチャンバ内に高密度のプラズマを発生する。誘導結合されたRFコイル7を制御することにより、オペレータはプラズマチャンバ8内でのプラズマの解離および入射イオンの密度を制御できる。図7では、陰領域13により、最大強度のプラズマが示されている。
透過プレートが適当に整合した孔を有することを条件に、レーザー干渉計により、基板表面を観察するための検査ポート14を設けることができる。頂部プレート16と、サイドカバー15と、プレートソースのベースプレート17は、RFコイル7からのRF放射線を収納するための密閉体を形成している。
プラズマソース1の下方には、プラズマチャンバ8と軸方向に整合する処理チャンバ2が位置する。この処理チャンバ2は、ヘリウムでアシストされた熱伝達により、冷却または加熱される205mmの直径の下方電極から製造された基板テーブル4を一般に備える。このテーブルは電気的にアースしてもよいし、または基板表面におけるイオン衝撃エネルギーを制御するために、別個のRF電源から給電してもよい。この基板テーブル4の上にウェーハ基板3が載せられており、この基板はモジュラー式クランピング機構5を使って所定の位置に更に保持できるようになっている。処理チャンバ2は、基板テーブル4の下方に取り付けられたポンピングポート11を介し、機械式ポンプにより支持されたターボ分子ポンプを使用する吸引により、一般に低圧力または真空に維持されている。この例では、ポンピングポート11は、200mmの直径の高コンダクタンスのポンピングポートとなっている。処理チャンバ2の頂部において環状体6内にガスノズルのリングが設けられており、このノズルを通してガスまたはガス混合物が注入される。シリコン化合物をデポジットするためのプロセスでは、このガス混合物内にシランのようなシリコン支持ガスが含まれる。アルゴンのような貴ガスがこの混合部の一部を形成することが好ましい。
使用に際し、ICPコイルに適当なRF電流を提供することにより、プラズマソース1の内部にプラズマ13が発生される。従来のシステムでは、処理チャンバ2に直接プラズマソース1が接続され、基板テーブル4にRFバイアスを加えることにより、ウェーハ基板3の上のイオン衝撃エネルギーが制御される。しかしながら、本明細書の導入部で説明した、カイター氏およびクッシュナー氏の論文から分かるように、これら従来のシステムはウェーハ基板3上で不均一な処理レートを発生する。
従って、均一性を得るために、プラズマソース1と処理チャンバ2との間に透過プレートが取り付けられ、透過プレート12を通してプラズマ13が駆動され、これによりプラズマクラウド内の電子の分布が変わる。本発明では、透過プレートによりプラズマの流れを遮断すると、流れの構成が変わるようになっている。
次に図1の装置を参照し、透過プレート上の開口部の形状および/または配置を定めるための方法について説明する。まず、設定表面のプロセスを選択し、よって図1の装置をセットアップし、この場合、透過プレート12をアセンブリから外しておく。次に、基板テーブル4の上にテストウェーハ基板3を載せ、プラズマソース1内でプラズマを発生させる。次にこのプラズマを使って透過プレートが存在しない状態でウェーハに材料をデポジットし、その結果生じるウェーハ基板3を分析する。テストウェーハ基板3を使用し、透過プレート12の好ましい効果が生じない場合のHDPCVDプロセスにおけるデポジットレートを測定できる。このデータから、図6および9と同じように、ウェーハの半径に対するデポジットレートのグラフをプロットできる。一旦実験データをプロットし、任意の半径rでデポジットレートを計算できるよう、データに対してデポジットレートの関数d(r)を適合することができる。立方スプラインまたは多項曲線に対して適用される最小平均二乗誤差方法を含むが、この方法だけに限定されない公知の任意のデータ適合技術を使用できる。
デポジットレート関数d(r)を適合した後に、透過プレートの半径rの関数として、透過プレートを通過するプラズマ透過関数を発生するように、透過プレートに対する透過関数T(r)を計算する。これら双方の関数は、透過プレートと基板ウェーハとが軸方向に整合していると見なす。d(r)×T(r)=1となるように、透過関数T(r)を計算する。すなわちデポジットレート関数の逆数となるように透過関数を計算する。必要な透過関数T(r)が一旦計算されると、この透過関数T(r)に最良に適合する実際のプラズマ透過分布となるように、一組の開口部を発生または計算することができる。このことは、標準的なプラズマフローモデルおよび方程式を使って実験的または理論的に行うことができる。例えば作動条件が公知である場合、プラズマが基板テーブルに向かって移動するときのプラズマの速度を計算し、プラズマの流れに関するプラズマのフラックスのパラメータを提供できる。次に、透過関数T(r)がプラズマのフラックスに比例するものと見なすことができる。標準的な計算により、透過プレートの環状面積当たりの開口部の面積の値を計算することができ、よってこれら面積条件を最良に満たすような開口部の形状を計算できる。
図12には、上記関数の適合の一例が示されている。種々の径方向の位置における必要とされるプラズマの透過度、すなわちプラズマ透過の目安を分析するためのスタートポイントとして、10個の同じ幅の同心バンドに分割された透過プレートを使用する。各同心バンドに対する理想的なプラズマ透過度は、塗りつぶされていないバー121で示されており、透過プレートが存在しないときのウェーハ基板上のデポジットレートから導かれる。図2に示され、10個の同心バンドを横断するように位置する同じ直径の孔の一連の簡単なリングに基づく設計も開発し、当技術分野で公知の方程式を使ってプラズマ透過度を計算する。次に、理想的な透過度に最良に適合する計算されたプラズマ透過度を有するデザインを選択する。選択されたデザインの透過度は影の付いたバー120内に示されており、均一な表面プロセスに対して必要とされる理想的な透過度に良好に適合する。単一の中心孔を設けるか、省略するかの二者択一が行われるため、中心では適合が欠如しているに過ぎない。
変更できる透過プレートの変数は、単位面積当たりの開口部の数、開口部の形状、円形開口部が使用されている場合の各開口部の直径、楕円開口部が使用されている場合の各開口部の長軸および短軸、またはこれらの任意の組み合わせを挙げることができるが、これらだけに限定されるものではない。図2〜5に示されるような同心リング配置を使用して、単位面積当たりの開口部の数を更に定めることができ、ここでは、開口部の密度は、同心リング30〜35の径方向のスペースおよび一組の円形開口部21の同心スペースによって決まる。
透過関数から開口部の形状を開発することは、一般に開口部の形状に関する制限が関係している。例えば円形の開口部を使用する場合、かかる開口部の直径は透過プレートを通過するプラズマの流れの効率を保証するために、透過プレートの厚みよりも大きくなっていなければならない。実験的テストとモデル化により、プレートの厚みに対する開口部の直径の比を少なくとも3対1にすると、必要な透過レートが得られ、アクティブなプラズマ種の破壊と再結合を防止できることが分かっている。壁に接触することなく、厚みaのプレート内の半径Rの単一の円形の孔を通過するガス粒子の一部を計算し、これを図11に示した。この計算では、平均自由行路は、孔の寸法と比較して長いと見なす。図は、孔のアスペクト比(半径対厚み)が少なくとも3対1の場合に壁との潜在的な急冷に遭遇することなく、プラズマ種の少なくとも50%が透過し、アスペクト比が1.5対1の場合、少なくとも30%が透過することを、図は示している。直径パラメータの代わりに、関連する幅および長さのパラメータと共に、円形でない開口部を使用する場合、レートの厚みに対する同様な開口部の幅の比、および/またはプレートの厚みに対する開口部の長さの比に従わなければならない。
図2〜5には、この方法を使用して設計された、採用できる透過プレートのコンフィギュレーションの例が示されている。各透過プレートは円形ディスク20を備え、このディスクにはプラズマソース1から処理チャンバ2へのプラズマの通過を可能にする複数の円形開口部21が設けられている。上記設計プロセスの範囲内で、図3〜5において、暗い影によってハイライト表示された所定の開口部23、24および26をのぞくことにより、その結果得られる処理レートを透過プレート12が存在する場合に得られる処理レートから変えることができる。
図2は、中心の円形開口部36、半径が次第に増加する6つの同心開口リング、すなわち均一に離間した39個の円形開口部21を備えた外側の第1同心開口リング30と、均一に離間した39個の円形開口部21を備えた第2同心開口リング31と、均一に離間した28個の円形開口部21を備えた第3同心開口離間32と、均一に離間した18個の円形開口部21を備えた第4同心開口リング33と、均一に離間した12個の円形開口部21を備えた第5の同心開口リング34と、均一に離間した6個の円形開口部21を備えた第6の同心開口リング35、および中心開口部36を備えた基本的な開口部の分布を示す。このパターンは、プレートのエッジの近くの透過を最大にするという制限を更に課し、一定の孔の直径を10mmにし、横方向の熱伝導および機械的な安定性のために適当な量の金属を残した状態で、上記透過プレートの設計方法を使用して導いたものである。
最初の3つの同心開口リング30、31、32は、第1の均一ラジアルスペース40を有する。すなわち外側の第1同心リング30内の円形開口部の中心から、第2同心リング31内の円形開口部の中心までの距離は、第2の同心リング31内の円形開口部の中心から第3同心リング32内の円形開口部の中心までの距離に等しい。内側同心開口部のリング33、34、35および中心開口部36は、第1の均一な径方向のスペース40よりも大きい第2の均一な径方向のスペース41を有する。3つの外側の同心開口部のリング30、31、32内の円形開口部の回転角方向のスペース42は、同心リングに対して最大の開口部の密度を有する第2の同心開口部のリング31と共に変化する。内側の同心開口部のリング33、34、35も、回転角方向のスペースが変化し、この場合、最小の回転角方向のスペースは、3つの外側の同心開口部のリング30、31、32の最大の回転角方向のスペースよりも大きく、円形開口部の回転角方向のスペースは、同心開口部のリングの半径が小さくなるにつれて増加する。
円形ディスク20は一般に3〜5mmの間の厚みを有するよう、アルミ合金No.6082から製造される。適当な透過レートにするために、円形開口部21の直径はプレートの厚みよりも大きく、一般に図示されている透過プレートに対しては、プレートの厚みに対する開口部の直径の比は3対1よりも大きい。従って、上記アルミ合金を使用する場合、円形開口部の直径は9〜15mmの間にあり、この場合、すべての開口部の直径は9mmよりも大であることが好ましい。しかしながら、開口部の直径を5mmよりも大にした場合、好ましい透過特性を示し始める。
図6のグラフには、SiNx をデポジットする際に、図1の装置と共に使用されたときの、図2〜5に示された各透過プレートのコンフィギュレーションの効果が示されている。ライン61は、装置に透過プレート12が存在しないときの100mm幅のウェーハの直径にわたってデポジットレートがどのように変化するかを示している。従来技術で見られるように、透過プレートが存在したにことによってエッジよりもウェーハの中心により多くの材料がデポジットされることが明瞭である。これによってデポジットパターンが不均一となり、こんことは、下流側の半導体および集積回路の処理に好ましくない結果をもたらすことがあり得る。
図2に示されるような透過プレートを使用すると、図6内のライン62で示されるようなウェーハ基板にわたるデポジットレートのプロフィルを改善できる。6個の同心開口部のリングの径方向のスペースおよび回転角方向のスペースを変えたことは、内側の同心開口部のリング33〜35よりも外側の3つの同心開口部のリング30、31、32で、より多くの量のプラズマを透過できることを意味する。これらパラメータを変えることにより、同心リングの面積当たりのプラズマ透過率を変えることができ、次に、このことにより、基板3が透過プレート12と軸方向に整合していると仮定した場合に、ウェーハ基板3の対応する同心面積内のデポジットレートを変えることができる。例えば第2同心開口部のリング31内で同心開口部の密度が最大となるので、透過プレート12に垂直なプラズマ密度および速度が均一であると仮定した場合、同心単位面積当たりのプラズマのプラズマフラックスも最大となる。実際の使用では、プラズマ密度は透過プレート12の直径にわたり、プラズマチャンバ8内で変化するので、円形開口部21を配置することによって生じる開口部の密度を変えることは、プレートの処理チャンバ2側のプラズマ密度をより均一に発生することに役立つ。しかしながら、図2に示された透過プレート12は、ウェーハ基板3にわたるデポジットレートの一部の不均一性も発生させ、この透過プレート12は実験的に、または関数の適合を更に実施するかのいずれかにより、設計方法を繰り返すことによって最適にできる。
図3に概念的に示されるように、中心開口部23を省略することにより、透過プレート12の円形ディスク20内の円形開口部21の分布を変えると、ライン63が示すように、基板ウェーハの中心におけるピークのデポジットレートが低下する。この理由は、透過プレート12には中心開口部23がもはや存在しないので、プラズマチャンバ8の中心近くに存在するプラズマは、処理チャンバ2に垂直に進入することはできず、よって処理チャンバの中心近くにプラズマの不足が発生し、透過プレートの下方の、ウェーハ基板3の中心における化学的反応レートが低下するからである。
円形開口部21の分布を更に変えることにより、例えば円形ディスク20内にどの開口部を残すか、どの開口部を排除するかを選択することにより、ウェーハを横断するデポジットレートを更に変えることができる。図4に示された開口部の文法は、中心開口部29を排除し、影領域24によって概念的に示された同心開口部のリング35の開口部を除いている。このようなコンフィギュレーションの結果生じるデポジットレートのプロフィルは、図6におけるライン65で示されており、更にスムーズになっている。しかしながら、このパターンはウェーハ基板3の中心のデポジットレートを過度に減少させ、m形状のデポジットレートのプロフィルを生じさせるので、まだ最適ではない。図5の透過プロフィルによって最適なデポジットレートのパターンが得られる。このパターンは、ライン64が示すように、ウェーハの表面を横断して実質的に均一なデポジットレートを提供する。このコンフィギュレーションでは、同心開口部のリング35の円形開口部の半分が残っており、中心開口部23および影の付いた開口部26だけが除かれている。実験のテストは、SiNxデポジットにおいて、(7mmのエッジを除き)±1.50%の厚みの均一性が得られることが分かった。このテストにおけるすべての透過プレートは、3mmのプレート厚みを使用し、10mmの直径の孔を使用した。
透過プレート12から所定の開口部を除いたり、残したりするように選択することに加え、またはその代わりに、所定の開口部の直径を変えることもできる。例えば同心開口部のリング42と同じように、円形開口部21を一連の同心円として配置する場合、各同心リング内の開口部の各組の直径は、各同心リングの半径が減少するにつれ小さくなる。このことは、図4および5に示された分布に同様な効果を及ぼす。これら図では、プレートの半径を横断する開口部の直径の変化のレートは、基板ウェーハ3を横断するデポジットレートを変えている。これとは異なり、一連の同心リングに基づく同様な設計は、楕円形状の開口部を使用できる。各同心円の半径が減少するにつれ、各開口部の長軸をデクリメントでき、この結果、外側の同心円は広い、すなわち長い楕円パターンとなり、より中心の同心円ではほぼ円形の楕円パターンが生じる。このことは再び、デポジットをより均一にすることに役立つ変更されたデポジットレートを発生させる。
ウェーハ基板3に材料をデポジットすることに関連して、これまでの分布および配置について説明したが、ウェーハ基板から材料をエッチングしたり、除いたりするのにも、本装置を同じように使用できる。これらケースでは、当技術分野で公知のように、アクティブにされたプラズマ13は化学的プリカーサをアクティブにし、かつ解離させる手段となり、このようにアクティブにされ、解離されたプリカーサはウェーハ基板3の表面にある材料を除くように反応する。
図7には図5の装置の三次元モデルが示されている。使用に際し、プラズマソース1の外側チャンバ15の上部表面またはベースプレート17に対するプレートのエッジの近くの3つのポイント70に透過プレート12を取り付ける。この取り付けは、ベースプレート17の温度の20℃内に透過プレート12を維持するよう、低熱抵抗路を提供するように構成される。このことはソース内で3kWのプラズマを作動させる間、プレートの中心における温度を測定することによって実証されている。プレートの温度は決して70℃を越えることはなかった。アルミ合金No.6082はプラズマ13に接触する透過プレートの領域から離間するように熱を伝える高レベルの熱伝導率度を有しており、この透過プレートは発生する熱を更に散逸させるよう、同様な熱伝導率の材料から製造された外側チャンバの断面に一般に熱結合されている。透過プレート12の温度変化を最小にすることにより、温度プレート12から粒子のフレーキングを生じることなく、ウェーハ基板3上により多くの材料をデポジットできる。更に、透過プレートの温度を制御することにより、透過プレートとアクティブなプラズマとの間の好ましくない相互作用も低減できる。アルミ合金の代わりに他の金属または金属合金を使用できるが、かかる金属または金属合金は100W-1-1よりも高い熱伝導率を有する。これとは異なり、透過プレート12をアルミナシ−トまたは別のセラミックから製造できる。アルミナ製透過プレートは、等価的なアルミニウム透過プレートよりも大きい温度上昇を生じさせる。その理由は、アルミナの熱伝導率はアルミニウムの熱伝導率の約10分の1であるからである。しかしながら、アルミナの熱膨張係数はアルミナの熱膨張係数の約3分の1であるので、アルミナの熱膨張係数は最も一般的にデポジットされる絶縁層に、より良好にマッチングするので、熱サイクルによってデポジットされた材料の深刻なフレーキング現象を生じさせない。
透過プレート12は、3つの接続ポイント70によって外部チャンバによって接続されているだけであるので、種々の操作のために透過プレート12を容易に設置したり取り外したりできる。例えばプレートが劣化を示し始めた場合に、新しい分布にしたり、プレートを交換したりすることができる。SiOxまたはSiNx膜をデポジットする際に、透過プレート12を現場でクリーニングするために、プレートに累積したデポジット物を除去するようなフッ素含有プラズマを使用することができる。
前に説明したように、異なる処理技術または異なる化学的気相法を使用するときには、透過プレート12を交換しなければならないことがある。例えば図7に示された透過プレートは、SiNxのデポジット用に設計されているが、SiOxのデポジットに使用する際には、デポジットを開始するのにプラズマを低く活性化するだけでよい。従って、図8は、SiOxのデポジットで使用するためのSiOxの透過プレート82を示している。SiOx透過プレート82は、プレートの中心円形部分84内に開口部が存在しない状態の円形開口部21の3つの同心リング85、86、87を含む。図9には、このプレートを使用したときの基板ウェーハを横断する、上記の結果得られる、北から南へのデポジットレベル91および西から東へのデポジットレベル92が示されている。図8に示された透過プレートを使用するときには、100mmのウェーハ基板3を横断するように±1.43%の均一性(7mmのエッジを除く)を得ることができる。この値は、図2に示されるような変更されていない透過プレートを使用したときに得られる均一性のばらつき、すなわち一般的には±3.5%の半分である。透過プレートを使用しない場合、非均一性はより大きくなる。
経験的な観察では、透過プレート12からの膜のフレーキングを生じることなく、透過プレート12を使用したときには少なくとも5ミクロン、多くても20ミクロンの基板上の全デポジット厚みが実証されている。
透過プレートがある場合、異なるプロセスガスをどこに注入するかを選択することにより、表面プロセスのステップを個別に定めることが可能になる。シランのようなガスは高密度プラズマ内に、すなわちプラズマチャンバ1内に注入してはならないことが分かっている。これらガスは、容易に解離し、これらガスが接触する次の表面に接着するような材料を発生するからである。透過プレート12はHDP領域へのかかるガスの進入を制限し、プラズマソース領域をよりクリーンに維持するのに役立つ。更に、プレートの上部に貴ガスを注入すると、基板に向かってイオンおよび励起したプラズマ種を流すことができ、一方、プレートの下方に注入すると、より多くの余分なイオンの衝突を加えることなく、他のプラズマ種の拡散を変えるのに役立つ。透過プレートの上部に注入される貴ガスは、透過プレートの下方でガス混合物と共に注入される貴ガスと同一のものでもよい。図13にはこのプロセスの効果の一例が示されている。この図は、プラズマソース1にアルゴンを注入することによるSiO2のデポジットの均一性の変化を示している。均一性は最大デポジットレートと最小デポジットレートの差として測定され、この目安はプラズマソースへのアルゴンガスの流入が増加するにつれ、減少する。
要約すれば、多数の実施例を参照し、これまで本発明を説明したが、本発明は下記のような従来技術に対する多数の利点を提供できる。
・新しい透過分布に変更するために、透過プレート12を容易に取り外し可能となっていること。
・SiOxまたはSiNx膜をデポジットするときに、フッ素を含むプラズマにより、現場で透過プレート12をクリーニングできること。
・取り付けプレート12の取り付けポイントで外部チャンバに良好に熱接続するだけで、透過プレート12の温度を制御できること。
・本発明を使用すると、透過プレート12からの膜フレーキングを生じることなく、少なくとも5ミクロン、多くて20ミクロンの全デポジット厚みが得られることが実証されていること。
・従来の複雑なガスノズルおよびRFコイル構造と比較して、透過プレート12をより簡単かつ経済的にできること。
本発明に係わる表面処理装置を示す。 本発明に係わる第1の可能な透過プレートの開口部のコンフィギュレーションを示す。 本発明に係わる第2の可能な透過プレートの開口部のコンフィギュレーションを示す。 本発明に係わる第3の可能な透過プレートの開口部のコンフィギュレーションを示す。 本発明に係わる第4の可能な透過プレートの開口部のコンフィギュレーションを示す。 デポジットレートに対する透過レートの開口部のコンフィギュレーションの効果を示すグラフである。 本発明に係わる透過プレートの3Dモデルの図である。 本発明に係わるSiOxのデポジットで使用するための、透過プレートの3Dモデルの第2の図である。 図8に示されるような透過プレートを使用するときの、デポジットの厚みの効果を示すグラフである。 従来の平行プレートPECVDシステムを示す。 透過率に対する、半径対厚みのアスペクト比を変えたときの効果を示すグラフである。 実際のプラズマ透過尺度と理想的なプラズマ透過尺度との適合を示すグラフであり、透過度は透過プレートの半径を横断するように測定されたものである。 デポジット均一度に対する透過プレートの上方での、アルゴンガスを注入した効果を示すグラフである。
符号の説明
1 プラズマソース
2 処理チャンバ−
3 ウェーハ基板
4 基板テーブル
7 RFコイル
8 プラズマチャンバ
9 ガスの入口
11 ポンピングポート
14 検査ポート
15 カバーポート
16 頂部プレート
17 ベースプレート
21 開口部
30〜35 リング

Claims (34)

  1. プラズマソースと、
    前記プラズマソースに作動的に接続されており、使用時に基板を取り付ける処理チャンバとを備えた、基板の表面処理に使用するための表面処理装置において、
    前記プラズマソースと前記処理チャンバとの間で使用するプラズマを透過するための透過プレートを有し、この透過プレートは1つ以上の開口部を備え、前記1つ以上の開口部の物理的形状および/または1つ以上の開口部の分布は、基板の表面での所定の処理パターンを形成するようになっていることを特徴とする表面処理装置。
  2. 前記透過プレートは、複数の円形開口部を備える請求項1記載の表面処理装置。
  3. 各円形開口部の直径は、前記透過プレートの厚みより大である請求項2記載の表面処理装置。
  4. 透過プレートの厚みに対する開口部の直径の比は、3対1より大である請求項3記載の表面処理装置。
  5. 各円形開口部の直径は、5mmより大である請求項2乃至4の何れか1項に記載の表面処理装置。
  6. 各円形開口部の直径は、9mmより大である請求項5記載の表面処理装置。
  7. 前記透過プレートは、形状が円形である請求項1乃至6の何れか1項に記載の表面処理装置。
  8. 前記透過プレート上の1つ以上の同心開口部のリング内に複数の開口部が分布しており、前記1つ以上の同心状開口部のリングの中心は、透過プレートの中心となっている請求項7記載の表面処理装置。
  9. 各同心開口部のリング内の複数の開口部の同心状スペースは、前記基板の表面に所定の処理パターンを形成するようになっている請求項8記載の表面処理装置。
  10. 前記透過プレートには複数の同心状開口部のリングが配置されており、同心状開口部のリングの各ペアの間の径方向のスペースは、前記基板の表面に所定の処理パターンを形成するようになっている請求項8又は9に記載の表面処理装置。
  11. 前記プラズマソースは、誘導結合されたプラズマである請求項1乃至10の何れか1項に記載の表面処理装置。
  12. 前記プラズマソースは、プラズマチャンバと、誘導結合されたコイルとを備える請求項11記載の表面処理装置。
  13. 前記誘導結合されたコイルは、無線周波数(RF)ソースに接続されている請求項12記載の表面処理装置。
  14. 前記RFソースは、13.56MHzでRF電流を供給する請求項13記載の表面処理装置。
  15. 前記プラズマソースへの第1ガス供給部と、前記処理チャンバへの第2ガス供給部とを更に備える請求項1乃至14の何れか1項に記載の表面処理装置。
  16. 前記基板の表面処理は、前記基板の表面に材料をデポジットすることを含む請求項1乃至15の何れか1項に記載の表面処理装置。
  17. 前記1つ以上の開口部の物理的形状および/または前記1つ以上の開口部の分布は、前記基板の幅にわたってデポジットレートを実質的に均一にするようになっている請求項16記載の表面処理装置。
  18. 前記基板の前記表面処理は、前記基板の表面から材料を除去することを含む請求項1乃至17の何れか1項に記載の表面処理装置。
  19. 前記1つ以上の開口部の物理的形状および/または前記1つ以上の開口部の分布は、前記基板の幅にわたって材料の除去レートを実質的に均一にするようになっている請求項18記載の表面処理装置。
  20. 前記透過プレートの前記熱伝導率は、100Wm−1K−1より大である請求項1乃至19の何れか1項に記載の表面処理装置。
  21. 前記透過プレートは、低熱抵抗路を介して前記処理チャンバに熱接続されている請求項1乃至20の何れか1項に記載の表面処理装置。
  22. 前記透過プレートは、金属または金属合金プレートを含む請求項1乃至21の何れか1項に記載の表面処理装置。
  23. 前記透過プレートは、プラズマによる攻撃に耐えることができる層でコーティングされた金属または金属合金プレートを備える請求項22記載の表面処理装置。
  24. 前記透過プレートは、セラミックプレートを備える請求項1乃至20の何れか1項に記載の表面処理装置。
  25. 前記透過プレートは、アルミナプレートを備える請求項24記載の表面処理装置。
  26. 前記プラズマチャンバおよび前記処理チャンバは、実質的に円筒形のチャンバを備える請求項12乃至14の何れか1項に記載の表面処理装置。
  27. 前記プラズマチャンバと前記処理チャンバとは軸方向に整合している請求項26記載の表面処理装置。
  28. 前記処理チャンバの上方に前記プラズマソースが取り付けられている請求項1乃至27の何れか1項に記載の表面処理装置。
  29. 使用時に透過プレートがプラズマソースと処理チャンバとの間に取り付けられ、前記プラズマソースから前記処理チャンバへのプラズマの透過を可能にする1つ以上の開口部を備えた、前記処理チャンバ内に取り付けられた基板の表面処理に使用するための透過プレートを製造するための方法であって、
    a)プラズマソースと透過プレートを有しない処理チャンバとを使用し、前記基板の半径rに対する前記基板上の表面処理の処理レートを測定するステップと、
    b)前記測定されたプロセスレートにプロセスレート関数d(r)を適合するステップと、
    c)d(r)×T(r)が定数となるように、前記透過プレートの中心からの半径の関数としてプラズマ透過関数T(r)を計算するステップと、
    d)前記透過プレートに対して測定されたプラズマ透過関数が、プラズマ透過関数T(r)に対して最良に適合するように、1つ以上の開口部の物理的形状および/または1つ以上の開口部の分布に対する開口部の構造を定めるステップと、
    e)前記ステップd)で定義された開口部の構造を使用して、透過プレートを製造するステップとを有することを特徴とする透過プレートを製造するための方法。
  30. 前記ステップd)で定義された前記開口部は、厚みに対する所定の幅の比を有する請求項29記載の方法。
  31. a)前記透過プレートの一方の側で、第1ガスまたはガス混合物を前記プラズマソース内に注入するステップと、
    b)前記透過プレートの反対側の前記処理チャンバ内に第2ガスまたはガス混合物を注入するステップと、
    c)測定された処理レートに応答し、前記2つの注入されるガスのガスフロー比を調節するステップとを有することを特徴とする請求項1に記載の装置を作動させる方法。
  32. 前記第1混合ガスと前記第2混合ガスは、貴ガスを含む請求項31記載の方法。
  33. 前記第1混合ガスと前記第2混合ガスは、同一の貴ガスを含む請求項32記載の方法。
  34. 前記透過プレートの温度を外部チャンバの温度の20℃の範囲内に維持する請求項31乃至33の何れか1項に記載の方法。
JP2007211350A 2006-08-14 2007-08-14 表面処理装置 Expired - Fee Related JP5546722B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB0616131.9 2006-08-14
GBGB0616131.9A GB0616131D0 (en) 2006-08-14 2006-08-14 Surface processing apparatus

Publications (3)

Publication Number Publication Date
JP2008047915A true JP2008047915A (ja) 2008-02-28
JP2008047915A5 JP2008047915A5 (ja) 2010-09-30
JP5546722B2 JP5546722B2 (ja) 2014-07-09

Family

ID=37056324

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007211350A Expired - Fee Related JP5546722B2 (ja) 2006-08-14 2007-08-14 表面処理装置

Country Status (5)

Country Link
US (1) US20080035608A1 (ja)
EP (1) EP1889946B1 (ja)
JP (1) JP5546722B2 (ja)
KR (1) KR101410515B1 (ja)
GB (1) GB0616131D0 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010123627A (ja) * 2008-11-17 2010-06-03 Mitsubishi Heavy Ind Ltd 真空処理装置
JP2014533434A (ja) * 2011-10-17 2014-12-11 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 基板処理チャンバ内の寄生プラズマの機械的抑制
JP2015192102A (ja) * 2014-03-28 2015-11-02 株式会社アルバック 反応性イオンエッチング装置

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101849283A (zh) * 2007-11-01 2010-09-29 株式会社Eugene科技 使用高频电感耦合等离子体对晶片进行表面处理的设备
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
KR101353033B1 (ko) * 2012-06-21 2014-01-23 주성엔지니어링(주) 박막형 태양전지 제조장치 및 이에 이용되는 버퍼 챔버
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102074346B1 (ko) * 2017-09-19 2020-02-06 서울과학기술대학교 산학협력단 리모트 플라즈마를 이용한 원자층 증착 시스템
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102329735B1 (ko) 2018-08-24 2021-11-22 주식회사 엘지화학 코팅기
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11094511B2 (en) * 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
GB201904587D0 (en) 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP2000034571A (ja) * 1998-07-16 2000-02-02 Komatsu Ltd 表面処理装置
JP2003282565A (ja) * 2002-01-18 2003-10-03 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US20060000805A1 (en) * 2004-06-30 2006-01-05 Applied Materials, Inc. Method and apparatus for stable plasma processing

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
JPH08274083A (ja) * 1995-03-29 1996-10-18 Sumitomo Metal Ind Ltd プラズマ処理装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US7183716B2 (en) * 2003-02-04 2007-02-27 Veeco Instruments, Inc. Charged particle source and operation thereof
JP4280603B2 (ja) * 2003-11-04 2009-06-17 キヤノン株式会社 処理方法
JP2005251803A (ja) * 2004-03-01 2005-09-15 Canon Inc プラズマ処理装置およびその設計方法
ATE546824T1 (de) * 2004-06-08 2012-03-15 Dichroic Cell S R L System zur plasmaunterstützten chemischen aufdampfung bei niedrigen energien
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH08107101A (ja) * 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
JP2000034571A (ja) * 1998-07-16 2000-02-02 Komatsu Ltd 表面処理装置
JP2003282565A (ja) * 2002-01-18 2003-10-03 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
JP2004281232A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US20060000805A1 (en) * 2004-06-30 2006-01-05 Applied Materials, Inc. Method and apparatus for stable plasma processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010123627A (ja) * 2008-11-17 2010-06-03 Mitsubishi Heavy Ind Ltd 真空処理装置
JP2014533434A (ja) * 2011-10-17 2014-12-11 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 基板処理チャンバ内の寄生プラズマの機械的抑制
JP2015192102A (ja) * 2014-03-28 2015-11-02 株式会社アルバック 反応性イオンエッチング装置

Also Published As

Publication number Publication date
JP5546722B2 (ja) 2014-07-09
EP1889946A3 (en) 2009-09-02
KR101410515B1 (ko) 2014-06-20
KR20080015364A (ko) 2008-02-19
EP1889946A2 (en) 2008-02-20
US20080035608A1 (en) 2008-02-14
EP1889946B1 (en) 2012-10-31
GB0616131D0 (en) 2006-09-20

Similar Documents

Publication Publication Date Title
JP5546722B2 (ja) 表面処理装置
TWI673385B (zh) 使用上游電漿源來進行的後腔室減污
JP4995907B2 (ja) プラズマを閉じ込めるための装置、プラズマ処理装置及び半導体基板の処理方法
JP5905503B2 (ja) ライナーアセンブリ及びこれを備える基板処理装置
US8742665B2 (en) Plasma source design
US8771538B2 (en) Plasma source design
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
US6417111B2 (en) Plasma processing apparatus
EP0805475A2 (en) Plasma processing apparatus
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US10032604B2 (en) Remote plasma and electron beam generation system for a plasma reactor
TW201438103A (zh) 具有多個電漿配置構件之半導體處理系統
JP2005093737A (ja) プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
JP4426632B2 (ja) プラズマ処理装置
JP5264938B2 (ja) 中性粒子照射型cvd装置
US11387075B2 (en) Surface processing apparatus
JP2007521614A (ja) 膨張熱プラズマを誘導結合するシステム及び方法
JP2007258570A (ja) プラズマ処理装置
KR100230356B1 (ko) 공동 방식 전자 싸이크로트론 공명 화학기상 증착 장비 및 이를 사용한 박막 형성 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100813

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100813

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130227

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130304

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130917

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140414

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140514

R150 Certificate of patent or registration of utility model

Ref document number: 5546722

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees