KR20070076545A - Plasma processing apparatus and controlling method for plasma processing apparatus - Google Patents

Plasma processing apparatus and controlling method for plasma processing apparatus Download PDF

Info

Publication number
KR20070076545A
KR20070076545A KR1020070005795A KR20070005795A KR20070076545A KR 20070076545 A KR20070076545 A KR 20070076545A KR 1020070005795 A KR1020070005795 A KR 1020070005795A KR 20070005795 A KR20070005795 A KR 20070005795A KR 20070076545 A KR20070076545 A KR 20070076545A
Authority
KR
South Korea
Prior art keywords
chamber
plasma processing
baffle plate
plasma
gas
Prior art date
Application number
KR1020070005795A
Other languages
Korean (ko)
Other versions
KR100854808B1 (en
Inventor
신스케 오카
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070076545A publication Critical patent/KR20070076545A/en
Application granted granted Critical
Publication of KR100854808B1 publication Critical patent/KR100854808B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A method for fabricating a semiconductor device is provided to improve the production yield of the semiconductor device by allowing a moving speed of an etchant nozzle to be dependent on a film thickness distribution. An insulating film(11) for forming sidewall insulating films of a gate electrode is deposited on a main surface of a semiconductor wafer(1). Then, the treatment for equalizing the film thickness distribution of the insulating film is performed. In this treatment, the semiconductor wafer is fixed onto a spin stage(32) of an etching apparatus(31) and rotated. An etchant(37) is supplied from an etchant nozzle(36) onto the main surface of the rotated semiconductor wafer while the etchant nozzle is moved from the peripheral side of the main surface of the semiconductor wafer to its central side. The moving speed of the etchant nozzle is controlled depending on the film thickness distribution of the insulating film so that the moving speed in a region with a larger rate of film thickness of the insulating film in a radial direction of the semiconductor wafer is smaller than that in a region with a smaller rate of film thickness.

Description

플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법{PLASMA PROCESSING APPARATUS AND CONTROLLING METHOD FOR PLASMA PROCESSING APPARATUS}Plasma processing apparatus and control method of plasma processing apparatus {PLASMA PROCESSING APPARATUS AND CONTROLLING METHOD FOR PLASMA PROCESSING APPARATUS}

도 1은 일실시예에 따른 마이크로파 플라즈마 처리 장치의 종단면도,1 is a longitudinal sectional view of a microwave plasma processing apparatus according to one embodiment;

도 2는 일실시예에 따른 챔버 천정부를 나타낸 도면,2 illustrates a chamber ceiling according to one embodiment;

도 3은 실시예 1에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면,3 is a view for explaining the relationship between the positions of the respective steps and the susceptor in Example 1;

도 4는 처리실의 압력 P1과 배기실의 압력 P2의 관계를 서셉터 및 배플판간의 극간에 따라 나타낸 그래프,4 is a graph showing the relationship between the pressure P1 of the processing chamber and the pressure P2 of the exhaust chamber according to the gap between the susceptor and the baffle plate;

도 5는 급전용 도파관과 마이크로파의 위상을 반주기 어긋나게 하기 위해 필요한 유전체의 두께의 관계를 실시예 1의 변형예 1에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면,Fig. 5 is a diagram for explaining the relationship between the position of the susceptor and each process in the first variation of the first embodiment in relation to the thickness of the dielectric required to shift the phase between the power supply waveguide and the microwave half a cycle;

도 6은 실시예 1의 변형예 2에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면,6 is a view for explaining the relationship between the positions of the steps and the susceptor in the second modification of the first embodiment;

도 7은 실시예 1의 변형예 2에 있어서의 배플판 근방의 확대도,7 is an enlarged view of the vicinity of the baffle plate in Modified Example 2 of Example 1;

도 8은 실시예 2에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면이다.8 is a diagram for explaining the relationship between the positions of the steps and the susceptor in Example 2. FIG.

도면의 주요 부분에 대한 부호의 설명Explanation of symbols for the main parts of the drawings

10 : 챔버 11 : 서셉터10 chamber 11: susceptor

18 : 배플판 18a, 18b : 받침 기구18: baffle plate 18a, 18b: support mechanism

31 : 처리 가스 공급원 35, 60 : 리모트 플라즈마31: process gas source 35, 60: remote plasma

40 : 컨트롤러 100 : 마이크로파 플라즈마 처리 장치40 controller 100 microwave plasma processing device

10u : 처리실 10d : 배기실10u: treatment chamber 10d: exhaust chamber

본 발명은 피처리체를 플라즈마 처리하는 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법에 관한 것이다. 특히, 본 발명은 챔버 내벽의 피막의 형성에 관한 것이다.The present invention relates to a plasma processing apparatus for plasma processing a target object and a control method of the plasma processing apparatus. In particular, the present invention relates to the formation of a coating on the inner wall of a chamber.

종래로부터, 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 기판을 플라즈마 처리하는 여러 가지의 플라즈마 처리 장치가 개발되어 있다. 이 중, 마이크로파 플라즈마 CVD 장치는 마이크로파의 파워에 의해 처리 가스를 전리 및 해리시킴으로써 처리 가스를 플라즈마화시켜, 기판상에 막을 형성한다.Background Art Conventionally, various plasma processing apparatuses have been developed for converting a processing gas supplied into a chamber into plasma to plasma-process a substrate. Among these, the microwave plasma CVD apparatus converts the processing gas into plasma by ionizing and dissociating the processing gas by the power of microwaves to form a film on the substrate.

이 플라즈마화의 과정에서는, 예컨대, SiO2 등의 SiOX막을 형성하는 경우, 일반적으로, SiH4 가스가 처리 가스로서 이용된다. 성막에 SiH4 가스를 이용한 경 우, 챔버의 내벽 등에는 SiOX막이 부착된다. 이 SiOX막은 기판의 성막시에 가열되고, 로드록실로/로부터 반송될 때에 냉각된다. 이렇게 하여 가열과 냉각이 반복되면, 챔버 내벽의 퇴적물과 챔버를 구성하는 부재의 열팽창율의 차 때문에 퇴적물과 챔버벽부의 사이에 왜곡이 발생한다. 이 결과, 퇴적물은 어느 정도의 두께가 되면 챔버벽부에서 박리되어, 파티클로서 기판상에 낙하하여, 성막중인 박막에 혼입하여 막질을 열화시킨다.In the process of plasma formation, in the case of forming a SiO X film such as SiO 2 , for example, SiH 4 gas is generally used as the processing gas. When SiH 4 gas is used for film formation, an SiO X film is attached to the inner wall of the chamber. The SiO x film is heated at the time of film formation of the substrate and cooled when conveyed to / from the load lock chamber. When heating and cooling are repeated in this way, distortion occurs between the deposit and the chamber wall part due to the difference in the thermal expansion rate of the deposits on the chamber inner wall and the members constituting the chamber. As a result, when the deposit reaches a certain thickness, the deposit is separated from the chamber wall portion, falls on the substrate as particles, is mixed with the thin film in film formation, and the film quality is degraded.

이러한 파티클의 발생을 억제하기 위해, 그 퇴적물이 소정의 두께가 되면 챔버를 세정하여, 챔버 내벽 등에 부착된 SiOX막을 제거할 필요가 있다. 이 때문에, 마이크로파 플라즈마 CVD 장치는 세정시, 성막시의 처리 가스 대신에 세정 가스인 불소(F)계 가스(예컨대 CF4)를 공급하여 플라즈마를 생성한다. 생성된 플라즈마 중의 F 라디칼은 챔버의 내벽에 부착된 SiOX막을 공격한다. 이 결과, SiOX막 중 Si는 SiFX(SiF1, SiF2, SiF3, SiF4) 가스로 되어 챔버 밖으로 배출된다. SiOX막 중 남겨진 OX는, C와 반응하여 CO나 CO2의 가스로서 챔버 밖으로 배출된다.In order to suppress the generation of such particles, it is necessary to clean the chamber when the deposit reaches a predetermined thickness to remove the SiO x film adhered to the chamber inner wall or the like. For this reason, the microwave plasma CVD apparatus generates a plasma by supplying a fluorine (F) -based gas (for example, CF 4 ), which is a cleaning gas, instead of a processing gas during film formation during cleaning. F radicals in the generated plasma attack the SiO X film attached to the inner wall of the chamber. As a result, Si in the SiO x film becomes SiF X (SiF 1 , SiF 2 , SiF 3 , SiF 4 ) gas and is discharged out of the chamber. O X left in the SiO X film reacts with C and is discharged out of the chamber as a gas of CO or CO 2 .

그런데, 이와 같이, 플라즈마 CVD 장치의 세정에는 F계 가스의 플라즈마가 이용되고 있고, 더구나 챔버 본체는 Al, 천정부는 Al2O3로 형성되어 있다. 이러한 상황에서, 챔버 내의 F이온이 Al2O3를 공격하면, Al-O간의 결합이 끊어져, 부분적으로 Al-F 등의 막이 생긴다. 여기서, Al-F의 결합 에너지는 159kcal/mol이며, Al-O 의 결합 에너지가 120kcal/mol인 Al2O3와 마찬가지로 결합 상태가 안정되어 있다. 이 결과, 세정시, 챔버 본체의 Al 및 천정부의 Al2O3가 불화되어, 챔버 내벽이나 천정부가 부분적으로 AlF로 되는 경우가 있다. 또한, 세정시에 생성된 SiF4나 F2는 결합 상태가 안정되어 있기 때문에, 그 일부가 챔버 밖으로 배출되지 않고, 챔버 내벽에 물리적으로 흡착되는 경우도 있다.By the way, the plasma of the F-based gas is used for cleaning the plasma CVD apparatus. Furthermore, the chamber body is made of Al and the ceiling is made of Al 2 O 3 . In such a situation, when F ions in the chamber attack Al 2 O 3 , the bond between Al-O is broken, and a film such as Al-F is formed in part. Here, the bonding energy of Al-F is 159 kcal / mol, and the bonding state is stable, similar to Al 2 O 3 , where the bonding energy of Al-O is 120 kcal / mol. As a result, during cleaning, Al in the chamber body and Al 2 O 3 in the ceiling may be fluorinated, and the chamber inner wall and the ceiling may be partially AlF. Further, since the SiF 4 or F 2 generated at the time of cleaning it is a stable coupling state, a portion thereof is not taken out from the chamber, which may be physically adsorbed on the inner wall of the chamber.

부분적으로 불화된 AlF는 성막시의 이온의 작용에 의해 Al-F 결합이 끊어지는 것에 의해 F로 되어, 챔버 내로 방출되는 경우가 있다. 또한, 챔버 내벽에 흡착된 SiF4나 F2는 흡착 에너지가 작기 때문에 탈리하기 쉽다. 이에 따라, 챔버 내에 존재하게 된 F계 잔류물이 탈리하여, 성막 중인 박막에 혼입한다고 하는 문제가 발생한다.The partially fluorinated AlF becomes F due to the breakdown of the Al-F bond due to the action of ions at the time of film formation, and is sometimes released into the chamber. In addition, SiF 4 and F 2 adsorbed on the inner wall of the chamber are easily detached because the adsorption energy is small. As a result, a problem arises in that the F-based residue existing in the chamber is detached and mixed into the thin film during film formation.

이에 부가하여, 통상, 성막시의 제품의 양품률을 높이고, 안정적으로 제품을 제조하기 위해서는, 처리실 내로의 라디칼의 공급, 처리실 내에서의 박막의 생성 및 처리실 밖으로의 가스의 배기라고 하는 일련의 순환을 피처리체를 성막하기 전에 정상 상태로 해놓을 필요가 있다. 즉, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정함으로써, 프로세스시에 발생하는 라디칼이 챔버 내벽 등에서 소모되는 일 없이, 안정한 성막을 행할 필요가 있다.In addition, in order to increase the yield of the product at the time of film formation and to stably manufacture the product, a series of circulations include supply of radicals into the processing chamber, generation of a thin film in the processing chamber, and exhaust of gas out of the processing chamber. It is necessary to make it to a normal state before forming a to-be-processed object. That is, by setting the process conditions before the film formation to the same conditions as the film formation, it is necessary to perform stable film formation without the radicals generated during the process being consumed on the chamber inner wall or the like.

이상에 설명한 바와 같이, 챔버 내벽에 존재하는 Al-F 등으로부터의 F의 탈리나 챔버 내벽으로부터의 SiF4나 F2의 탈리가 막질 저하의 발생 원인으로 된다고 하는 문제를 해소함과 아울러, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정한다고 하는 관점에서, 세정 후이고 성막 전(즉, 이른바, 프리코트막 형성시), 성막시에 공급되는 가스와 동일 가스를 플라즈마화시켜, 그 플라즈마에 의해 챔버 내벽 표면을 피막하는(즉, 이른바, 프리코트막을 형성하는) 기술이 종래로부터 널리 알려져 있다(예컨대, 특허문헌 1을 참조).As described above, the elimination of the problem that desorption of F from Al-F or the like on the inner wall of the chamber or the desorption of SiF 4 or F 2 from the inner wall of the chamber is a cause of deterioration of the film quality and the process from before film formation From the viewpoint of setting the conditions to the same conditions as the film formation, the same gas supplied as the gas supplied after the cleaning and before the film formation (i.e., the so-called precoat film formation) and at the time of film formation is converted into a plasma, and the chamber is formed by the plasma. The technique of coating an inner wall surface (that is, forming a so-called precoat film) is known widely from the past (for example, refer patent document 1).

(특허문헌 1) 일본 공개 특허 공보 평11-340149호(Patent Document 1) Japanese Unexamined Patent Publication No. 11-340149

그런데, 플라즈마 처리 장치에는, 일반적으로, 챔버 내의 성막에 기여하는 라디칼(이하, 저장 라디칼이라고 함)의 흐름을 바람직한 상태로 준비하기 위해 배플판이 마련되어 있다. 이 배플판의 컨덕턴스는 성막시에 기판에 양호한 플라즈마 처리를 실시하기 위해, 작게(즉, 가스가 흐르기 어렵게) 설정되어 있다. 따라서, 성막시, 처리실과 배기실은 배플판에 의해 구획되어 있고, 각 실의 압력차는 크다(도 4의 (A)(극간 없음)를 참조). 이에 따라, 상술한 프리코트막 형성시에 있어서도, 처리실과 배기실의 압력차는 큰 채로 있게 된다.By the way, the plasma processing apparatus is generally provided with the baffle plate in order to prepare the flow of radicals (henceforth a storage radical) which contributes to film-forming in a chamber in a preferable state. The conductance of the baffle plate is set small (that is, gas hardly flows) in order to give a good plasma treatment to the substrate during film formation. Therefore, at the time of film formation, the process chamber and the exhaust chamber are partitioned by a baffle plate, and the pressure difference between the chambers is large (see Fig. 4A (no gap)). As a result, even at the time of forming the precoat film described above, the pressure difference between the processing chamber and the exhaust chamber remains large.

한편, 챔버의 내벽에 형성되는 막의 성막 속도 DR(Deposition Rate)은 다음 수학식 1로 나타낼 수 있다.On the other hand, the deposition rate DR (Deposition Rate) of the film formed on the inner wall of the chamber can be represented by the following equation (1).

Figure 112007005414410-PAT00001
Figure 112007005414410-PAT00001

여기서, k는 비례 정수, P는 압력이다.Where k is a proportional constant and P is the pressure.

도 4의 (A)에 따르면, 처리실의 압력 P1은 배기실의 압력 P2보다 높기 때문에, 처리실의 성막 속도 DR1은 배기실의 성막 속도 DR2보다 빠르게 된다. 이 결과, 처리실의 내벽 표면에 형성되는 프리코트막은 배기실의 내벽 표면에 형성되는 프리코트막보다 두껍게 된다.According to FIG. 4A, since the pressure P1 of the processing chamber is higher than the pressure P2 of the exhaust chamber, the film formation speed DR1 of the processing chamber becomes faster than the film formation speed DR2 of the exhaust chamber. As a result, the precoat film formed on the inner wall surface of the processing chamber is thicker than the precoat film formed on the inner wall surface of the exhaust chamber.

또한, 실제로는, 가스는 처리실에 공급되고, 프리코트막을 형성하기 위해 처리실에서 우선적으로 사용되기 때문에, 배기실쪽으로 흐르는 가스(라디칼)의 잔류량은 적어진다. 이것을 고려하면, 처리실과 배기실의 프리코트막의 차는 수학식 1로부터 유도되는 이론값보다 더욱 커진다고 생각된다.In addition, since the gas is actually supplied to the processing chamber and preferentially used in the processing chamber to form the precoat film, the residual amount of gas (radical) flowing toward the exhaust chamber is reduced. In consideration of this, it is considered that the difference between the precoat film of the processing chamber and the exhaust chamber is larger than the theoretical value derived from the equation (1).

이 결과, 프리코트막이, 처리실의 내벽 표면에서 막질 저하의 원인으로 되는 F계 잔류물의 탈리가 발생하지 않는 정도의 두께까지 형성된 시점에서는, 배기실의 내벽 표면에 형성된 프리코트막은 아직 얇은 상태이기 때문에, 배기실의 내벽 표면에 존재하는 F계 잔류물의 탈리를 억제할 수 없다. 이 결과, 프로세스 처리 중에 배기실에서 탈리한 F계 잔류물이 처리실까지 상승하여, 막질을 저하시킨다고 하는 문제가 발생하고 있었다.As a result, the precoat film formed on the inner wall surface of the exhaust chamber is still thin at the time when the precoat film is formed to a thickness such that no detachment of the F-based residue causing the film quality from the inner wall surface of the processing chamber occurs. Desorption of F-based residues present on the inner wall surface of the exhaust chamber cannot be suppressed. As a result, the problem that the F-type residue detached | emitted from the exhaust chamber during a process process rises to a process chamber, and reduces film quality has arisen.

한편, 프리코트막이, 배기실의 내벽 표면에서 F계 잔류물이 탈리하지 않는 정도의 두께까지 형성된 시점에서는, 처리실의 내벽 표면의 프리코트막은 필요 이상으로 두꺼워져 버린다. 이 결과, 프로세스시에 챔버 내벽에 퇴적되는 퇴적물의 두께가, 막이 벗겨지는 두께에 일찍 도달하기 때문에, 챔버 내를 세정하는 사이클(간격)이 짧아져, 스루풋이 저하하여 생산성이 낮아진다고 하는 문제가 발생하고 있었다.On the other hand, when the precoat film is formed to a thickness such that the F-based residue does not detach from the inner wall surface of the exhaust chamber, the precoat film on the inner wall surface of the processing chamber becomes thicker than necessary. As a result, since the thickness of the deposit deposited on the inner wall of the chamber at the time of the process reaches the thickness at which the film is peeled off early, the cycle (interval) for cleaning the inside of the chamber is shortened, resulting in lower throughput and lower productivity. It was happening.

상기 과제를 해소하기 위해, 본 발명에서는, 챔버의 내벽을 보다 균일한 두께로 피막하는 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법이 제공된다.In order to solve the said subject, in this invention, the plasma processing apparatus and the control method of a plasma processing apparatus which coat the inner wall of a chamber with a more uniform thickness are provided.

상기 과제를 해결하기 위해, 본 발명의 한가지 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서, 상기 탑재대 또는 상기 배플판의 적어도 어느 하나를 제어함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에 상기 처리실의 압력과 상기 배기실의 압력이 가까워지도록 상기 탑재대 및 상기 챔버 측벽간의 개구율을 변화시키는 플라즈마 처리 장치가 제공된다.In order to solve the above problems, according to one aspect of the present invention, there is provided a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on a target object and an exhaust chamber for exhausting gas, By controlling at least one of the mounting table or the baffle plate, the opening ratio between the mounting table and the chamber side wall is adjusted so that the pressure of the processing chamber and the pressure of the exhaust chamber are closer when forming a precoat film on the inner wall surface of the chamber. A plasma processing apparatus for changing is provided.

이에 따르면, 챔버의 내벽 표면에 프리코트막을 형성할 때에 상기 처리실의 압력과 상기 배기실의 압력이 근사하도록 상기 탑재대 또는 상기 배플판의 적어도 어느 하나가 제어된다. 처리실과 배기실의 압력차가 작아지면, 수학식 1로부터 구해지는 처리실의 성막 속도 DR1과 배기실의 성막 속도 DR2의 차는 작아진다. 이에 따라, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 할 수 있다. 이 결과, 처리실에서 형성되는 프리코트막의 막 두께와 배기실에서 형성되는 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 이에 따라, 프리코트막 형성 시간을 대폭 단축할 수 있을 뿐 아니라, 챔버 내를 세정하기까지의 사이클을 길게 할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.According to this, at least one of the mounting table or the baffle plate is controlled so that the pressure of the processing chamber and the pressure of the exhaust chamber are approximated when the precoat film is formed on the inner wall surface of the chamber. When the pressure difference between the processing chamber and the exhaust chamber is small, the difference between the film formation speed DR1 of the processing chamber and the film formation speed DR2 of the exhaust chamber obtained from Equation 1 is small. Thereby, the storage radical in a process chamber can be made into substantially the same state as the state of the storage radical in an exhaust chamber. As a result, the film thickness of the precoat film formed in the processing chamber and the film thickness of the precoat film formed in the exhaust chamber can be formed more uniformly and the film quality can be formed uniformly. As a result, the precoat film formation time can be significantly shortened, and the cycle until the inside of the chamber can be cleaned can be lengthened. As a result, productivity can be improved by improving throughput.

이와 같이 처리실과 배기실의 압력차가 작아지도록 탑재대를 제어하는 일례로는, 배플판을 상기 챔버의 내벽에 고정하고, 프리코트막 형성시의 탑재대 및 챔버 측벽간의 개구율이, 프로세스시의 상기 개구율보다 커지도록 상기 탑재대를 승강하는 방법을 들 수 있다.As an example of controlling the mounting table so that the pressure difference between the processing chamber and the exhaust chamber is small, the baffle plate is fixed to the inner wall of the chamber, and the opening ratio between the mounting table and the chamber sidewall at the time of forming the precoat film is The method of elevating the said mounting base so that it may become larger than an aperture ratio is mentioned.

이에 따르면, 상기 탑재대와 상기 배플판의 간격은, 프리코트막 형성시와 프로세스시에서 서로 다르도록 조절된다. 즉, 프로세스시에는, 탑재대와 배플판의 간격이 작아지도록 탑재대를 승강시킨다. 이에 따라, 처리실은 프로세스 조건에 합치한 압력으로 유지된다. 이 결과, 저장 라디칼이 처리실 내에 갇히기 때문에, 성막 속도가 빠르고 균일성이 높은 성막을 피처리체에 실시할 수 있다. 한편, 프리코트막 형성시에는, 탑재대와 배플판의 사이에 간격을 두도록 탑재대를 승강시킨다. 이에 따라, 가스가 처리실에서 배기실로 흐르기 쉽게 되어, 처리실과 배기실의 압력차는 작아진다. 이 결과, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 할 수 있다. 이 결과, 처리실의 프리코트막 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.According to this, the distance between the mounting table and the baffle plate is adjusted to be different from each other during the formation of the precoat film and the process. That is, at the time of a process, the mounting table is raised and lowered so that the space | interval of a mounting table and a baffle plate may become small. Thus, the process chamber is maintained at a pressure consistent with the process conditions. As a result, since the storage radicals are trapped in the processing chamber, the film formation speed is high and uniformity can be formed on the object to be processed. On the other hand, when forming the precoat film, the mounting table is raised and lowered so as to have a space between the mounting table and the baffle plate. As a result, gas easily flows from the processing chamber to the exhaust chamber, and the pressure difference between the processing chamber and the exhaust chamber becomes small. As a result, the storage radicals in the processing chamber can be made almost the same as the state of the storage radicals in the exhaust chamber. As a result, the film thickness of the precoat film of a process chamber and the precoat film of an exhaust chamber can be formed more uniformly, and the film quality can be formed uniformly.

또한, 처리실과 배기실의 압력차가 작아지도록 탑재대를 제어하는 다른 일례로는, 배플판을 상기 챔버 또는 상기 탑재대 중 어느 하나에 착탈 가능하게 고정하고, 피처리체를 플라즈마 처리할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 탑재대에 고정하고, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 챔버에 고정함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록 상기 탑재대와 상기 배플판의 간격을 조절하는 방법을 들 수 있다.As another example of controlling the mounting table so that the pressure difference between the processing chamber and the exhaust chamber is small, the mounting table is detachably fixed to either the chamber or the mounting table, and the mounting table is used when the object to be treated is subjected to plasma treatment. The baffle plate is fixed to the mounting table during the lifting and lowering, and when the precoat film is formed on the inner wall surface of the chamber, the baffle plate is fixed to the chamber while the mounting table is raised and lowered to the inner wall surface of the chamber. The method of adjusting the space | interval of the said mounting table and said baffle plate so that the said opening ratio at the time of forming a precoat film | membrane becomes larger than the said opening ratio at the time of plasma-processing a to-be-processed object is mentioned.

이에 따르면, 탑재대와 배플판의 위치 관계가 플라즈마 처리의 정밀도에 영향을 미치는 것을 고려하여, 프로세스시에는, 배플판을 탑재대측에 고정시켜 탑재대와 함께 상승시키는 것에 의해, 배플판을 프로세스 처리에 최적인 위치까지 이동시킬 수 있다. 즉, 배플판에 의해 라디칼을 처리실 내에 보다 유효하게 가두는 것에 의해, 피처리체로의 성막 속도를 빠르게 하고, 또한, 피처리체에 균일한 막을 형성할 수 있다. 한편, 프리코트막 형성시에는, 배플판을 챔버측에 고정시켜 탑재대와 배플판의 사이에 간격을 마련하는 것에 의해, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 함으로써, 처리실과 배기실의 성막 속도차를 작게 하고, 이에 따라, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.According to this, in consideration of the positional relationship between the mounting table and the baffle plate affecting the accuracy of the plasma treatment, during the process, the baffle plate is fixed to the mounting table side and raised together with the mounting table to process the baffle plate. Can be moved to the optimal position. That is, by trapping radicals more effectively in the processing chamber by the baffle plate, the film formation rate to the processing target can be increased, and a uniform film can be formed on the processing target. On the other hand, when the precoat film is formed, the baffle plate is fixed to the chamber side to provide a gap between the mounting table and the baffle plate, thereby making the storage radicals in the processing chamber almost the same as those of the storage radicals in the exhaust chamber. In addition, the film formation speed difference between the processing chamber and the exhaust chamber can be reduced, whereby the film thicknesses of the precoat films of the processing chamber and the exhaust chamber can be formed more uniformly and the film quality can be uniformly formed.

또한, 처리실과 배기실의 압력차가 작아지도록 배플판을 제어하는 일례로는, 하나 또는 둘 이상의 관통 구멍과 그 관통 구멍을 개폐하는 개폐 기구를 갖는 배플판으로서, 상기 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록, 배플판의 개폐 기구를 제어함으로써, 그 관통 구멍의 개방도를 조절하는 방법을 들 수 있다.As an example of controlling the baffle plate so that the pressure difference between the processing chamber and the exhaust chamber is small, it is a baffle plate having one or more through holes and an opening / closing mechanism for opening and closing the through holes. The method of adjusting the opening degree of the through-hole is mentioned by controlling the opening / closing mechanism of a baffle plate so that an opening ratio may become larger than the said opening ratio at the time of carrying out plasma processing of a to-be-processed object.

이에 따르면, 프로세스시에는, 배플판의 관통 구멍의 개방도가 작아지도록 개폐 기구가 제어된다. 이에 따라, 처리실을 프로세스 조건에 합치한 압력으로 유 지하고, 처리실 내에 저장 라디칼을 가두며, 이에 따라, 성막 속도가 빠르게, 또한, 균일한 막을 형성할 수 있다. 한편, 프리코트막 형성시에는, 배플판에 마련된 관통 구멍의 개방도가 커지도록 개폐 기구가 제어된다. 이에 따라, 처리실과 배기실의 압력차가 작아져, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 할 수 있다. 이 결과, 처리실과 배기실의 성막 속도차가 작아지고, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.According to this, at the time of a process, the opening-closing mechanism is controlled so that the opening degree of the through hole of a baffle plate may become small. As a result, the process chamber is kept at a pressure consistent with the process conditions, and the storage radicals are confined in the process chamber, whereby a film formation rate can be formed quickly and a uniform film can be formed. On the other hand, when the precoat film is formed, the opening and closing mechanism is controlled so that the opening degree of the through hole provided in the baffle plate is increased. Thereby, the pressure difference between a process chamber and an exhaust chamber becomes small, and the storage radical in a process chamber can be made into the state substantially equal to the state of the storage radical in an exhaust chamber. As a result, the film-forming speed difference between a process chamber and an exhaust chamber becomes small, and the film thickness of the precoat film of a process chamber and an exhaust chamber can be formed more uniformly, and the film quality can be formed uniformly.

또한, 본 발명의 다른 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서, 상기 챔버를 세정한 후, 상기 챔버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼(저장 라디칼)을 상기 배기실에 공급하는 플라즈마 처리 장치가 제공된다.According to another aspect of the present invention, there is provided a plasma processing apparatus having a chamber divided by a mounting table and a baffle plate into a processing chamber in which plasma processing is performed on a target object and an exhaust chamber in which gas is exhausted. Thereafter, a plasma processing apparatus is provided for supplying radicals (storage radicals) for promoting the formation of a precoat film on the inner wall surface of the chamber to the exhaust chamber.

일반적으로, 프리코트막을 형성하기 위한 가스는 처리실에 공급되고, 생성된 플라즈마 중의 저장 라디칼은 처리실에서 프리코트막을 형성하기 위해 우선적으로 사용된다. 이 결과, 배기실에 흐르는 가스(저장 라디칼) 잔류량은 적어진다. 그러나, 본 발명에서는, 세정 후, 별도로, 배기실에 저장 라디칼이 공급된다. 이에 따라, 배기실에서의 프리코트막의 형성이 촉진된다. 이 결과, 처리실 및 배기실의 프리코트막을 거의 동일한 막 두께로, 또한, 보다 균일한 막질로 성막할 수 있다.Generally, the gas for forming the precoat film is supplied to the process chamber, and the storage radicals in the generated plasma are preferentially used to form the precoat film in the process chamber. As a result, the residual amount of gas (storage radicals) flowing in the exhaust chamber is reduced. However, in the present invention, after washing, storage radicals are supplied to the exhaust chamber separately. As a result, the formation of the precoat film in the exhaust chamber is promoted. As a result, the precoat films of the processing chamber and the exhaust chamber can be formed with almost the same film thickness and with a more uniform film quality.

이 때, 배기실에 공급되는 라디칼은 리모트 플라즈마에 의해 생성되어도 좋다. 또한, 상기 라디칼은 피처리체에 플라즈마 처리를 실시할 때에 공급되는 가스 와 동일한 가스를 리모트 플라즈마에 공급함으로써 생성되어도 좋다.At this time, radicals supplied to the exhaust chamber may be generated by the remote plasma. The radical may be generated by supplying the remote plasma with the same gas as that supplied when the plasma is subjected to the processing target object.

이에 따르면, 예컨대, 프로세스가 CVD(Chemical Vapor Deposition : 화학 증착 박막 성막법) 처리인 경우, 프리코트막 형성시에 공급되는 가스는 프로세스시에 공급되는 가스와 동일해진다. 이에 따라, 프리코트막은 기판상에 형성되는 막과 동일막으로 된다. 이에 따르면, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정할 수 있다. 이 결과, 프로세스시에 발생하는 라디칼이 챔버 내벽 등에서 소모되는 일이 없기 때문에, 보다 안정한 양질의 성막이 가능해진다.According to this, for example, when the process is a CVD (Chemical Vapor Deposition) process, the gas supplied at the time of forming the precoat film becomes the same as the gas supplied at the time of the process. As a result, the precoat film becomes the same film as the film formed on the substrate. According to this, the process conditions can be set to the same conditions as before the film formation. As a result, since radicals generated at the time of the process are not consumed in the chamber inner wall or the like, more stable and high quality film formation becomes possible.

상기 플라즈마 처리 장치는, 슬롯을 통하여 유전체를 투과한 마이크로파에 의해 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하는 마이크로파 플라즈마 처리 장치이어도 좋다.The plasma processing apparatus may be a microwave plasma processing apparatus in which the processing gas supplied into the chamber is converted into plasma by microwaves passing through the dielectric through the slot, and the plasma processing apparatus is subjected to plasma processing.

또한, 그 마이크로파 플라즈마 처리 장치의 유전체는 복수의 유전체 파츠(parts)로 구성되고, 각 유전체 파츠에는 하나 또는 둘 이상의 슬롯이 마련되며, 상기 하나 또는 둘 이상의 슬롯을 통하여 각 유전체 파츠를 각각 투과한 마이크로파에 의해 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하도록 하더라도 좋다.In addition, the dielectric of the microwave plasma processing apparatus is composed of a plurality of dielectric parts, each dielectric part is provided with one or two or more slots, the microwaves respectively transmitted through each dielectric part through the one or more slots. The processing gas supplied into the chamber may be converted into plasma to perform plasma processing on the target object.

이에 따르면, 각 유전체 파츠에 슬롯이 각각 마련되어 있고, 더구나 종래에 비해, 각 유전체 파츠의 면적은 현저히 작아지기 때문에, 마이크로파를 각 유전체 파츠에 투과시킴으로써 각 유전체 파츠의 표면에서 표면파를 균일하게 전파시킬 수 있다. 이 결과, 프로세스 윈도우를 넓힐 수 있는 동시에 플라즈마 처리를 정밀도 좋고 안정되게 행할 수 있다. 또한, 유전체 창을 소형화, 경량화된 각 유전체 파 츠에 의해 구성할 수 있으므로, 마이크로파 플라즈마 처리 장치를 용이하게, 또한 저비용으로 제조할 수 있는 동시에 피처리체의 대면적화에 대하여 유연하게 대응할 수 있다.According to this, slots are provided in each dielectric part, and in addition, since the area of each dielectric part is significantly smaller than in the related art, the surface wave of each dielectric part can be uniformly propagated by transmitting microwaves to each dielectric part. have. As a result, the process window can be widened and plasma processing can be performed with high precision and stability. In addition, since the dielectric window can be configured by each of the smaller and lighter dielectric parts, the microwave plasma processing apparatus can be manufactured easily and at low cost, and it is possible to flexibly cope with the large area of the workpiece.

또한, 본 발명의 다른 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서, 피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 탑재대를 승강하고, 챔버의 세정시 또는 세정 후, 상기 탑재대와 상기 배플판 사이에 간격을 마련하기 위해 정해진 위치까지 상기 탑재대를 승강하는 플라즈마 처리 장치의 제어 방법이 제공된다.According to another aspect of the present invention, there is provided, by a mounting table and a baffle plate, a control method of a plasma processing apparatus having a chamber partitioned into a processing chamber for performing plasma processing on a target object and an exhaust chamber for exhausting gas. The plasma processing apparatus for elevating the mounting table to a predetermined position when performing plasma treatment, and elevating the mounting table to a predetermined position to provide a gap between the mounting table and the baffle plate during or after the chamber is cleaned. A control method of is provided.

이에 따르면, 프로세스시에는, 탑재대를 소정의 위치까지 승강시킴으로써, 처리실을 프로세스 조건에 합치한 압력으로 유지하고, 처리실 내에 저장 라디칼을 가두며, 이에 따라, 성막 속도가 빠르고, 또한, 균일한 막을 형성할 수 있다. 한편, 챔버의 세정시 또는 세정 후에는, 탑재대를 승강함으로써 탑재대와 배플판 사이에 간격을 마련함으로써, 처리실과 배기실의 압력차를 작게 할 수 있다. 이에 따라, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 동일한 상태로 하여, 처리실과 배기실의 성막 속도차를 작게함으로써, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 이 결과, 프리코트막 형성 시간을 대폭 단축할 수 있을 뿐 아니라, 챔버 내를 세정하기까지의 사이클을 길게 할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.According to this, during the process, the mounting table is raised and lowered to a predetermined position, thereby maintaining the processing chamber at a pressure consistent with the process conditions and confining storage radicals in the processing chamber, whereby the film formation speed is high and a uniform film is formed. Can be formed. On the other hand, during or after the chamber is cleaned, the pressure difference between the processing chamber and the exhaust chamber can be reduced by providing a space between the mounting table and the baffle plate by lifting the mounting table. As a result, the storage radicals in the processing chamber are made almost the same as those of the storage radicals in the exhaust chamber, and the film thickness difference between the processing chamber and the exhaust chamber is reduced, thereby making the film thicknesses of the precoat films of the processing chamber and the exhaust chamber more uniform. The film quality can be formed uniformly. As a result, not only can the precoat film formation time be significantly shortened, but the cycle until the inside of the chamber is cleaned can be lengthened. As a result, productivity can be improved by improving throughput.

또한, 본 발명의 다른 관점에 따르면, 하나 또는 둘 이상의 관통 구멍 및 그 관통 구멍을 개폐하는 개폐 기구를 갖는 배플판과 탑재대에 의해 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서, 피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 개폐 기구를 슬라이드하고, 챔버의 세정시 또는 세정 후, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 관통 구멍의 개방도를 피처리체를 플라즈마 처리할 때의 상기 관통 구멍의 개방도보다 크게 하기 위해 정해진 위치까지 상기 개폐 기구를 슬라이드하는 플라즈마 처리 장치의 제어 방법이 제공된다.In addition, according to another aspect of the present invention, a baffle plate having a one or more through holes and an opening / closing mechanism for opening and closing the through holes and an exhaust table for exhausting gas and a processing chamber for performing a plasma treatment on the object to be processed. A control method of a plasma processing apparatus having a chamber partitioned into chambers, the method comprising: sliding the opening and closing mechanism to a predetermined position when plasma processing a target object, and forming a precoat film on the inner wall surface of the chamber during or after the chamber is cleaned. The control method of the plasma processing apparatus which slides the said opening / closing mechanism to a predetermined position so that opening degree of the said through hole at the time of making it larger than opening degree of the said through hole at the time of carrying out plasma processing of a to-be-processed object is provided.

이에 따르면, 프로세스시에는, 배플판의 관통 구멍의 개방도가 작아지도록 개폐 기구를 제어함으로써, 처리실을 프로세스 조건에 합치한 압력으로 유지하고, 처리실 내에 저장 라디칼을 가두며, 이에 따라, 성막 속도가 빠르고, 또한, 균일한 막을 형성할 수 있다. 한편, 프리코트막 형성시에는, 배플판에 마련된 관통 구멍의 개방도가 커지도록 개폐 기구를 제어함으로써, 처리실과 배기실의 압력차를 작게 하여 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 하고, 각 실의 성막 속도차를 작게함으로써, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.According to this, during the process, by controlling the opening / closing mechanism so that the opening degree of the through hole of the baffle plate becomes small, the process chamber is maintained at a pressure consistent with the process conditions and the storage radicals are trapped in the process chamber, whereby the deposition rate is increased. It is possible to form a fast and uniform film. On the other hand, when the precoat film is formed, the opening / closing mechanism is controlled to increase the opening degree of the through hole provided in the baffle plate, thereby reducing the pressure difference between the processing chamber and the exhaust chamber, thereby reducing the storage radicals in the processing chamber and By making it almost the same and making the film-forming speed difference of each chamber small, the film thickness of the precoat film of a process chamber and an exhaust chamber can be formed more uniformly, and the film quality can be formed uniformly.

또한, 본 발명의 다른 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서, 상기 챔버를 세정한 후, 상기 챔 버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼을 공급하는 플라즈마 처리 장치의 제어 방법이 제공된다.According to another aspect of the present invention, there is provided a control method of a plasma processing apparatus having a chamber divided by a mounting table and a baffle plate into a processing chamber for performing plasma processing on a target object and an exhaust chamber for exhausting gas. After cleaning, the control method of the plasma processing apparatus which supplies the radical which promotes formation of the precoat film | membrane to the inner wall surface of the said chamber is provided.

이에 따르면, 세정 후에 배기실에 공급된 라디칼에 의해, 배기실에서의 프리코트막의 형성이 촉진된다. 이에 따라, 처리실 및 배기실의 프리코트막을 보다 균일한 막질로 거의 동일한 두께로 형성할 수 있다.According to this, the formation of the precoat film in the exhaust chamber is promoted by the radicals supplied to the exhaust chamber after cleaning. Thereby, the precoat film of a process chamber and an exhaust chamber can be formed in substantially uniform thickness with more uniform film quality.

이하에 첨부 도면을 참조하면서, 본 발명의 바람직한 실시예에 대하여 상세히 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 대해서는, 동일한 부호를 부여함으로써 중복 설명을 생략한다.EMBODIMENT OF THE INVENTION Preferred embodiment of this invention is described in detail, referring an accompanying drawing below. In addition, in this specification and drawing, duplication description is abbreviate | omitted by attaching | subjecting the same code | symbol about the component which has a substantially same functional structure.

또한, 본 명세서 중 1mTorr은 (10-3×101325/760)Pa, 1sccm은 (10-6/60)㎥/sec로 한다.In addition, the specification will be 1mTorr (10 -3 × 101325/760) Pa, 1sccm is (10 -6 / 60) ㎥ / sec.

(실시예 1)(Example 1)

(마이크로파 플라즈마 처리 장치의 구성)(Configuration of Microwave Plasma Processing Apparatus)

우선, 본 발명의 실시예 1에 따른 마이크로파 플라즈마 처리 장치의 구성에 대하여, 본 장치를 세로 방향(y축에 수직인 방향)으로 절단한 단면도인 도 1, 및, 이 장치의 처리실의 천정면을 나타낸 도 2를 참조하면서 설명한다. 또한, 이하의 설명에서는, 본 실시예에 따른 마이크로파 플라즈마 처리 장치를 이용한 게이트 산 화막 형성 프로세스를 예로 들어 설명한다.First, with respect to the configuration of the microwave plasma processing apparatus according to the first embodiment of the present invention, Fig. 1, which is a cross-sectional view of the apparatus cut in the longitudinal direction (the direction perpendicular to the y axis), and the ceiling surface of the processing chamber of the apparatus are shown. It demonstrates, referring FIG. 2 shown. In addition, in the following description, the gate oxide film formation process using the microwave plasma processing apparatus which concerns on a present Example is demonstrated as an example.

마이크로파 플라즈마 처리 장치(100)는 챔버(10)와 덮개(20)로 이루어지는 하우징(housing)을 갖고 있다. 챔버(10)는 그 상부가 개구된 바닥이 있는 입방체 직방체 형상을 갖고 있으며, 접지되어 있다. 챔버(10)는, 예컨대, 알루미늄(Al) 등의 금속으로 형성되어 있다.The microwave plasma processing apparatus 100 has a housing composed of a chamber 10 and a lid 20. The chamber 10 has a bottomed cuboid cuboid shape with its top open and is grounded. The chamber 10 is formed of metal, such as aluminum (Al), for example.

챔버(10)의 내부에는, 대략 중앙에, 기판 G 등의 피처리체를 탑재하는 서셉터(11)(탑재대)가 마련되어 있다. 서셉터(11)는, 예컨대, 질화알루미늄으로 형성되어 있다.Inside the chamber 10, a susceptor 11 (mounting table) for mounting a target object such as a substrate G is provided at a substantially center. The susceptor 11 is made of aluminum nitride, for example.

서셉터(11)의 내부에는, 급전부(11a) 및 히터(11b)가 마련되어 있다. 급전부(11a)에는, 정합기(12a)(예컨대, 콘덴서)를 거쳐 고주파 전원(12b)이 접속되어 있다. 또한, 급전부(11a)에는, 코일(13a)을 거쳐 고압 직류 전원(13b)이 접속되어 있다. 정합기(12a), 고주파 전원(12b), 코일(13a) 및 고압 직류 전원(13b)은 챔버(10)의 외부에 마련되어 있고, 고주파 전원(12b) 및 고압 직류 전원(13b)은 접지되어 있다.Inside the susceptor 11, a power feeding portion 11a and a heater 11b are provided. The high frequency power supply 12b is connected to the power feeding portion 11a via a matching unit 12a (for example, a capacitor). Moreover, the high voltage | voltage DC power supply 13b is connected to the electric power feeding part 11a via the coil 13a. The matching unit 12a, the high frequency power supply 12b, the coil 13a, and the high voltage direct current power supply 13b are provided outside the chamber 10, and the high frequency power supply 12b and the high voltage direct current power supply 13b are grounded. .

급전부(11a)는 고주파 전원(12b)으로부터 출력된 고주파 전력에 의해 챔버(10)의 내부에 소정의 바이어스 전압을 인가하도록 되어 있다. 또한, 급전부(11a)는 고압 직류 전원(13b)으로부터 출력된 직류 전압에 의해 기판 G를 정전 흡착하도록 되어 있다.The power feeding portion 11a is configured to apply a predetermined bias voltage to the inside of the chamber 10 by the high frequency power output from the high frequency power supply 12b. Moreover, the power supply part 11a is made to electrostatically adsorb | suck the board | substrate G by the DC voltage output from the high voltage | voltage DC power supply 13b.

히터(11b)에는, 챔버(10)의 외부에 마련된 교류 전원(14)이 접속되어 있고, 교류 전원(14)으로부터 출력된 교류 전압에 의해 기판 G를 소정의 온도로 유지하도 록 되어 있다.An AC power supply 14 provided outside the chamber 10 is connected to the heater 11b, and the substrate G is maintained at a predetermined temperature by an AC voltage output from the AC power supply 14.

챔버(10)의 바닥면은 통 형상으로 개구되고, 개구된 바깥쪽 둘레 근방에서 벨로즈(bellows)(15)의 한쪽 끝이 챔버(10)의 외부 벽면에 장착되어 있다. 벨로즈(15)의 다른 쪽 끝에는, 승강 플레이트(16)가 고착되어 있다. 이렇게 하여, 챔버(10) 바닥면의 개구 부분은 벨로즈(15) 및 승강 플레이트(16)에 의해 밀폐되어 있다.The bottom surface of the chamber 10 is opened in a cylindrical shape, and one end of the bellows 15 is mounted to the outer wall surface of the chamber 10 near the opened outer circumference. The elevating plate 16 is fixed to the other end of the bellows 15. In this way, the opening part of the bottom surface of the chamber 10 is sealed by the bellows 15 and the lifting plate 16.

또한, 서셉터(11)는 승강 플레이트(16)상에 배치된 하우징(17)에 지지되어 있고, 전동 모터(16a)로부터 출력되는 구동력에 의해 승강 플레이트(16) 및 하우징(17)과 함께 일체적으로 승강한다. 이렇게 하여, 전동 모터(16a)는 서셉터(11)를 소망하는 높이로 조정하도록 되어 있다.Moreover, the susceptor 11 is supported by the housing 17 arrange | positioned on the lifting plate 16, and is integrated with the lifting plate 16 and the housing 17 by the drive force output from the electric motor 16a. Ascend to the enemy. In this way, the electric motor 16a adjusts the susceptor 11 to a desired height.

서셉터(11)의 주위에는, 챔버(10) 내의 가스의 흐름을 바람직한 상태로 제어하기 위한 배플판(18)이 마련되어 있다. 챔버(10)의 내부는, 서셉터(11) 및 배플판(18)에 의해, 기판 G를 플라즈마 처리하는 처리실(10u)과 가스를 배기하는 배기실(10d)로 구획되어 있다. 또한, 챔버(10)의 내벽 측부에는, 대략 중앙에 서셉터(11)쪽으로 돌출한 받침 기구(18a)가 마련되어 있다. 배플판(18)은 그 하면 가장자리에서 받침 기구(18a)에 지지되는 것에 의해, 챔버(10)의 내벽 측부에 고정되어 있다.In the periphery of the susceptor 11, the baffle plate 18 for controlling the flow of the gas in the chamber 10 to a preferable state is provided. The interior of the chamber 10 is divided by the susceptor 11 and the baffle plate 18 into a processing chamber 10u for plasma processing the substrate G and an exhaust chamber 10d for exhausting the gas. Moreover, the support mechanism 18a which protruded toward the susceptor 11 in the center is provided in the inner wall side part of the chamber 10 substantially. The baffle plate 18 is fixed to the inner wall side of the chamber 10 by being supported by the support mechanism 18a at the edge of the lower surface.

챔버(10)에는, 배기 기구(19)로서, 드라이 펌프(19a), APC(자동 압력 조정기 : Automatic Pressure Control)(19b) 및 TMP(터보 몰레큘러 펌프 : Turbo Molecular Pump)(19c)가 마련되어 있다.The chamber 10 is provided with a dry pump 19a, an APC (Automatic Pressure Control) 19b and a TMP (Turbo Molecular Pump) 19c as the exhaust mechanism 19. .

드라이 펌프(19a)는 소정의 밸브를 개폐시켜, 챔버(10) 안이 소정의 감압 상태가 될 때까지 가스를 뺀 후, 밸브의 개폐를 전환하여, TMP(19c)의 배압을 감소시키고 있다. APC(19b)에는, 배기실(10d)과 TMP(19c)의 연통 상태를 제어하는 밸브가 마련되어 있고, 처리실(10u) 내의 압력 P1의 변화에 따라 APC(19b)의 밸브를 슬라이드시킴으로써, 배기실(10d)과 TMP(19c)의 연통 부분을 소망하는 개방도로 하도록 되어 있다. 이에 따라, APC(19b)의 밸브의 개방도에 따라, 챔버(10) 내의 분위기가 소정의 진공도까지 감압된다.The dry pump 19a opens and closes a predetermined valve, removes gas until the inside of the chamber 10 reaches a predetermined depressurization state, and then switches the opening and closing of the valve to reduce the back pressure of the TMP 19c. The APC 19b is provided with a valve that controls the communication state between the exhaust chamber 10d and the TMP 19c, and slides the valve of the APC 19b according to the change of the pressure P1 in the processing chamber 10u, thereby exhausting the exhaust chamber. The communicating part of 10d and TMP 19c is made into the desired opening degree. As a result, the atmosphere in the chamber 10 is reduced to a predetermined vacuum degree in accordance with the opening degree of the valve of the APC 19b.

덮개(20)는 챔버(10)의 위쪽을 밀폐하도록 배치되어 있다. 덮개(20)는 챔버(10)와 마찬가지로, 예컨대, 알루미늄(Al) 등의 비자성체인 금속으로 형성되어 있다. 덮개(20)에는, 덮개 본체(21), 도파관(22a)∼도파관(22f), 슬롯 안테나(23a)∼슬롯 안테나(23f), 유전체 파츠(24a)∼유전체 파츠(24f)로 구성되는 유전체, 및 빔(25)이 마련되어 있다.The lid 20 is arranged to seal the top of the chamber 10. Like the chamber 10, the lid 20 is made of a metal which is a nonmagnetic material such as aluminum (Al). The lid 20 includes a dielectric composed of a lid body 21, waveguides 22a to 22f, slot antennas 23a to slot antennas 23f, dielectric parts 24a to dielectric parts 24f, And a beam 25 is provided.

챔버(10)와 덮개(20)는 덮개 본체(21)의 하면 가장자리와 챔버(10)의 상면 가장자리의 사이에 배치된 O링(26)에 의해 고정되고, 이에 따라, 챔버 내의 기밀성이 유지되어 있다.The chamber 10 and the lid 20 are fixed by an O-ring 26 disposed between the bottom edge of the lid body 21 and the top edge of the chamber 10, thereby maintaining airtightness in the chamber. have.

덮개 본체(21)의 하면에 형성되어 있는 도파관(22a)∼도파관(22f)는 도 2에 나타낸 바와 같이, y축 방향으로 서로 평행하게 병렬하여 배치되어 있다. 도파관(22a) 및 도파관(22b), 도파관(22c) 및 도파관(22d), 도파관(22e) 및 도파관(22f)에는, 그 단부에 평면에서 보아 V자 형상인 분기 도파관(27a), 분기 도파관(27b), 분기 도파관(27c)이 각각 접속되어 있다. 각 분기 도파관(27)에는 마이 크로파 발생기(28)가 접속되어 있다.The waveguides 22a to 22f formed on the lower surface of the lid main body 21 are arranged in parallel with each other in the y-axis direction as shown in FIG. 2. The waveguide 22a, the waveguide 22b, the waveguide 22c, the waveguide 22d, the waveguide 22e and the waveguide 22f have a branch waveguide 27a and a branch waveguide (V-shaped in plan view at the end thereof). 27b) and branch waveguide 27c are connected to each other. A microwave generator 28 is connected to each branch waveguide 27.

각 도파관(22)은 각각의 축 방향에 수직인 단면이 직사각형 형상인 직사각형 도파관에 의해 형성되어 있다. 예컨대, TE10 모드(TE파 : transverse electric wave : 자계가 마이크로파의 진행 방향 성분을 갖는 파)인 경우, 각 도파관(22)의 축 방향에 수직인 단면의 장변 방향의 관벽은 자계에 평행한 H면으로 되고, 단변 방향의 관벽은 전계에 평행한 E면으로 된다. 각 도파관의 장변 방향과 단변 방향을 어떻게 배치할지는 모드(도파관 내의 전자계 분포)에 의해 변화된다. 각 도파관(22) 및 각 분기 도파관(27)의 내부는, 예컨대, 알루미나(산화알루미늄 : Al2O3), 석영, 불소 수지 등의 유전 부재에 의해 충전되어 있다. 그 유전 부재에 의해, λg1=λc/(εε1)1/2의 식에 따라 각 도파관(22)의 관내 파장 λg1이 제어된다. 여기서, λc는 자유 공간의 파장, ε1은 유전 부재의 유전률이다.Each waveguide 22 is formed by a rectangular waveguide having a rectangular cross section perpendicular to the respective axial directions. For example, in the TE10 mode (TE wave: transverse electric wave: a wave whose magnetic field has a component of the direction of microwave propagation), the pipe wall in the longitudinal direction of the cross section perpendicular to the axial direction of each waveguide 22 has an H plane parallel to the magnetic field. The pipe wall in the short side direction becomes E plane parallel to the electric field. How to arrange the long side direction and the short side direction of each waveguide is changed by the mode (electromagnetic field distribution in a waveguide). The inside of each waveguide 22 and each branch waveguide 27 is filled with a dielectric member such as alumina (aluminum oxide: Al 2 O 3 ), quartz, or a fluororesin. By the dielectric member, the tube wavelength λg 1 of each waveguide 22 is controlled in accordance with the expression λg 1 = λc / (εε 1 ) 1/2 . Is the wavelength of free space, and ε 1 is the dielectric constant of the dielectric member.

도 1에 나타낸 바와 같이, 슬롯 안테나(23a)∼슬롯 안테나(23f)는 도파관(22a)∼도파관(22f)의 바닥면에 각각 마련되어 있다. 각 슬롯 안테나(23)에는, 도 2에 나타낸 바와 같이, 13개의 슬롯(23a)이 투과 구멍으로서 각각 마련되어 있다.As shown in FIG. 1, slot antenna 23a-slot antenna 23f is provided in the bottom surface of waveguide 22a-waveguide 22f, respectively. In each slot antenna 23, as shown in FIG. 2, thirteen slots 23a are provided as transmission holes, respectively.

각 슬롯 안테나(23)의 슬롯(23a)은, 예컨대, λg/2의 등간격으로 배치되어 있다. 이렇게 하여, 78개(=13×6)의 슬롯(23a)이 챔버(10)의 천정부에 배치된다.The slots 23a of the respective slot antennas 23 are arranged at equal intervals of, for example, λg / 2. In this way, 78 slots (= 13 x 6) are arranged in the ceiling of the chamber 10.

슬롯 안테나(23)의 하면에는, 장방형의 평판 형상을 이루는 39장의 유전체 파츠(24)가 배치되어 있다. 각 유전체 파츠(24)는 마이크로파를 투과하도록, 예컨 대, 석영 유리, 질화알루미늄(AlN), 알루미나(산화알루미늄 : Al2O3), 사파이어, SiN, 세라믹 등으로 형성되어 있다.On the lower surface of the slot antenna 23, 39 dielectric parts 24 which form a rectangular flat plate shape are arrange | positioned. Each dielectric part 24 is made of, for example, quartz glass, aluminum nitride (AlN), alumina (aluminum oxide: Al 2 O 3 ), sapphire, SiN, ceramic, or the like so as to transmit microwaves.

도 2에 나타낸 바와 같이, 빔(25)은 격자 형상으로 형성되고, 슬롯 안테나(23)의 하면에서 39장의 유전체 파츠(24)를 지지한다. 대들보(25)는 알루미늄 등의 비자성체인 금속으로 이루어지는 도체이며, 도 1에 나타낸 슬롯 안테나(23), 덮개 본체(21) 및 챔버(10)를 거쳐 접지되어 있다. 각 대들보(25)의 내부에는, 복수의 가스 도입관(29)이 관통하고 있고, 가스 도입관(29) 선단의 분사 구멍(30)(도 2 참조)으로부터 처리 가스가 분사된다.As shown in Fig. 2, the beam 25 is formed in a lattice shape and supports 39 dielectric parts 24 on the lower surface of the slot antenna 23. The girders 25 are conductors made of a metal which is a nonmagnetic material such as aluminum, and are grounded through the slot antenna 23, the lid body 21, and the chamber 10 shown in FIG. A plurality of gas introduction pipes 29 pass through the respective girders 25, and a processing gas is injected from the injection hole 30 (see FIG. 2) at the tip of the gas introduction pipe 29.

도 1의 처리 가스 공급원(31)은 밸브(밸브(31a1), 밸브(31a3), 밸브(31b1), 밸브(31b3), 밸브(31b5), 밸브(31b7), 밸브(31c1), 밸브(31c3)), 매스 플로우 컨트롤러(매스 플로우 컨트롤러(31a2), 매스 플로우 컨트롤러(31b2), 매스 플로우 컨트롤러(31b6), 매스 플로우 컨트롤러(31c2)) 및 가스 공급원(O2 가스 공급원(31a4), SiH4 및 가스 공급원(31b4), Ar 가스 공급원(31b8), CF4 가스 공급원(31c4))으로 구성되어 있다.The process gas supply source 31 of FIG. 1 is a valve (valve 31a1, valve 31a3, valve 31b1, valve 31b3, valve 31b5, valve 31b7, valve 31c1, valve 31c3). )), Mass flow controller (mass flow controller 31a2, mass flow controller 31b2, mass flow controller 31b6, mass flow controller 31c2) and gas source (O 2 gas source 31a4, SiH 4 and consists of a gas supply source (31b4), Ar gas supply source (31b8), CF 4 gas supply source (31c4)).

처리 가스 공급원(31)은 각 밸브의 개폐를 제어함으로써, 각 처리 가스를 선택적으로 챔버(10) 내에 공급하도록 되어 있다. 또한, 각 매스 플로우 컨트롤러는 각각이 공급하는 처리 가스의 유량을 제어함으로써 처리 가스를 소망하는 농도로 조정하도록 되어 있다.The processing gas supply source 31 is configured to selectively supply each processing gas into the chamber 10 by controlling the opening and closing of each valve. In addition, each mass flow controller adjusts the processing gas to a desired concentration by controlling the flow rate of the processing gas supplied by each mass flow controller.

예컨대, 프로세스시에는, O2 가스가 O2 가스 공급원(31a4)으로부터 공급되 고, 가스 유로(32a)를 통해 처리실(10u)에 분사된다. 또한, SiH4 가스 및 Ar 가스가 SiH4 가스 공급원(31b4) 및 Ar 가스 공급원(31b8)으로부터 각각 공급되고, 가스 유로(32b)를 통해 처리실(10u)에 분사된다.For example, in the process, the O 2 gas is supplied from the O 2 gas supply source 31a4 and injected into the processing chamber 10u through the gas flow path 32a. In addition, SiH 4 gas and Ar gas are supplied from the SiH 4 gas supply source 31b4 and the Ar gas supply source 31b8, respectively, and are injected into the processing chamber 10u through the gas flow path 32b.

또한, 예컨대, 세정시에는, O2 가스 및 CF4 가스가 O2 가스 공급원(31a4) 및 CF4 가스 공급원(31c4)으로부터 각각 공급되고, 가스 유로(32a)를 통해 처리실(10u)에 분사된다.Further, for example, at the time of washing, O 2 gas and CF 4 gas is O 2 are respectively supplied from a gas supply source (31a4), and CF 4 gas supply source (31c4), through the gas flow channel (32a) is injected into the processing chamber (10u) .

마이크로파 플라즈마 처리 장치(100)의 외부에는, 리모트 플라즈마(35)가 설치되어 있다. 리모트 플라즈마(35)는 처리 용기(35a), 코일(35b), 고주파 전원(35c), 용량 C 및 반송관(35d)을 갖고 있고, 챔버(10) 내를 세정할 때에 이용된다.Outside of the microwave plasma processing apparatus 100, a remote plasma 35 is provided. The remote plasma 35 has a processing container 35a, a coil 35b, a high frequency power supply 35c, a capacitor C, and a carrier tube 35d, and is used to clean the inside of the chamber 10.

처리 용기(35a)는, 안이 비어있는 관 형상 부재로 구성되고, 유전체에 의해 형성되어 있다. 처리 용기(35a)의 바깥둘레에는, 코일(35b)이 나선 형상으로 감겨져 있다. 코일(35b)에는, 그 한쪽 끝에 고주파 전원(35c)이 접속되어 있고, 다른 쪽 끝은 접지되어 있다. 고주파 전원(35c)에는, 직류 성분을 절연하기 위한 용량 C가 접속되어 있다.The processing container 35a is constituted by a hollow tubular member, and is formed of a dielectric. In the outer periphery of the processing container 35a, the coil 35b is wound in a spiral shape. The high frequency power supply 35c is connected to one end of the coil 35b, and the other end is grounded. The high frequency power supply 35c is connected with a capacitor C for insulating a direct current component.

처리 용기(35a)에는, 세정 가스로서, 예컨대, CF4 가스, O2 가스 및 Ar 가스가 처리 가스 공급원(31)으로부터 공급된다. 세정 가스의 다른 예로서, NF3 가스 및 Ar 가스가 공급되어도 좋다. 고주파 전원(35c)으로부터 출력된 고주파 전력이 코일(35b)에 인가되면, 코일(35b)의 주위에 고주파 자계가 발생한다. 이 자계의 시간적 변화에 의해 유도된 유도 전계에 의해 처리 용기(35a) 내에서 세정 가스가 플라즈마화된다. 이렇게 하여 생성된 유도 결합 플라즈마(ICP : Inductively coupled plasma) 중, 라디칼의 수명은 길다. 이 결과, 활성인 F 라디칼만이 반송관(35d)을 거쳐 처리실(10u)에 공급된다.As the cleaning gas, for example, CF 4 gas, O 2 gas, and Ar gas are supplied to the processing container 35a from the processing gas supply source 31. As another example of the cleaning gas, an NF 3 gas and an Ar gas may be supplied. When the high frequency power output from the high frequency power supply 35c is applied to the coil 35b, a high frequency magnetic field is generated around the coil 35b. The cleaning gas is converted into plasma in the processing container 35a by an induction electric field induced by the temporal change of the magnetic field. In the inductively coupled plasma (ICP) generated in this way, the lifetime of radicals is long. As a result, only active F radicals are supplied to the processing chamber 10u via the transfer pipe 35d.

또한, 마이크로파 플라즈마 처리 장치(100)의 외부에는, 냉각수 공급원(33)이 배치되어 있다. 냉각수 공급원(33)은 덮개 본체(21)의 내부에 마련된 수로(34)에 냉각수를 순환 공급함으로써, 덮개 본체(21)의 내부를 냉각하도록 되어 있다.In addition, a cooling water supply source 33 is disposed outside the microwave plasma processing apparatus 100. The cooling water supply source 33 cools the inside of the lid body 21 by circulating and supplying the cooling water to the water channel 34 provided in the lid body 21.

또한, 마이크로파 플라즈마 처리 장치(100)의 외부에는, 컨트롤러(40)가 마련되어 있다. 컨트롤러(40)는 소정의 타이밍에 전동 모터(16a) 및 APC(19b)에 각각 구동 신호를 출력하도록 되어 있다. 컨트롤러(40)에 접속된 제 1 압력 센서(41)는 처리실(10u)에 마련되고, 처리실(10u)의 압력 P1을 검출한다. 마찬가지로, 컨트롤러(40)에 접속된 제 2 압력 센서(42)는 배기실(10d)에 마련되고, 배기실(10d)의 압력 P2를 검출하도록 되어 있다.In addition, the controller 40 is provided outside the microwave plasma processing apparatus 100. The controller 40 is configured to output drive signals to the electric motor 16a and the APC 19b at predetermined timings, respectively. The first pressure sensor 41 connected to the controller 40 is provided in the processing chamber 10u and detects the pressure P1 of the processing chamber 10u. Similarly, the second pressure sensor 42 connected to the controller 40 is provided in the exhaust chamber 10d to detect the pressure P2 of the exhaust chamber 10d.

이러한 구성에 의해, 도 2에 나타낸 마이크로파 발생기(28)로부터 출력된 마이크로파는 각 도파관(22)을 전파하고, 각 슬롯을 통해 각 유전체 파츠(24)를 투과하여 처리실(10u) 내로 입사된다. 이렇게 하여 처리실(10u) 내로 입사된 마이크로파의 전계 에너지에 의해, 처리 가스 공급원(31)으로부터 공급된 성막 가스가 플라즈마화되고, 기판 G에 게이트 산화막이 형성된다. 또한, 복수의 기판 G에 성막 처리가 실시되는 것에 의해, 챔버 내벽면에 퇴적된 반응 생성물이 미리 정해진 두께 가 되면, 처리 가스 공급원(31) 및 리모트 플라즈마(35)는 F계 가스를 세정 가스로서 공급하고, 세정 가스로부터 생성된 플라즈마 중의 F 라디칼의 작용에 의해 챔버 내벽이 세정된다. 세정 후, 다시 처리 가스 공급원(31)으로부터 성막 가스가 공급되고, 게이트 산화막과 동일한 프리코트막이 성막시와 같은 프로세스 조건에서 챔버 내벽에 형성된다. 프리코트막이 어느 정도의 두께가 되면, 다시 기판 G를 반입하여, 성막 처리가 재개된다.With this configuration, the microwaves output from the microwave generator 28 shown in FIG. 2 propagate each waveguide 22, pass through each dielectric part 24 through each slot, and enter the process chamber 10u. In this way, the film-forming gas supplied from the process gas supply source 31 turns into plasma by the electric field energy of the microwave which entered into the process chamber 10u, and the gate oxide film is formed in the board | substrate G. In addition, when the reaction products deposited on the inner wall of the chamber have a predetermined thickness by performing the film formation process on the plurality of substrates G, the processing gas supply source 31 and the remote plasma 35 use the F-based gas as the cleaning gas. The chamber inner wall is cleaned by the action of F radicals in the plasma generated from the cleaning gas. After the cleaning, the deposition gas is again supplied from the processing gas supply source 31, and the same precoat film as the gate oxide film is formed on the inner wall of the chamber under the same process conditions as the film formation. When the precoat film has a certain thickness, the substrate G is loaded again, and the film forming process is resumed.

(서셉터(11)의 승강 동작)(Lowing operation of the susceptor 11)

다음에, 이상에 설명한 (1) 성막(게이트 산화막 형성), (2) 세정, (3) F계 가스 저감막 형성(프리코트막 형성)시의 각 공정에 있어서의, 도 3에 나타낸 서셉터(11)의 승강 동작에 대하여, 발명자 등이 실제로 실험한 결과를 나타내면서 설명한다.Next, the susceptor shown in FIG. 3 in each of the processes (1) film formation (gate oxide film formation), (2) cleaning, and (3) F-based gas reduction film formation (precoat film formation) described above. The elevating operation of (11) will be described while showing the results of the inventors and the like actually experimenting.

발명자 등이 실험시에 각 공정에서 설정한 프로세스 조건은 다음과 같다.The process conditions set by each inventor in each process at the time of experiment are as follows.

(1) 성막(게이트 산화막 형성)시의 프로세스 조건(1) Process conditions during film formation (gate oxide film formation)

이 때의 프로세스 조건은 처리실(10u)의 압력이 200mTorr, 마이크로파의 파워가 2.55㎾×3(3개의 마이크로파 발생기(28)를 사용)이었다. 또한, 가스 종류는 Ar 가스, SiH4 가스 및 O2 가스를 이용하고, 그 가스량은 Ar 가스 1500sccm, SiH4 가스 150sccm, O2 가스 950sccm이었다. 또한, 기판 G의 온도를 300℃로 했다. 또한, 기판 G와 유전체 파츠(24)의 거리는 166㎜이었다.The process conditions at this time were 200 mTorr in the pressure of the processing chamber 10u, and the power of the microwave was 2.55 Pa 3 (using three microwave generators 28). As the gas type, Ar gas, SiH 4 gas and O 2 gas were used, and the amount of gas was 1500 sccm for Ar gas, 150 sccm for SiH 4 gas, and 950 sccm for O 2 gas. In addition, the temperature of the board | substrate G was 300 degreeC. The distance between the substrate G and the dielectric parts 24 was 166 mm.

(2) 세정시의 프로세스 조건(2) Process conditions at the time of washing

상기 설명에서는, 세정 가스로서 CF4 가스, O2 가스 및 Ar 가스를 예로 들었지만, 실험시에는, F계 가스로서 NF3 가스 및 Ar 가스를 이용했다. 그 가스량은 Ar 가스 1000sccm, NF3 가스 1000sccm이었다. 또한, 처리실(10u)의 압력이 2Torr, 고주파 전원(35c)으로부터의 출력이 10.8㎾이었다. 또한, 기판 G와 유전체 파츠(24)간의 거리는 194㎜이었다.In the above description, CF 4 gas, O 2 gas and Ar gas are exemplified as the cleaning gas, but in the experiment, NF 3 gas and Ar gas were used as the F-based gas. That gas was Ar gas 1000sccm, NF 3 gas 1000sccm. Moreover, the pressure of the processing chamber 10u was 2 Torr, and the output from the high frequency power supply 35c was 10.8 kPa. The distance between the substrate G and the dielectric parts 24 was 194 mm.

(3) 프리코트막 형성시의 프로세스 조건은 성막시와 동일한 조건으로 했다.(3) The process conditions at the time of precoat film formation were made the same conditions as the film formation.

(1) 성막(1) the tabernacle

게이트 산화막을 형성하는 프로세스가 개시되기 전에, 컨트롤러(40)는 서셉터(11)를 프로세스 조건으로 정해진 소정의 높이로 승강시키기 위한 구동 신호를 전동 모터(16a)에 송신한다. 그 구동 신호에 대응하여 전동 모터(16a)로부터 출력된 동력에 의해, 서셉터(11)는 소정의 높이까지 상승한다(도 3의 위 부분).Before the process of forming the gate oxide film is started, the controller 40 transmits a drive signal to the electric motor 16a for raising and lowering the susceptor 11 to a predetermined height determined by process conditions. In response to the drive signal, the susceptor 11 rises to a predetermined height by the power output from the electric motor 16a (upper part in FIG. 3).

이 상태에서, 컨트롤러(40)가 성막 가스를 공급시키기 위해 구동 신호를 처리 가스 공급원(31)에 송신함으로써, 처리 가스 공급원(31)은 Ar 가스, SiH4 가스 및 O2 가스를 처리실(10u) 내에 공급한다. 이들 성막 가스는 마이크로파에 의해 플라즈마화된다.In this state, the controller 40 transmits a drive signal to the processing gas supply source 31 to supply the deposition gas, whereby the processing gas supply source 31 supplies Ar gas, SiH 4 gas, and O 2 gas to the processing chamber 10u. Supply in. These deposition gases are converted into plasma by microwaves.

서셉터(11)가 소정의 높이까지 상승한 상태에서는, 서셉터(11)와 배플판(18)의 간격은 거의 없다. 또한, APC(19b)는 그 밸브를 열도록 제어되고 있다. 이에 따라, 처리실(10u)을 프로세스 조건에 합치한 압력(50mTorr∼500mTorr 정도)으로 유지할 수 있다. 이 결과, 성막 가스로부터 생성된 플라즈마 중의 저장 라디칼이 처리실(10u) 내에 가둬지는 것에 의해, 성막 속도가 빠르고, 균일성이 높은 성막을 기판 G에 실시할 수 있다.In a state where the susceptor 11 is raised to a predetermined height, there is almost no gap between the susceptor 11 and the baffle plate 18. In addition, the APC 19b is controlled to open the valve. Thereby, the process chamber 10u can be maintained at the pressure (about 50 mTorr-about 500 mTorr) matched with process conditions. As a result, the storage radicals in the plasma generated from the film forming gas are confined in the processing chamber 10u, whereby the film forming speed is high and uniformity can be formed on the substrate G.

(2) 세정(2) cleaning

다수의 기판 G상에 게이트 산화막을 각각 형성하는 처리를 반복하는 것에 의해 챔버 내벽에 퇴적된 반응 생성물이 소정의 두께가 되면, 챔버 내는 세정된다. 그 때, 컨트롤러(40)는 서셉터(11)와 배플판(18) 사이에 간격을 마련하기 위한 구동 신호를 전동 모터(16a)에 송신한다. 그 구동 신호에 대응하여 전동 모터(16a)로부터 출력되는 동력에 의해, 서셉터(11)는 소정의 높이까지 하강한다(도 3의 아래 부분). 이 상태에서는, 서셉터(11)와 배플판(18)의 사이에 소정의 간격(극간 S)이 생긴다. 이 때문에, 가스는 처리실(10u)로부터 배기실(10d)로 흐르기 쉽게 되어, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작아진다.When the reaction product deposited on the inner wall of the chamber reaches a predetermined thickness by repeating the processes of forming the gate oxide films on the plurality of substrates G, respectively, the inside of the chamber is cleaned. At that time, the controller 40 transmits a drive signal for providing a gap between the susceptor 11 and the baffle plate 18 to the electric motor 16a. In response to the drive signal, the susceptor 11 descends to a predetermined height by the power output from the electric motor 16a (lower part in FIG. 3). In this state, a predetermined interval (interval S) is generated between the susceptor 11 and the baffle plate 18. For this reason, gas flows easily from the processing chamber 10u to the exhaust chamber 10d, and the difference between the pressure P1 of the processing chamber 10u and the pressure P2 of the exhaust chamber 10d becomes small.

예컨대, 성막시, 도 4의 (A)선으로 나타낸 바와 같이, 처리실(10u)의 압력 P1이 500mTorr일 때, 배기실(10d)의 압력 P2는 250mTorr이며, 처리실(10u)의 압력 P1이 배기실(10d)의 압력 P2보다 높은 것을 알 수 있다.For example, at the time of film formation, when the pressure P1 of the processing chamber 10u is 500 mTorr as shown by the line (A) of FIG. 4, the pressure P2 of the exhaust chamber 10d is 250 mTorr, and the pressure P1 of the processing chamber 10u is exhausted. It turns out that it is higher than the pressure P2 of the chamber 10d.

한편, 세정시, 극간 S가 1㎝가 되도록 서셉터(11)를 강하시켰을 때, 도 4의 (B)선으로 나타낸 바와 같이, 처리실(10u)의 압력 P1이 500mTorr일 때, 배기실(10d)의 압력 P2는 480mTorr이며, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2는 매우 작아진 것을 알 수 있다.On the other hand, when the susceptor 11 is dropped so that the gap S becomes 1 cm during washing, as shown by the line (B) of FIG. 4, when the pressure P1 of the processing chamber 10u is 500 mTorr, the exhaust chamber 10d It is understood that the pressure P2 of) is 480 mTorr, and the pressure P1 of the processing chamber 10u and the pressure P2 of the exhaust chamber 10d are very small.

이 상태에서, 컨트롤러(40)가 세정 가스를 공급시키기 위해 구동 신호를 처리 가스 공급원(31)에 송신함으로써, 처리 가스 공급원(31)은 NF3 가스 및 Ar 가스를 처리실(10u) 내에 공급한다. 이들 세정 가스는 마이크로파에 의해 플라즈마화된다.In this state, the controller 40 transmits a drive signal to the processing gas supply source 31 to supply the cleaning gas, so that the processing gas supply source 31 supplies the NF 3 gas and the Ar gas into the processing chamber 10u. These cleaning gases are converted into plasma by microwaves.

또한, 상기 구동 신호에 의해, 처리 가스 공급원(31)은 NF3 가스 및 Ar 가스를 리모트 플라즈마(35)에 공급한다. 리모트 플라즈마(35)는 이들 세정 가스를 플라즈마화하고, 배기실(10d) 내에 F 라디칼을 공급시킨다. 구체적으로는, 세정 가스(NF3, Ar)가 처리 용기(35a)에 공급되고, 고주파 전원(35c)의 고주파 전력이 코일(35b)에 인가된다. 이에 따라, 가스는 코일(35b)의 주위에 발생한 고주파의 자계로부터 유도되는 고주파의 전계에 의해 플라즈마화되고, 플라즈마 중의 F 라디칼만이 그 수명이 길기 때문에 최후까지 반송관(35d)을 통해 챔버 내에 공급된다. 공급된 F 라디칼은 챔버의 내벽에 부착된 SiOX막을 공격하고, SiFX(SiF1, SiF2, SiF3, SiF4) 가스로 되어 챔버 밖으로 배출된다. 또한, 남겨진 OX는 처리실(10u)에 공급된 NF3 가스 중의 N과 반응하여, NO나 NO2 등의 가스로 되어 챔버 밖으로 배출된다.In addition, by the drive signal, the process gas supply source 31 supplies the NF 3 gas and the Ar gas to the remote plasma 35. The remote plasma 35 converts these cleaning gases into plasma and supplies F radicals into the exhaust chamber 10d. Specifically, cleaning gases NF 3 and Ar are supplied to the processing container 35a, and the high frequency power of the high frequency power supply 35c is applied to the coil 35b. As a result, the gas is converted into plasma by a high frequency electric field induced from a high frequency magnetic field generated around the coil 35b, and since only the F radicals in the plasma have a long life, the gas flows into the chamber through the conveyance pipe 35d until the end. Supplied. The supplied F radical attacks the SiO X film attached to the inner wall of the chamber and is discharged out of the chamber as SiF X (SiF 1 , SiF 2 , SiF 3 , SiF 4 ) gas. In addition, the remaining O X reacts with N in the NF 3 gas supplied to the processing chamber 10u, and is discharged out of the chamber as gas such as NO or NO 2 .

상술한 바와 같이, 세정시에는, 서셉터(11)가 아래쪽에 위치함으로써, 가스가 처리실(10u)로부터 배기실(10d)로 흐르기 쉽게 되어, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작아지고 있다. 상기 수학식 1에 따르면, 각 실의 압력차를 작게 하고, 처리실(10u)의 F 라디칼을 배기실(10d)의 F 라디칼과 거의 동일 상태로 하는 것에 의해, 각 실의 세정 속도의 차를 없앨 수 있다. 이에 따라, SiFX 가스나 NO, NO2 등의 가스의 생성 속도는 처리실(10u)과 배기실(10d)에서 거의 같게 된다. 이 결과, 처리실(10u) 및 배기실(10d)의 내벽은 보다 균등하게 세정되는 동시에, 그 세정 시간을 대폭 단축할 수 있다.As described above, at the time of washing, the susceptor 11 is positioned downward, so that gas easily flows from the processing chamber 10u to the exhaust chamber 10d, so that the pressure P1 of the processing chamber 10u and the exhaust chamber 10d are maintained. The difference between the pressures P2 is decreasing. According to the above equation (1), the pressure difference between the chambers is made small, and the F radicals in the processing chamber 10u are made almost the same as the F radicals in the exhaust chamber 10d, thereby eliminating the difference in the cleaning speeds of the chambers. Can be. As a result, the generation rates of the SiF X gas and the gases such as NO and NO 2 are almost the same in the processing chamber 10u and the exhaust chamber 10d. As a result, the inner walls of the processing chamber 10u and the exhaust chamber 10d can be cleaned more uniformly, and the cleaning time can be significantly shortened.

그런데, 이와 같이, 마이크로파 플라즈마 처리 장치(100)의 세정에는 F계 가스의 플라즈마가 이용되고 있고, 더구나 챔버 본체는 Al, 천정부는 Al2O3로 형성되어 있다. 이러한 상황에서, F 이온이 Al2O3를 공격하면, Al-O간의 결합이 끊어져, 부분적으로 Al-F 등의 막이 생긴다. 또한, Al-F의 결합 에너지는 159kcal/mol이며, Al-O의 결합 에너지가 120kcal/mol인 Al2O3와 마찬가지로 결합 상태가 안정되어 있다. 이 결과, 세정시, 챔버 본체의 Al 및 천정부의 Al2O3가 불화되어, 챔버 내벽이나 천정부가 부분적으로 AlF로 되는 경우가 있다.By the way, the plasma of the F-based gas is used for cleaning the microwave plasma processing apparatus 100. Furthermore, the chamber body is made of Al, and the ceiling is made of Al 2 O 3 . In such a situation, when F ions attack Al 2 O 3 , the bond between Al-O is broken, and a film such as Al-F is formed in part. In addition, the bonding energy of Al-F is 159 kcal / mol, and the bonding state is stable, similar to Al 2 O 3 , in which the bonding energy of Al-O is 120 kcal / mol. As a result, during cleaning, Al in the chamber body and Al 2 O 3 in the ceiling may be fluorinated, and the chamber inner wall and the ceiling may be partially AlF.

또한, 세정시에 생성된 SiF4나 F2는 결합 상태가 안정되어 있기 때문에, 그 일부가 챔버의 밖으로 배출되지 않고, 챔버 내벽에 물리적으로 흡착되는 경우도 있다. 이렇게 하여 흡착된 SiF4나 F2는 흡착 에너지가 작기 때문에 탈리하기 쉽다. 또한, 상술한 바와 같이 챔버 내벽에서 부분적으로 불화된 AlF는 성막시의 이온에 의해 Al-F 결합이 끊어지는 것에 의해 F로 되어, 챔버 내로 방출된다. 이렇게 하여 챔버 내에 존재하는 것으로 된 F계 잔류물이 탈리하여, 성막 중인 박막에 혼입 한다고 하는 문제가 발생한다.Further, since the SiF 4 or F 2 generated at the time of cleaning it is a stable coupling state, a portion thereof is not discharged out of the chamber, which may be physically adsorbed on the inner wall of the chamber. In this way, the adsorbed SiF 4 or F 2 is easily detached because the adsorption energy is small. Further, as described above, AlF partially fluorinated on the inner wall of the chamber becomes F when the Al-F bond is broken by ions at the time of film formation, and is released into the chamber. In this way, a problem arises in that the F-based residue present in the chamber is detached and mixed into the thin film during film formation.

이것에 부가하여, 통상, 성막시의 제품의 양품률을 높여, 안정적으로 제품을 제조하기 위해, 피처리체를 성막하기 전에, 챔버(10) 내로의 라디칼의 공급, 챔버(10) 내에서의 박막의 생성 및 챔버(10) 밖으로의 가스의 배기라고 하는 일련의 순환을 정상 상태로 할 필요가 있다. 즉, 성막 전부터 챔버 내의 프로세스 조건을 성막시와 같은 조건으로 설정함으로써, 프로세스시에 발생하는 라디칼이 챔버 내벽 등에서 소모되는 일 없이, 안정한 성막을 행할 필요가 있다.In addition to this, in order to increase the yield of the product at the time of film formation and to stably manufacture the product, the supply of radicals into the chamber 10 and the thin film in the chamber 10 before forming the object to be processed. It is necessary to bring a series of circulation, called generation and exhaust of the gas out of the chamber 10, into a steady state. That is, by setting the process conditions in the chamber before the film formation to the same conditions as the film formation, it is necessary to perform stable film formation without the radicals generated during the process being consumed on the chamber inner wall or the like.

이상에 설명한 바와 같이, 챔버 내벽에 존재하는 Al-F 등으로부터의 F의 탈리나 챔버 내벽으로부터의 SiF4나 F2의 탈리가 막질 저하의 발생 원인으로 된다고 하는 문제를 해소하는 동시에, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정한다고 하는 관점에서, 세정 후이고 성막 전(프리코트막 형성시)에, 성막시에 공급되는 가스와 같은 가스를 플라즈마화시켜, 그 플라즈마에 의해 챔버 내벽 표면을 피막한다(즉, 이른바, 프리코트막을 형성함). 이 프리코트막 형성시에 있어서의 서셉터(11)의 승강 동작에 대하여 다음에 설명한다.As described above, the problem that desorption of F from Al-F or the like on the inner wall of the chamber or the desorption of SiF 4 or F 2 from the inner wall of the chamber is the cause of deterioration of the film quality, and at the same time, the process from before film formation From the viewpoint of setting the conditions to the same conditions as at the time of film formation, before the film formation (before forming the precoat film), a gas such as a gas supplied at the time of film formation is converted into plasma, and the plasma inner wall surface is formed by the plasma. It coats (namely, forms a so-called precoat film). The lifting operation of the susceptor 11 at the time of forming the precoat film will be described next.

(3) 프리코트막 형성(3) precoat film formation

프리코트막 형성시, 챔버의 내벽 표면을 프로세스 처리시와 같은 SiO2막(게이트 산화막)으로 피막한다. 이 때, 서셉터(11)와 배플판(18)의 사이에는 소정의 간격(극간 S)이 발생한 상태이다. 이 때문에, 챔버 내에서는, 가스가 처리실(10u)로부터 배기실(10d)로 흐르기 쉽게 되어 있는 상태가 유지되고 있고, 처리실(10u) 의 압력 P1과 배기실(10d)의 압력 P2의 차는 작은 상태이다.In forming the precoat film, the inner wall surface of the chamber is coated with the same SiO 2 film (gate oxide film) as in the process treatment. At this time, a predetermined interval (interval S) has occurred between the susceptor 11 and the baffle plate 18. For this reason, in the chamber, a state in which gas easily flows from the processing chamber 10u to the exhaust chamber 10d is maintained, and the difference between the pressure P1 of the processing chamber 10u and the pressure P2 of the exhaust chamber 10d is small. to be.

이 상태에서, 컨트롤러(40)가 프리코트막 형성을 위한 가스를 공급시키기 위해 구동 신호를 처리 가스 공급원(31)에 송신함으로써, 처리 가스 공급원(31)은 다시, 성막 가스와 같은 가스인 Ar 가스, SiH4 가스 및 O2 가스를 처리실(10u) 내에 공급한다. 이들 성막 가스는 마이크로파에 의해 플라즈마화된다.In this state, the controller 40 transmits a drive signal to the processing gas supply source 31 to supply gas for forming the precoat film, whereby the processing gas supply source 31 is again an Ar gas which is a gas such as a deposition gas. , SiH 4 gas and O 2 gas are supplied into the processing chamber 10u. These deposition gases are converted into plasma by microwaves.

상술한 바와 같이, 상기 수학식 1에 따르면, 각 실의 압력차를 작게 하고, 처리실(10u)의 저장 라디칼을 배기실(10d)의 저장 라디칼과 거의 같은 상태로 하는 것에 의해, 각 실의 성막 속도의 차를 없앨 수 있다. 이 결과, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있는 동시에, 그 프리코트막을 소정의 두께까지 형성하는 시간을 대폭 단축할 수 있다.As described above, according to Equation 1, the pressure difference between the chambers is reduced, and the storage radicals in the processing chamber 10u are made to be substantially the same as the storage radicals in the exhaust chamber 10d. You can get rid of the difference in speed. As a result, the film thickness of the precoat film of the process chamber 10u and the exhaust chamber 10d can be formed more uniformly, and the film quality can be formed uniformly, and the time for forming the precoat film to a predetermined thickness is greatly increased. It can be shortened.

이와 같이, 본 실시예에서는, 세정시 및 프리코트막 형성시에는, 서셉터(11)와 배플판(18)의 사이에 극간 S가 마련된다. 이에 따라, 챔버 내벽을 보다 균등하게 세정할 수 있는 동시에, 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 형성할 수 있다. 이 결과, 세정 시간 및 프리코트막 형성 시간을 대폭 단축하여, 스루풋을 향상시켜 생산성을 높일 수 있다.As described above, in the present embodiment, the gap S is provided between the susceptor 11 and the baffle plate 18 at the time of cleaning and at the time of forming the precoat film. Thereby, the chamber inner wall can be cleaned more uniformly, and the film thickness of the precoat film can be formed more uniformly and the film quality can be formed uniformly. As a result, cleaning time and precoat film formation time can be shortened significantly, throughput can be improved, and productivity can be improved.

또한, 성막시에는, 배플판(18)과 서셉터(11)의 사이에 극간이 거의 생기지 않도록 배플판(18)을 상승시킨다. 이 결과, 처리실(10u)의 저장 라디칼의 분포를 균일하게 할 수 있기 때문에, 기판 G에 양질의 게이트 산화막을 형성할 수 있다.In addition, at the time of film formation, the baffle plate 18 is raised so that a gap is hardly generated between the baffle plate 18 and the susceptor 11. As a result, since the distribution of the storage radicals in the processing chamber 10u can be made uniform, a good gate oxide film can be formed on the substrate G.

(실시예 1의 변형예 1)(Modification 1 of Example 1)

다음에, 실시예 1의 변형예 1에 따른 마이크로파 플라즈마 처리 장치(100)의 구성 및 동작에 대하여, 도 5를 참조하면서 설명한다. 이 장치에서는, 배플판(18)을 지지하는 받침 기구가 서셉터(11)의 측벽부에도 배치되어 있고, 배플판(18)이 챔버(10)의 내벽 측부 또는 서셉터의 측벽부 중 어느 하나에 착탈 가능하게 고정되는 점에서, 배플판(18)이 챔버(10)의 내벽 측부에 고정되는 실시예 1의 마이크로파 플라즈마 처리 장치와 상이하다. 따라서, 이 상위점을 중심으로 설명한다.Next, the structure and operation of the microwave plasma processing apparatus 100 according to the first modification of the first embodiment will be described with reference to FIG. 5. In this apparatus, a supporting mechanism for supporting the baffle plate 18 is also disposed in the side wall portion of the susceptor 11, and the baffle plate 18 is either the inner wall side of the chamber 10 or the side wall portion of the susceptor. The baffle plate 18 is different from the microwave plasma processing apparatus of the first embodiment in which the baffle plate 18 is fixed to the inner wall side of the chamber 10 in that it is detachably fixed thereto. Therefore, the above explanation will focus on this difference.

챔버(10)의 내벽 측부에는, 대략 중앙에서 서셉터(11)쪽으로 돌출한 받침 기구(18a)가 부착되어 있다. 또한, 서셉터(11)의 측면 대략 중앙에도 챔버(10)의 측벽측으로 돌출한 받침 기구(18b)가 부착되어 있다. 배플판(18)은 서셉터(11)의 높이에 따라 챔버(10) 또는 서셉터(11) 중 어느 하나에 착탈 가능하게 고정되도록 되어 있다.On the inner wall side part of the chamber 10, the support mechanism 18a which protrudes toward the susceptor 11 from the substantially center is attached. Moreover, the supporting mechanism 18b which protruded toward the side wall of the chamber 10 is also attached in the substantially center of the side surface of the susceptor 11. The baffle plate 18 is detachably fixed to either the chamber 10 or the susceptor 11 according to the height of the susceptor 11.

다음에, 본 변형예의 경우에 있어서의 서셉터(11)의 승강 동작을 설명한다.Next, the lifting operation of the susceptor 11 in the case of this modification is demonstrated.

(1) 성막(1) the tabernacle

성막시, 컨트롤러(40)는 전동 모터(16a)로 구동 신호를 송신하고, 이 구동 신호에 따라 전동 모터(16a)가 동작함으로써 서셉터(11)는 소정의 높이까지 상승한다. 상승하고 있는 동안에, 배플판(18)은 그 하면 안쪽 가장자리에서 받침 기구(18b)에 지지되는 것에 의해 서셉터(11)의 측벽에 고정되어, 서셉터(11)와 함께 소정의 높이까지 상승한다(도 5의 위 부분).At the time of film formation, the controller 40 transmits a drive signal to the electric motor 16a, and the susceptor 11 rises to a predetermined height by operating the electric motor 16a in accordance with this drive signal. While ascending, the baffle plate 18 is fixed to the side wall of the susceptor 11 by being supported by the support mechanism 18b at its inner bottom edge, and rises to the predetermined height with the susceptor 11. (Upper part of Figure 5).

이 상태에서는, 서셉터(11)와 배플판(18)의 간격은 거의 없다. 따라서, 처 리실(10u)의 압력 P1은 프로세스 조건에 합치한 상태로 유지된다. 이 결과, 저장 라디칼이 처리실 내에 가둬지기 때문에, 성막 속도가 빠르고, 또한, 균일성이 높은 SiO2막이 기판 G에 형성된다.In this state, there is almost no gap between the susceptor 11 and the baffle plate 18. Therefore, the pressure P1 of the processing chamber 10u is maintained in a state consistent with the process conditions. As a result, since the storage radicals are confined in the processing chamber, a SiO 2 film having a high deposition rate and high uniformity is formed on the substrate G.

(2) 세정(2) cleaning

챔버 내벽에 퇴적된 반응 생성물이 소정의 두께가 되면, 컨트롤러(40)는 전동 모터(16a)에 구동 신호를 송신하고, 전동 모터(16a)가 이 구동 신호에 따라 동작함으로써 서셉터(11)는 소정의 높이까지 하강한다(도 5의 아래 부분). 하강하고 있는 동안에, 서셉터(11)에 고정되어 있던 배플판(18)이 챔버(10)측의 받침 기구(18a)가 마련된 높이까지 하강하면, 배플판(18)은 그 하면 바깥쪽 가장자리에서 받침 기구(18a)에 계합(係合)한다. 그 후, 서셉터(11)가 더 하강하면, 배플판(18)은 서셉터(11)측의 받침 기구(18b)를 벗어나, 챔버(10)의 내벽 측부의 받침 기구(18a)에 고정되고, 서셉터(11)만이 소정의 높이까지 하강한다.When the reaction product deposited on the chamber inner wall reaches a predetermined thickness, the controller 40 transmits a drive signal to the electric motor 16a, and the susceptor 11 is operated by the electric motor 16a operating in accordance with this drive signal. It descends to a predetermined height (lower part in FIG. 5). While descending, when the baffle plate 18 fixed to the susceptor 11 descends to a height at which the support mechanism 18a on the chamber 10 side is provided, the baffle plate 18 is formed at the outer edge of the lower surface thereof. It engages with the support mechanism 18a. Thereafter, when the susceptor 11 is further lowered, the baffle plate 18 leaves the support mechanism 18b on the susceptor 11 side and is fixed to the support mechanism 18a on the inner wall side of the chamber 10. Only the susceptor 11 descends to a predetermined height.

이 상태에서, 세정 가스가 공급되면, 서셉터(11)와 배플판(18)의 사이에 소정의 간격(극간 S)이 생겨 있기 때문에, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작아지고, 처리실(10u)의 저장 라디칼을 배기실(10d)의 저장 라디칼과 거의 동일 상태로 하는 것에 의해, 각 실의 세정 속도의 차를 없앨 수 있다. 이에 따라, SiFX 가스나 NO, NO2 등의 가스의 생성 속도는 처리실(10u)과 배기실(10d)에서 거의 같게 된다. 이 결과, 실시예 1의 경우와 마찬가지로 처리실(10u) 및 배기실(10d)의 내벽은 보다 균등하게 세정되는 동시에, 그 세정 시간을 대폭 단축할 수 있다.In this state, when the cleaning gas is supplied, a predetermined interval (interval S) is generated between the susceptor 11 and the baffle plate 18, so that the pressure P1 of the processing chamber 10u and the exhaust chamber 10d The difference of the pressure P2 becomes small, and the difference in the washing | cleaning speed of each chamber can be eliminated by making the storage radical of the process chamber 10u into substantially the same state as the storage radical of the exhaust chamber 10d. As a result, the generation rates of the SiF X gas and the gases such as NO and NO 2 are almost the same in the processing chamber 10u and the exhaust chamber 10d. As a result, similarly to the first embodiment, the inner walls of the processing chamber 10u and the exhaust chamber 10d can be cleaned more evenly, and the cleaning time can be significantly shortened.

(3) 프리코트막 형성(3) precoat film formation

프리코트막 형성시, 서셉터(11)의 높이는 그대로인 상태이기 때문에, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작은 상태이다. 이 상태에서, 실시예 1의 경우와 마찬가지로 프리코트막 형성을 위한 가스가 공급되면, 생성된 저장 라디칼은 처리실(10u)과 배기실(10d)에서 거의 같은 상태로 되고, 각 실의 성막 속도의 차는 거의 없게 된다. 이 결과, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있는 동시에, 그 프리코트막을 소정의 두께까지 형성하는 시간을 대폭 단축할 수 있다.At the time of forming the precoat film, the height of the susceptor 11 is intact, and therefore the difference between the pressure P1 of the processing chamber 10u and the pressure P2 of the exhaust chamber 10d is small. In this state, when the gas for forming the precoat film is supplied as in the case of Example 1, the generated storage radicals become almost the same in the processing chamber 10u and the exhaust chamber 10d, and the film forming speed of each chamber is maintained. The car is almost gone. As a result, the film thickness of the precoat film of the process chamber 10u and the exhaust chamber 10d can be formed more uniformly, and the film quality can be formed uniformly, and the time for forming the precoat film to a predetermined thickness is greatly increased. It can be shortened.

이와 같이, 본 변형예에서는, 세정시 및 프리코트막 형성시에는, 배플판(18)을 챔버 벽면측에 고정한다. 이렇게 하여, 서셉터(11)와 배플판(18)의 사이에 극간 S를 마련하고, 저장 라디칼의 상태를 거의 같게 함으로써, 챔버 내벽을 보다 균등하게 세정할 수 있는 동시에, 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 형성할 수 있다. 이 결과, 세정 시간 및 프리코트막 형성 시간을 대폭 단축할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.Thus, in this modification, the baffle plate 18 is fixed to the chamber wall surface side at the time of washing | cleaning and the precoat film formation. In this way, by providing a gap S between the susceptor 11 and the baffle plate 18 and making the state of the storage radicals almost the same, the inner wall of the chamber can be cleaned more evenly, and the film thickness of the precoat film is increased. The film quality can be formed more uniformly. As a result, cleaning time and precoat film formation time can be shortened significantly. As a result, productivity can be improved by improving throughput.

한편, 본 변형예에서는, 성막시에는, 배플판(18)을 서셉터(11)측에 고정한다. 이에 따라, 배플판(18)을 서셉터(11)와 함께 상승시킬 수 있다. 기판 G가 탑재된 스테이지와 배플판(18)의 위치 관계는 SiO2막의 막질에 크게 영향을 미친다. 따라서, 본 변형예와 같이, 배플판(18)을 서셉터(11)와 함께 최적의 위치까지 이동 시키는 것에 의해, 기판 G에 의해 양질의 게이트 산화막을 형성할 수 있다.On the other hand, in this modification, the baffle plate 18 is fixed to the susceptor 11 side during film formation. As a result, the baffle plate 18 can be raised together with the susceptor 11. The positional relationship between the stage on which the substrate G is mounted and the baffle plate 18 greatly affects the film quality of the SiO 2 film. Therefore, as in the present modification, by moving the baffle plate 18 together with the susceptor 11 to an optimal position, a high quality gate oxide film can be formed by the substrate G.

(실시예 1의 변형예 2)(Modification 2 of Example 1)

다음에, 실시예 1의 변형예 2에 따른 마이크로파 플라즈마 처리 장치(100)의 구성 및 동작에 대하여 도 6을 참조하면서 설명한다. 본 변형예에 따른 배플판(18)에는, 하나 또는 둘 이상의 관통 구멍과 그 관통 구멍을 개폐하는 개폐 기구가 마련되어 있고, 이 개폐 기구에 의해 관통 구멍의 개방도를 조절하는 점에서, 서셉터(11)를 승강시킴으로써 서셉터(11)와 배플판(18)의 극간 S를 조절하는 실시예 1의 마이크로파 플라즈마 처리 장치(100)와 상이하다. 따라서, 이 상위점을 중심으로 설명한다.Next, the structure and operation of the microwave plasma processing apparatus 100 according to the second modification of the first embodiment will be described with reference to FIG. 6. The baffle plate 18 which concerns on this modification is provided with the opening-and-closing mechanism which opens and closes one or more through-holes, and the through-holes, The susceptor (point) is controlled by this opening / closing mechanism. It is different from the microwave plasma processing apparatus 100 of Example 1 which adjusts the clearance gap S of the susceptor 11 and the baffle plate 18 by elevating 11). Therefore, the above explanation will focus on this difference.

도 6에 XP로 나타낸 부분의 확대도인 도 7에 나타낸 바와 같이, 배플판(18)은 하나 또는 둘 이상의 관통 구멍(도면에서는 관통 구멍(18c1)만 표시)을 갖는 배플판 본체(18c)와 그 관통 구멍(18c1)을 개폐하는 개폐 기구(18d)를 갖고 있다.As shown in FIG. 7, which is an enlarged view of the portion indicated by XP in FIG. 6, the baffle plate 18 includes a baffle plate body 18c having one or more through holes (only the through holes 18c1 are shown in the drawing). It has the opening-closing mechanism 18d which opens and closes the through hole 18c1.

배플판 본체(18c)는 서셉터(11)의 측면 대략 중앙에 부착된 받침 기구(18b)에 지지되는 것에 의해, 그 하면 안쪽 가장자리에서 서셉터(11)의 측벽 대략 중앙에 고정되어 있다. 개폐 기구(18d)는 배플판 본체(18c)와 동일 형상을 가지며, 배플판 본체(18c)의 관통 구멍과 동일 위치에 동일 형상의 관통 구멍을 갖고 있고, 배플판 본체(18c)의 상면에 밀착하여 마련되어 있다. 개폐 기구(18d)는 그 바깥쪽 둘레 부분 측벽에서 동력 전달 부재(50)에 나사골이 가공되어 있다. 동력 전달 부재(50)는 챔버(10)의 측벽을 관통하여 전동 모터(51)와 연결되어 있다. 챔버(10) 의 외벽으로서 동력 전달 부재(50)와의 경계는 O링(52)으로 밀봉되고, 이에 따라 챔버(10) 내의 기밀성이 유지되어 있다.The baffle plate main body 18c is supported by the supporting mechanism 18b attached to the center of the side of the susceptor 11, and is fixed to the center of the side wall of the susceptor 11 at the inner edge of the lower surface thereof. The opening and closing mechanism 18d has the same shape as the baffle plate main body 18c, has the same shape of the through hole at the same position as the through hole of the baffle plate main body 18c, and adheres to the upper surface of the baffle plate main body 18c. It is provided. As for the opening / closing mechanism 18d, the screw bone is processed by the power transmission member 50 in the outer peripheral part side wall. The power transmission member 50 is connected to the electric motor 51 through the side wall of the chamber 10. As the outer wall of the chamber 10, the boundary with the power transmission member 50 is sealed by the O-ring 52, whereby the airtightness in the chamber 10 is maintained.

전동 모터(51)의 동력은 동력 전달 부재(50)를 거쳐 개폐 기구(18d)에 전달되고, 이에 따라 개폐 기구(18d)는 좌우 방향으로 슬라이드한다. 개폐 기구(18d)가 이와 같이 슬라이드하면, 배플판 본체(18c)의 관통 구멍(18c1)과 개폐 기구(18d)의 관통 구멍(18d1)의 위치가 어긋난다. 이렇게 하여, 극간(관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S)을 조절함으로써, 서셉터(11) 및 챔버(10)의 측벽간의 개구율이 제어된다.The power of the electric motor 51 is transmitted to the opening-closing mechanism 18d via the power transmission member 50, and the opening-closing mechanism 18d slides in the left-right direction by this. If the opening / closing mechanism 18d slides in this way, the position of the through-hole 18c1 of the baffle plate main body 18c and the through-hole 18d1 of the opening-closing mechanism 18d will shift | deviate. In this way, the opening ratio between the susceptor 11 and the side wall of the chamber 10 is controlled by adjusting the gap (opening area S of the through hole 18c1 and the through hole 18d1).

다음에, 본 변형예에 따른 서셉터(11)의 승강 동작을 도 6을 참조하면서 설명한다.Next, the lifting operation of the susceptor 11 according to the present modification will be described with reference to FIG. 6.

(1) 성막(1) the tabernacle

성막시, 컨트롤러(40)는 전동 모터(16a)에 구동 신호를 송신한다. 이 구동 신호에 대응하여 전동 모터(16a)로부터 출력되는 동력에 의해, 개폐 기구(18d)는 소정량만큼 슬라이드한다(도 6의 위 부분). 이에 따라, 배플판(18)을 관통하는 관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S는 작아진다. 이에 따라, 처리실(10u)의 압력 P1은 프로세스 조건에 합치한 값으로 유지된다. 이 결과, 저장 라디칼이 처리실 내에 가둬지기 때문에, 기판 G에, 성막 속도가 빠르고 균일성이 높은 성막을 실시할 수 있다.At the time of film formation, the controller 40 transmits a drive signal to the electric motor 16a. By the power output from the electric motor 16a in response to this drive signal, the opening / closing mechanism 18d slides by a predetermined amount (upper part in FIG. 6). Thereby, the opening area S of the through hole 18c1 and the through hole 18d1 which penetrates the baffle plate 18 becomes small. As a result, the pressure P1 of the processing chamber 10u is maintained at a value consistent with the process conditions. As a result, since the storage radicals are confined in the processing chamber, it is possible to form a film on the substrate G with a high film formation rate and high uniformity.

(2) 세정(2) cleaning

챔버 내벽에 퇴적된 반응 생성물이 소정의 두께가 되면, 컨트롤러(40)는 전 동 모터(16a)에 구동 신호를 송신한다. 이 구동 신호에 대응하여 전동 모터(16a)로부터 출력되는 동력에 의해, 개폐 기구(18d)는 소정량만큼 성막시와 반대의 방향으로 슬라이드한다(도 6의 아래 부분). 이에 따라, 배플판(18)을 관통하는 관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S는 커진다. 이렇게 하여, 세정시에는 서셉터(11) 및 배플판(18)간의 개구율을 크게 하는 것에 의해, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차를 작게 할 수 있다. 이 상태에서, 세정 가스가 챔버 내에 공급되어, 챔버 내벽이 세정된다. 이 결과, 처리실(10u) 및 배기실(10d)의 내벽은 보다 균등하게 세정되는 동시에, 그 세정 시간을 대폭 단축할 수 있다.When the reaction product deposited on the inner wall of the chamber has a predetermined thickness, the controller 40 transmits a drive signal to the electric motor 16a. By the power output from the electric motor 16a in response to this drive signal, the opening / closing mechanism 18d slides in a direction opposite to that at the time of film formation by a predetermined amount (lower part in FIG. 6). Thereby, the opening area S of the through hole 18c1 and the through hole 18d1 which penetrates the baffle plate 18 becomes large. In this way, the difference in the pressure P1 of the process chamber 10u and the pressure P2 of the exhaust chamber 10d can be made small by increasing the opening ratio between the susceptor 11 and the baffle plate 18 at the time of washing | cleaning. In this state, the cleaning gas is supplied into the chamber, and the chamber inner wall is cleaned. As a result, the inner walls of the processing chamber 10u and the exhaust chamber 10d can be cleaned more uniformly, and the cleaning time can be significantly shortened.

(3) 프리코트막 형성(3) precoat film formation

프리코트막 형성시, 개폐 기구(18d)의 위치는 그대로인 상태에서 성막 가스가 공급된다. 이에 따라, 처리실(10u) 및 배기실(10d)에서 저장 라디칼의 상태를 거의 같게 할 수 있다. 이 결과, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 이 결과, 그 프리코트막을 소정의 두께까지 형성하는 시간을 대폭 단축할 수 있다.At the time of forming the precoat film, the film forming gas is supplied with the position of the opening / closing mechanism 18d intact. Thereby, the state of a storage radical can be made substantially the same in process chamber 10u and exhaust chamber 10d. As a result, the film thickness of the precoat film | membrane of the process chamber 10u and the exhaust chamber 10d can be formed more uniformly, and the film quality can be formed uniformly. As a result, the time for forming the precoat film up to a predetermined thickness can be significantly shortened.

이상에 설명한 바와 같이, 본 변형예에서는, 배플판(18)의 개폐 기구(18d)를 제어함으로써, 세정시 및 프리코트막 형성시의 개구율이 성막시의 개구율보다 커지도록 하나 또는 둘 이상의 관통 구멍의 개방도를 조절한다. 이에 따라, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차를 작게 할 수 있다. 이 결과, 처리실(10u)과 배기실(10d)에서 저장 라디칼의 상태를 거의 같게 함으로써, 각 실 의 성막 속도의 차를 없앨 수 있다. 이에 따라, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 한편, 성막시에는, 배플판(18)을 관통하는 관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S를 작게 함으로써, 저장 라디칼을 처리실 내에 가두는 것에 의해, 성막 속도가 빠르고, 또한, 균일한 성막을 기판 G에 실시할 수 있다.As described above, in the present modification, by controlling the opening / closing mechanism 18d of the baffle plate 18, one or two or more through holes so that the opening ratio at the time of cleaning and at the time of forming the precoat film becomes larger than the opening ratio at the time of film formation. To adjust the opening degree. Thereby, the difference between the pressure P1 of the process chamber 10u and the pressure P2 of the exhaust chamber 10d can be made small. As a result, the difference in the film-forming speed of each chamber can be eliminated by making the state of a storage radical nearly the same in the process chamber 10u and the exhaust chamber 10d. Thereby, the film thickness of the precoat film | membrane of the process chamber 10u and the exhaust chamber 10d can be formed more uniformly, and the film quality can be formed uniformly. On the other hand, at the time of film formation, the opening area S of the through hole 18c1 and the through hole 18d1 penetrating the baffle plate 18 is made small, thereby confining the storage radicals in the processing chamber, whereby the film forming speed is high, Uniform film formation can be performed on the substrate G.

(실시예 2)(Example 2)

다음에, 실시예 2에 따른 마이크로파 플라즈마 처리 장치(100)의 구성 및 동작에 대하여, 도 8을 참조하면서 설명한다. 이 장치에서는, 성막용 처리 가스를 공급하는 처리 가스 공급원(31) 및 세정 가스를 공급하는 리모트 플라즈마(35)(모두 도 8에서는 생략, 도 1 참조)에 부가하여, 배기실(10d)쪽에 프리코트막 형성용 가스를 공급하는 리모트 플라즈마(60)를 갖고 있는 점에서, 배기실(10d)쪽에 리모트 플라즈마(60)를 갖지 않는 실시예 1의 마이크로파 플라즈마 처리 장치와 상이하다. 또한, 본 실시예에서는 서셉터(11)가 승강하지 않는 점도, 서셉터(11)가 승강하는 실시예 1과 상이하다. 따라서, 이 상위점을 중심으로 설명한다.Next, the configuration and operation of the microwave plasma processing apparatus 100 according to the second embodiment will be described with reference to FIG. 8. In this apparatus, in addition to the processing gas supply source 31 for supplying the processing gas for film formation and the remote plasma 35 for supplying the cleaning gas (all of which are omitted in FIG. 8, see FIG. 1), the exhaust chamber 10d is freed. Since it has the remote plasma 60 which supplies the gas for coat film formation, it is different from the microwave plasma processing apparatus of Example 1 which does not have the remote plasma 60 in the exhaust chamber 10d side. In addition, in this embodiment, the susceptor 11 is not raised or lowered from the first embodiment in which the susceptor 11 is elevated. Therefore, the above explanation will focus on this difference.

마이크로파 플라즈마 처리 장치(100)의 외부에 마련된 리모트 플라즈마(60)는 처리 용기(60a), 코일(60b), 고주파 전원(60c), 용량 C 및 반송관(60d)을 갖고 있어, 챔버(10) 내에 프리코트막을 형성할 때에 이용된다.The remote plasma 60 provided outside the microwave plasma processing apparatus 100 has a processing container 60a, a coil 60b, a high frequency power supply 60c, a capacitor C, and a conveying pipe 60d, and the chamber 10. It is used when forming a precoat film in the inside.

처리 용기(60a)에는, 가스 공급원으로부터 프리코트막 형성용 가스로서, 기 판 G를 플라즈마 처리할 때의 처리 가스와 같은 가스(여기서는, SiH4 가스, O2 가스, Ar 가스)가 처리 가스 공급원(31)으로부터 공급된다. 고주파 전원(60c)으로부터 출력된 고주파 전력이 코일(60b)에 인가되면, 코일(60b)의 주위에 고주파 자계가 발생한다. 이 자계의 시간적 변화에 의해 유도된 유도 전계에 의해 처리 용기(60a) 내에서 가스가 플라즈마화된다. 이렇게 하여 생성된 유도 결합 플라즈마 중, 라디칼의 수명은 길다. 이 결과, 활성인 저장 라디칼만이 반송관(60d)을 거쳐 처리실(10u)에 공급된다.In the processing container 60a, a gas (here, SiH 4 gas, O 2 gas, Ar gas), which is the same as the processing gas when the substrate G is plasma-treated as the gas for forming the precoat film from the gas supply source, is the processing gas supply source. It is supplied from 31. When the high frequency power output from the high frequency power supply 60c is applied to the coil 60b, a high frequency magnetic field is generated around the coil 60b. The gas becomes plasma in the processing container 60a by an induction electric field induced by the temporal change of the magnetic field. In the inductively coupled plasma generated in this way, the lifetime of radicals is long. As a result, only active storage radicals are supplied to the processing chamber 10u via the conveyance pipe 60d.

다음에, 본 실시예에 따른 리모트 플라즈마(60)의 동작을 도 8을 참조하면서 설명한다.Next, the operation of the remote plasma 60 according to the present embodiment will be described with reference to FIG. 8.

(1) 성막시 및 세정시(1) During film formation and cleaning

성막시 및 세정시에는, 컨트롤러(40)는 리모트 플라즈마(60)에 구동 신호를 송신하지 않는다. 따라서, 리모트 플라즈마(60)는 성막시 및 세정시에는 동작하지 않는다(도 8의 위 부분). 따라서, 성막시에는, 도 1에 나타낸 처리 가스 공급원(31)으로부터 성막 가스가 처리실(10u)에 공급되어, 기판 G에 성막 처리가 실시된다. 또한, 세정시에는, 세정 가스가 처리 가스 공급원(31) 및 리모트 플라즈마(35)로부터 처리실(10u)로 공급되어, 챔버(10) 내가 세정된다.At the time of film formation and cleaning, the controller 40 does not transmit a drive signal to the remote plasma 60. Therefore, the remote plasma 60 does not operate during film formation and cleaning (upper part in FIG. 8). Therefore, at the time of film formation, the film forming gas is supplied to the processing chamber 10u from the processing gas supply source 31 shown in FIG. 1, and the film forming process is performed on the substrate G. FIG. At the time of cleaning, the cleaning gas is supplied from the processing gas supply source 31 and the remote plasma 35 to the processing chamber 10u to clean the inside of the chamber 10.

(2) 프리코트막 형성시(2) pre-coat film formation

프리코트막 형성시, 처리실(10u)에는, 처리 가스 공급원(31)으로부터 SiH4 가스, O2 가스, Ar 가스가 공급된다. 공급된 가스는 유전체 파츠(24)를 투과한 마 이크로파의 전계 에너지에 의해 플라즈마화하고, 이에 따라, 챔버(10) 내부에 프리코트막으로서의 게이트 산화막이 형성된다.In forming the precoat film, SiH 4 gas, O 2 gas, and Ar gas are supplied from the processing gas supply source 31 to the processing chamber 10u. The supplied gas is converted into plasma by the electric field energy of the microwaves transmitted through the dielectric parts 24, whereby a gate oxide film as a precoat film is formed in the chamber 10.

통상은, 처리실(10u)에 공급된 가스는 처리실(10u)의 성막에 우선적으로 사용되기 때문에, 배기실(10d)에 흐르는 가스(저장 라디칼) 잔류량은 적어진다. 또한, 배플판(18)과 서셉터(11)간의 극간 S는 거의 없다. 그렇게 하면, 배플판(18)에 의해 구획된 처리실(10u)과 배기실(10d)의 압력차는 커져, 저장 라디칼이 처리실(10u) 내에 가둬지기 때문에, 처리실(10u)로부터 배기실(10d)로 흘러 들어오는 저장 라디칼이 매우 적어진다. 이 결과, 배기실(10d)의 프리코트막은 처리실(10u)의 프리코트막에 비해 매우 얇아져 버린다.Usually, since the gas supplied to the processing chamber 10u is used preferentially for film formation of the processing chamber 10u, the residual amount of gas (storage radicals) flowing in the exhaust chamber 10d is reduced. In addition, there is almost no gap S between the baffle plate 18 and the susceptor 11. In this case, the pressure difference between the processing chamber 10u partitioned by the baffle plate 18 and the exhaust chamber 10d becomes large, and the storage radicals are confined in the processing chamber 10u, and therefore, from the processing chamber 10u to the exhaust chamber 10d. Very little storage radicals flow in. As a result, the precoat film of the exhaust chamber 10d becomes very thin as compared with the precoat film of the processing chamber 10u.

그러나, 본 실시예에서는, 리모트 플라즈마(60)가 저장 라디칼을 배기실(10d)에 공급한다. 구체적으로는, 우선, 컨트롤러(40)가 고주파 전원(60c)에 구동 신호를 송신한다. 고주파 전원(60c)은 이 구동 신호에 따라 고주파 전력을 코일(60b)에 공급한다(도 8의 아래 부분).However, in this embodiment, the remote plasma 60 supplies the storage radicals to the exhaust chamber 10d. Specifically, first, the controller 40 transmits a drive signal to the high frequency power supply 60c. The high frequency power supply 60c supplies high frequency power to the coil 60b in accordance with this drive signal (lower part in FIG. 8).

고주파 전력이 코일(60b)에 인가되면, 코일(60b)의 주위에 고주파 자계가 발생하고, 이 자계에 의해 유도된 고주파 전계의 에너지에 의해, 처리 용기(60a) 내의 가스가 플라즈마화된다. 이렇게 하여 생성된 유도 결합 플라즈마 중, 라디칼의 수명은 길다. 이 결과, 활성인 저장 라디칼만이 반송관(60d)을 거쳐 처리실(10u)에 공급된다.When the high frequency power is applied to the coil 60b, a high frequency magnetic field is generated around the coil 60b, and the gas in the processing container 60a is converted into plasma by the energy of the high frequency electric field induced by the magnetic field. In the inductively coupled plasma generated in this way, the lifetime of radicals is long. As a result, only active storage radicals are supplied to the processing chamber 10u via the conveyance pipe 60d.

이에 따르면, 처리실(10u)로부터 배기실(10d)로 흐르는 저장 라디칼의 잔류량이 적더라도, 리모트 플라즈마(60)로부터 공급된 저장 라디칼에 의해, 배기 실(10d) 내벽 표면에서의 프리코트막의 형성이 촉진된다. 이 결과, 서셉터(11)를 승강시키지 않더라도, 처리실(10u)의 프리코트막과 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.According to this, even if the residual amount of storage radicals flowing from the processing chamber 10u to the exhaust chamber 10d is small, the formation of the precoat film on the inner wall surface of the exhaust chamber 10d is prevented by the storage radicals supplied from the remote plasma 60. Is promoted. As a result, even if the susceptor 11 is not elevated, the film thickness of the precoat film of the processing chamber 10u and the precoat film of the exhaust chamber 10d can be formed more uniformly and the film quality can be formed uniformly.

이상에 설명한 바와 같이, 각 실시예에 따르면, 처리실(10u) 내벽 및 배기실(10d) 내벽에 의해 균일한 막질로, 또한, 거의 같은 막 두께의 프리코트막을 보다 단시간에 형성할 수 있다. 이에 따라, 프로세스시에 챔버 내벽에 퇴적되는 퇴적물의 두께가 막이 벗겨지는 두께에 도달하기까지의 시간이 길어지기 때문에, 챔버 내를 세정하는 사이클을 길게 할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.As described above, according to each embodiment, a precoat film having a uniform film quality and substantially the same film thickness can be formed in a shorter time by the inner wall of the processing chamber 10u and the inner wall of the exhaust chamber 10d. Thereby, since the time until the thickness of the deposit deposited on the chamber inner wall reaches the thickness at which the film is peeled off during the process becomes longer, the cycle for cleaning the inside of the chamber can be lengthened. As a result, productivity can be improved by improving throughput.

또, 각 실시예에 있어서, 서셉터(11) 및 챔버(10) 내부 측벽간의 개구율은 1.4%가 바람직하다.In each embodiment, the opening ratio between the susceptor 11 and the inner sidewalls of the chamber 10 is preferably 1.4%.

또한, 실시예 1 및 실시예 1의 변형예 1에서는, 서셉터(11)를 소정 위치까지 강하시키기 위한 구동 신호가 세정시에 출력되었다. 그러나, 세정시 대신에 프리코트막 형성시에 컨트롤러(40)가 구동 신호를 출력하도록 하더라도 좋다. 이에 따르면, 프리코트막 형성시에 서셉터(11)가 소정 위치까지 하강한다.In addition, in the modification 1 of Example 1 and Example 1, the drive signal for lowering the susceptor 11 to the predetermined position was output at the time of washing | cleaning. However, the controller 40 may output the drive signal when the precoat film is formed instead of during the cleaning. According to this, the susceptor 11 is lowered to a predetermined position when the precoat film is formed.

마찬가지로, 실시예 1의 변형예 2에서는, 개폐 기구(18d)를 소정 위치까지 슬라이드시키기 위한 구동 신호가 세정시에 출력되었다. 그러나, 프리코트막 형성시에 컨트롤러(40)가 구동 신호를 출력하도록 하더라도 좋다. 이에 따르면, 프리코트막 형성시에, 개구율이 커지도록 제어된다.Similarly, in the modification 2 of Example 1, the drive signal for sliding the opening-closing mechanism 18d to the predetermined position was output at the time of washing | cleaning. However, the controller 40 may output the drive signal at the time of forming the precoat film. According to this, at the time of forming the precoat film, the opening ratio is controlled to be large.

또한, 상기 각 실시예에 있어서, 세정 가스로는, NF3, SF6, CF4 등의 F계 세정 가스뿐만 아니라, 예컨대, Cl이나 Cl2 등의 염소계 세정 가스를 이용하여도 좋다.In each of the above embodiments, as the cleaning gas, not only F-based cleaning gases such as NF 3 , SF 6 and CF 4 , but also chlorine-based cleaning gases such as Cl and Cl 2 may be used.

또한, 상기 각 실시예에 있어서, 세정시의 F 라디칼의 생성 및 프리코트막 형성시의 저장 라디칼의 생성에는, 리모트 플라즈마에 의해 플라즈마를 생성하는 방법이 이용되었다. 그러나, 각 라디칼의 생성 방법은 이에 한정되지 않고, 예컨대, 열이나 빛, 방사선 등의 에너지를 공급함으로써 생성할 수도 있다.In each of the above embodiments, a method of generating plasma by a remote plasma was used to generate F radicals at the time of washing and storage radicals at the time of forming the precoat film. However, the generation method of each radical is not limited to this, For example, it can also generate | occur | produce by supplying energy, such as heat, light, and a radiation.

또한, 세정시, 처리 가스 공급원(31) 및 리모트 플라즈마(35)는 병용하여 이용되어도 좋고, 리모트 플라즈마(35)만이 이용되어도 좋으며, 처리 가스 공급원(31)만이 이용되어도 좋다.In the cleaning, the processing gas supply source 31 and the remote plasma 35 may be used in combination, only the remote plasma 35 may be used, and only the processing gas supply source 31 may be used.

상기 실시예에 있어서, 각부의 동작은 서로 연관되어 있어, 서로의 연관을 고려하여, 일련의 동작으로서 치환할 수 있다. 그리고, 이와 같이 치환하는 것에 의해, 마이크로파 플라즈마 처리 장치(100)의 실시예를, 마이크로파 플라즈마 처리 장치(100)를 제어하는 방법의 실시예로 할 수 있다.In the above embodiment, the operations of the respective parts are related to each other, and can be replaced as a series of operations in consideration of the correlation with each other. By substituting in this way, the embodiment of the microwave plasma processing apparatus 100 can be made into the embodiment of the method of controlling the microwave plasma processing apparatus 100.

이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시예에 대하여 설명했지만, 본 발명은 이러한 예에 한정되지 않는 것은 말할 필요도 없다. 당업자라면, 특허 청구의 범위에 기재된 범주 내에 있어서, 각종 변경예 또는 수정예에 생각이 이를 수 있는 것은 분명하고, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.As mentioned above, although preferred embodiment of this invention was described referring an accompanying drawing, it cannot be overemphasized that this invention is not limited to this example. Those skilled in the art can clearly think of various changes or modifications within the scope described in the claims, and they are naturally understood to belong to the technical scope of the present invention.

예컨대, 본 발명에 따른 플라즈마 처리 장치는 마이크로파 플라즈마 처리 장치에 한정되지 않고, 유도 결합형 플라즈마 처리 장치이어도 좋고, 용량 결합형 플라즈마 처리 장치이어도 좋다.For example, the plasma processing apparatus according to the present invention is not limited to the microwave plasma processing apparatus, and may be an inductively coupled plasma processing apparatus or a capacitively coupled plasma processing apparatus.

또한, 본 발명에 따른 플라즈마 처리 장치는 타일 형상의 복수의 유전체를 갖는 마이크로파 플라즈마 처리 장치이어도 좋고, 타일 형상으로 분단되어 있지 않은 대면적의 유전체를 갖는 마이크로파 플라즈마 처리 장치라도 좋다.In addition, the plasma processing apparatus according to the present invention may be a microwave plasma processing apparatus having a plurality of tile-like dielectrics, or may be a microwave plasma processing apparatus having a large area dielectric which is not divided into tile-like dielectrics.

또한, 본 발명에 따른 플라즈마 처리 장치에서는, CVD 처리에 한정되지 않고, 애싱 처리, 에칭 처리 등, 생성된 플라즈마에 의해 실행 가능한 모든 처리를 행할 수 있다.In addition, in the plasma processing apparatus according to the present invention, not only the CVD process but also all processes executable by the generated plasma, such as an ashing process and an etching process, can be performed.

이상에 설명한 바와 같이, 본 발명에 의하면, 챔버의 내벽 표면을 보다 균일한 두께로 피막하는 플라즈마 처리 장치 및 그 플라즈마 처리 장치의 제어 방법을 제공할 수 있다.As explained above, according to this invention, the plasma processing apparatus which coats the inner wall surface of a chamber with a more uniform thickness, and the control method of the plasma processing apparatus can be provided.

본 발명은 챔버의 내벽을 보다 균일한 두께로 피막하는 플라즈마 처리 장치 및 그 플라즈마 처리 장치를 제어하는 방법에 적용 가능하다.INDUSTRIAL APPLICABILITY The present invention is applicable to a plasma processing apparatus for coating an inner wall of a chamber to a more uniform thickness and a method for controlling the plasma processing apparatus.

Claims (12)

탑재대와 배플(baffle)판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서,A plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on a target object and an exhaust chamber for exhausting gas, 상기 탑재대 또는 상기 배플판의 적어도 어느 하나를 제어함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에 상기 처리실의 압력과 상기 배기실의 압력이 가까워지도록 상기 탑재대 및 상기 챔버 측벽간의 개구율을 변화시키는 플라즈마 처리 장치.By controlling at least one of the mounting table or the baffle plate, the opening ratio between the mounting table and the chamber side wall is adjusted so that the pressure of the processing chamber and the pressure of the exhaust chamber are closer when forming a precoat film on the inner wall surface of the chamber. Plasma processing apparatus for changing. 제 1 항에 있어서,The method of claim 1, 상기 배플판은 상기 챔버의 내벽에 고정되고,The baffle plate is fixed to the inner wall of the chamber, 상기 탑재대를 승강함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록 상기 탑재대와 상기 배플판의 간격을 조절하는 플라즈마 처리 장치.Plasma processing for adjusting the distance between the mounting table and the baffle plate so that the opening ratio when the precoat film is formed on the inner wall surface of the chamber becomes larger than the opening ratio when the target object is subjected to plasma treatment by elevating the mounting table. Device. 제 1 항에 있어서,The method of claim 1, 상기 배플판은 상기 챔버 또는 상기 탑재대 중 어느 하나에 착탈 가능하게 고정되고,The baffle plate is detachably fixed to any one of the chamber or the mounting table, 피처리체를 플라즈마 처리할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 탑재대에 고정하고, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 챔버에 고정함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록 상기 탑재대와 상기 배플판의 간격을 조절하는 플라즈마 처리 장치.The baffle plate is fixed to the mounting table when the object is plasma-lifted, and the baffle plate is lifted up and down while the mounting table is formed when a precoat film is formed on the inner wall surface of the chamber. And adjusting the gap between the mounting table and the baffle plate so that the opening ratio at the time of forming the precoat film on the inner wall surface of the chamber is larger than the opening ratio at the time of plasma treatment of the object. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 3, 상기 배플판은 하나 또는 둘 이상의 관통 구멍과 그 관통 구멍을 개폐하는 개폐 기구를 갖고,The baffle plate has one or more through holes and an opening and closing mechanism for opening and closing the through holes, 상기 배플판의 개폐 기구를 제어함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록, 상기 하나 또는 둘 이상의 관통 구멍의 개방도를 조절하는 플라즈마 처리 장치.By controlling the opening / closing mechanism of the baffle plate, the opening degree of the one or more through holes so that the opening ratio when the precoat film is formed on the inner wall surface of the chamber is larger than the opening ratio when the object to be processed is subjected to plasma treatment. Plasma processing apparatus for adjusting the. 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서,A plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on a target object and an exhaust chamber for exhausting gas, 상기 챔버를 세정한 후, 상기 챔버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼을 상기 배기실에 공급하는 플라즈마 처리 장치.And, after cleaning the chamber, supplying radicals that promote the formation of a precoat film to the inner wall surface of the chamber to the exhaust chamber. 제 5 항에 있어서,The method of claim 5, 상기 라디칼은 리모트 플라즈마에 의해 생성되는 플라즈마 처리 장치.And said radicals are generated by a remote plasma. 제 6 항에 있어서,The method of claim 6, 상기 라디칼은 피처리체에 플라즈마 처리를 실시할 때에 공급되는 가스와 동일한 가스를 상기 리모트 플라즈마에 공급함으로써 생성되는 플라즈마 처리 장치.And the radicals are generated by supplying the remote plasma with the same gas as that supplied when the plasma processing is performed on the target object. 제 1 항, 제 2 항, 제 3 항, 제 5 항, 제 6 항, 제 7 항 중 어느 한 항에 있어서,The method according to any one of claims 1, 2, 3, 5, 6, and 7, 상기 플라즈마 처리 장치는 슬롯을 통해 유전체를 투과한 마이크로파에 의해 상기 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하는 마이크로파 플라즈마 처리 장치인 플라즈마 처리 장치.The plasma processing apparatus is a plasma processing apparatus which is a microwave plasma processing apparatus which converts a processing gas supplied into the chamber by microwaves that have passed through a dielectric through a slot and performs plasma processing on a target object. 제 8 항에 있어서,The method of claim 8, 상기 유전체는 복수의 유전체 파츠(parts)로 구성되고, 각 유전체 파츠에는, 하나 또는 둘 이상의 슬롯이 마련되며, 상기 하나 또는 둘 이상의 슬롯을 통해 각 유전체 파츠를 각각 투과한 마이크로파에 의해 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하는 플라즈마 처리 장치.The dielectric is composed of a plurality of dielectric parts, each dielectric part is provided with one or two or more slots, and is supplied into the chamber by microwaves that respectively transmit each dielectric part through the one or more slots. Plasma processing apparatus which plasma-processes a process gas and performs a plasma process to a to-be-processed object. 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서,A control method of a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on a target object and an exhaust chamber for exhausting gas, 피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 탑재대를 승강하고,When the object to be processed is subjected to plasma treatment, the mounting table is lifted to a predetermined position, 챔버의 세정시 또는 세정 후, 상기 탑재대와 상기 배플판의 간격을 두기 위해 정해진 위치까지 상기 탑재대를 승강하는 플라즈마 처리 장치의 제어 방법.The control method of the plasma processing apparatus which raises and lowers the said mounting stand to a predetermined position in order to space the said mounting table and the said baffle plate at the time of the chamber cleaning or after cleaning. 하나 또는 둘 이상의 관통 구멍 및 그 관통 구멍을 개폐하는 개폐 기구를 갖는 배플판과 탑재대에 의해 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서,A plasma processing apparatus having a chamber partitioned by a baffle plate having one or more through holes and an opening / closing mechanism for opening and closing the through holes, and a processing chamber for performing plasma processing on the object to be processed and an exhaust chamber for exhausting gas. As a control method, 피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 개폐 기구를 슬라이드하고,When plasma processing a target object, the said opening / closing mechanism is slid to a predetermined position, 챔버의 세정시 또는 세정 후, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 관통 구멍의 개방도를 피처리체를 플라즈마 처리할 때의 상기 관통 구멍의 개방도보다 크게 하기 위한 정해진 위치까지 상기 개폐 기구를 슬라이드하는 플라즈마 처리 장치의 제어 방법.At the time of cleaning the chamber or after cleaning, the opening degree of the through hole when the precoat film is formed on the inner wall surface of the chamber is set to a predetermined position for increasing the opening degree of the through hole when the object to be treated is plasma-treated. The control method of the plasma processing apparatus which slides an opening / closing mechanism. 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서,A control method of a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on a target object and an exhaust chamber for exhausting gas, 상기 챔버를 세정한 후, 상기 챔버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼을 공급하는 플라즈마 처리 장치의 제어 방법.And cleaning the chamber, and supplying radicals to promote formation of a precoat film on the inner wall surface of the chamber.
KR1020070005795A 2006-01-18 2007-01-18 Plasma processing apparatus and controlling method for plasma processing apparatus KR100854808B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00010144 2006-01-18
JP2006010144A JP5324026B2 (en) 2006-01-18 2006-01-18 Plasma processing apparatus and plasma processing apparatus control method

Publications (2)

Publication Number Publication Date
KR20070076545A true KR20070076545A (en) 2007-07-24
KR100854808B1 KR100854808B1 (en) 2008-08-27

Family

ID=38449823

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070005795A KR100854808B1 (en) 2006-01-18 2007-01-18 Plasma processing apparatus and controlling method for plasma processing apparatus

Country Status (4)

Country Link
JP (1) JP5324026B2 (en)
KR (1) KR100854808B1 (en)
CN (2) CN101423928A (en)
TW (1) TW200731357A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010128740A1 (en) * 2009-05-06 2010-11-11 인제대학교 산학협력단 Inductively coupled plasma processing apparatus employing diffusion pump
KR101490431B1 (en) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 Plasma processing apparatus for control of baffle opening volume
KR20150026946A (en) * 2013-08-28 2015-03-11 램 리써치 코포레이션 System, Method and Apparatus for Generating Pressure Pulses in Small Volume Confined Process Reactor
KR20150078635A (en) * 2013-12-31 2015-07-08 세메스 주식회사 Substrate treating apparatus
KR20160002544A (en) * 2014-06-30 2016-01-08 세메스 주식회사 Apparatus for treating substrate
KR20190117240A (en) * 2018-04-06 2019-10-16 주식회사 원익아이피에스 Substrate processing apparatus and method using the same

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR100927375B1 (en) * 2007-09-04 2009-11-19 주식회사 유진테크 Exhaust unit, exhaust control method using same, substrate processing apparatus including the exhaust unit
KR101659095B1 (en) * 2008-02-08 2016-09-22 램 리써치 코포레이션 Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP5202372B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Metal contamination reduction method for film forming apparatus, semiconductor device manufacturing method, storage medium, and film forming apparatus
CN101620981B (en) * 2008-06-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 Inorganic film etching method in semiconductor manufacture procedure and shallow groove isolation area forming method
JP4473344B2 (en) * 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 Plasma processing method and plasma processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011077442A (en) * 2009-10-01 2011-04-14 Tokyo Electron Ltd Plasma processing method and plasma processing apparatus
JP5085752B2 (en) 2010-03-24 2012-11-28 株式会社東芝 Semiconductor manufacturing apparatus cleaning method, semiconductor manufacturing apparatus, and management system
JP5171969B2 (en) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 Substrate processing equipment
JP5955062B2 (en) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 Plasma processing equipment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015090916A (en) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6438320B2 (en) 2014-06-19 2018-12-12 東京エレクトロン株式会社 Plasma processing equipment
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
KR101629213B1 (en) * 2015-02-02 2016-06-10 (주) 일하하이텍 Apparatus and method of processing substrate
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210024462A (en) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and films and structures comprising metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP7373302B2 (en) * 2019-05-15 2023-11-02 株式会社Screenホールディングス Substrate processing equipment
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
CN113889391B (en) * 2020-07-02 2024-03-12 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and insulating window assembly thereof
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
JP7450494B2 (en) 2020-08-18 2024-03-15 東京エレクトロン株式会社 Substrate processing equipment and gas switching method for substrate processing equipment
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN113088936B (en) * 2021-03-31 2023-04-07 长江存储科技有限责任公司 Film layer growth equipment and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11846019B2 (en) * 2021-10-01 2023-12-19 Applied Materials, Inc. One-body shadow frame support with flow controller
CN117089822B (en) * 2023-10-20 2024-01-02 研微(江苏)半导体科技有限公司 Semiconductor reaction chamber, isolation device and isolation control method thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03290926A (en) * 1990-04-06 1991-12-20 Sumitomo Metal Ind Ltd Plasma apparatus and use of the apparatus
JP3061346B2 (en) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 Processing equipment
JP3192370B2 (en) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 Plasma processing equipment
JP3258885B2 (en) * 1995-12-27 2002-02-18 東京エレクトロン株式会社 Film processing equipment
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JP3583289B2 (en) * 1998-05-28 2004-11-04 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
JP2002043288A (en) * 2000-07-24 2002-02-08 Sony Corp Plasma etching device, seasoning method of this and plasma etching method using them
JP4731760B2 (en) * 2001-08-23 2011-07-27 東京エレクトロン株式会社 Vacuum processing apparatus and vacuum processing method
JP4129855B2 (en) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 Plasma processing equipment
JP3993493B2 (en) * 2002-09-09 2007-10-17 株式会社日立製作所 Plasma etching equipment
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP4381001B2 (en) * 2003-02-25 2009-12-09 シャープ株式会社 Plasma process equipment
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101490431B1 (en) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 Plasma processing apparatus for control of baffle opening volume
WO2010128740A1 (en) * 2009-05-06 2010-11-11 인제대학교 산학협력단 Inductively coupled plasma processing apparatus employing diffusion pump
KR20150026946A (en) * 2013-08-28 2015-03-11 램 리써치 코포레이션 System, Method and Apparatus for Generating Pressure Pulses in Small Volume Confined Process Reactor
KR20150078635A (en) * 2013-12-31 2015-07-08 세메스 주식회사 Substrate treating apparatus
KR20160002544A (en) * 2014-06-30 2016-01-08 세메스 주식회사 Apparatus for treating substrate
KR20190117240A (en) * 2018-04-06 2019-10-16 주식회사 원익아이피에스 Substrate processing apparatus and method using the same

Also Published As

Publication number Publication date
JP5324026B2 (en) 2013-10-23
KR100854808B1 (en) 2008-08-27
CN100454498C (en) 2009-01-21
JP2007194361A (en) 2007-08-02
TW200731357A (en) 2007-08-16
CN101005031A (en) 2007-07-25
CN101423928A (en) 2009-05-06

Similar Documents

Publication Publication Date Title
KR100854808B1 (en) Plasma processing apparatus and controlling method for plasma processing apparatus
KR101111556B1 (en) Dual-chamber plasma processing apparatus
US8608901B2 (en) Process chamber cleaning method in substrate processing apparatus, substrate processing apparatus, and substrate processing method
JP4121269B2 (en) Plasma CVD apparatus and method for performing self-cleaning
KR20150128582A (en) Etching method
KR20060085334A (en) Film-forming apparatus and film-forming method
WO2007088894A1 (en) Substrate processing apparatus, substrate placing table used for same, and member exposed to plasma
EP2080817B1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
KR100564168B1 (en) Plasma processing device and plasma processing method
CN112740396A (en) High temperature RF heater base
JP2008235611A (en) Plasma processing equipment and method for processing plasma
JP2015050362A (en) Plasma processing apparatus
US20170087602A1 (en) Method and apparatus for treating substrate
WO2021033612A1 (en) Cleaning method and microwave plasma treatment device
US10763106B2 (en) Method for processing workpiece
JP2005159049A (en) Plasma deposition method
JP2007184611A (en) Plasma processing device and plasma processing method
WO2022264829A1 (en) Cleaning method and plasma processing device
KR102523367B1 (en) Method for recovering surface of silicon structure and apparatus for treating substrate
US20230386787A1 (en) Substrate processing method and substrate processing apparatus
JP5039120B2 (en) Alumina member for plasma processing apparatus and method for manufacturing alumina member for plasma processing apparatus
WO2022202428A1 (en) Cleaning method and plasma processing device
WO2022102463A1 (en) Substrate treatment method and substrate treatment device
KR101978818B1 (en) Film forming method
KR20220015943A (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee