KR20070041350A - 잔재물을 제거하기 위한 수성 세정 조성물 및 이것을사용하는 방법 - Google Patents

잔재물을 제거하기 위한 수성 세정 조성물 및 이것을사용하는 방법 Download PDF

Info

Publication number
KR20070041350A
KR20070041350A KR1020060099285A KR20060099285A KR20070041350A KR 20070041350 A KR20070041350 A KR 20070041350A KR 1020060099285 A KR1020060099285 A KR 1020060099285A KR 20060099285 A KR20060099285 A KR 20060099285A KR 20070041350 A KR20070041350 A KR 20070041350A
Authority
KR
South Korea
Prior art keywords
composition
substrate
hydroxide
fluoride
compound
Prior art date
Application number
KR1020060099285A
Other languages
English (en)
Other versions
KR100822156B1 (ko
Inventor
아이핑 유
로베르토 존 로비토
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20070041350A publication Critical patent/KR20070041350A/ko
Application granted granted Critical
Publication of KR100822156B1 publication Critical patent/KR100822156B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본원에서는 기판으로부터 식각후 및/또는 박리후 포토레지스트 잔재물, 플라즈마 식각, 박리 잔재물 및 이들의 혼합물(이에 국한되지 않음) 등의 잔재물을 제거하기 위한 조성물 및 방법에 관해 개시한다. 일 양태에서, 본 발명은 물, 4차 수산화암모늄 화합물, 불화물 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 포함하지 않고 pH가 9보다 큰 조성물과 기판을 접촉시키는 단계를 포함하는, 기판으로부터 잔재물을 제거하는 방법을 제공한다.
식각, 잔재물, 수산화암모늄

Description

잔재물을 제거하기 위한 수성 세정 조성물 및 이것을 사용하는 방법{AQUEOUS CLEANING COMPOSITION FOR REMOVING RESIDUES AND METHOD USING SAME}
미세전자 소자의 제조에는 여러 단계가 이용된다. 집적 회로를 제조하기 위한 제조 기법에서는, 반도체의 여러 표면들을 선택적으로 식각할 것이 종종 요구된다. 종래에, 물질을 선택적으로 제거하기 위해, 매우 다양한 여러 유형의 식각 공정이 다양한 수준으로 성공적으로 이용되어 왔다. 또한, 미세전자 소자 내의 다양한 층들을 선택적으로 식각하는 것은 집적 회로 제조 공정에 있어서 결정적이고 중요한 단계로 간주된다.
반응성 이온 식각(RIE)은 바이아(via), 금속선 및 트렌치(trench) 형성 과정 중에 패턴 전사를 위해 점점 더 많이 이용되고 있는 공정이다. 예를 들어, 복수의 인터커넥트 배선층을 필요로 하는, 초고속 DRAMS 및 마이크로프로세서 등의 복잡한 반도체 소자는 RIE를 이용하여 바이아, 금속선 및 트렌치 구조체를 형성한다. 바이아는 층간 유전막을 통해 규소, 규화물 또는 금속의 제1 배선층과 제2 배선층을 서로 접촉시키는 데 사용된다. 금속선은 인터커넥트 소자로서 사용되는 전도성 구조체이다. 금속선 구조체의 형성에는 트렌치 구조체가 이용된다. 바이아, 금속선 및 트렌치 구조체는 일반적으로 Al, Al/Cu, Cu, Ti, TiN, Ta, TaN, W, TiW, 규소 등의 금속 및 합금 또는 텅스텐 규화물, 티탄 규화물 또는 코발트 규화물 등의 규화물을 노출시킨다. RIE 공정은 일반적으로 재스퍼터링된 산화물뿐만 아니라, 바이아, 금속선 또는 트렌치 구조체를 리소그래피로 형성하는 데 사용되는 포토레지스트 및 반사 방지 피복 재료 유래의 유기물을 포함할 수도 있는 잔재물(착물 혼합물의 잔재물)을 남기게 된다.
RIE 또는 다른 식각 공정 후에는, 반응제, 일반적으로 산화 처리를 위한 산소 함유 기체 또는 환원 처리를 위한 수소 함유 기체 등(이에 국한되는 것은 아님)의 활성화된 반응성 기체(들)를 함유하는 플라즈마로 패턴 형성된 포토레지스트를 박리 처리(ashing)하여 플라즈마 포토레지스트 잔재물 세정을 수행하는 것이 일반적이다. RIE 공정과 마찬가지로, 플라즈마 식각 또는 플라즈마 박리 세정은 유기물(예를 들어, 포토레지스트 잔재물, 반사 방지 물질 잔재물 등)을 포함하는 잔재물과, 플라즈마 식각 화학법 및 처리되는 기판에 따라 티탄, 구리 또는 관련 금속의 산화물 또는 할로겐화물 등의 플라즈마 식각시 생성되는 부산물의 조합물을 남기게 된다.
따라서, 플라즈마 및/또는 RIE 및 산화 박리 처리/환원 박리 처리를 이용하는 선택적 식각으로부터 생성되는 잔재물 등의 잔재물을 제거할 수 있는 선택적 세정 조성물 및 방법을 제공할 것이 요망된다. 뿐만 아니라, 금속, 고유전("고 k") 물질(예를 들어, 유전 상수가 4.1보다 큰 물질), 규소, 규화물 및/또는 저유전("저 k") 물질(예를 들어, 유전 상수가 4.0 미만 또는 3.5 미만 또는 3.0 미만인 물질), 예컨대 세정 조성물에 노출될 수도 있는 증착 산화물을 포함하는 층간 유전막 물질에 비해, 상기 잔재물에 대한 선택성이 큰, 식각 및 박리 잔재물 등의 잔재물을 제거할 수 있는 선택적 조성물 및 방법을 제공할 것이 요망된다. 민감성 저유전막 또는 다공성 저유전막, 예를 들어 수소 실세퀴옥산(HSQ), 메틸실세퀴옥산(MSQ), FOx, 어플라이드 머티어리얼즈 인코포레이티드(Applied Materials, Inc.) 제품인 BLACK DIAMONDTM 막 및 TEOS(테트라에틸오르토실리케이트)와 상용성이고 이와 함께 사용될 수 있는 조성물을 제공할 것이 요망된다. 이에 더하여, 그 폐기가 환경에 유해하지 않은 수계 조성물도 요망된다.
본원에서는 조성물에 노출될 수도 있는 금속, 저유전 및/또는 고유전 물질은 임의의 원치않는 정도로 부식시키지 않고 기판으로부터 플라즈마 식각후 잔재물, 플라즈마 박리후 잔재물 등의 잔재물 또는 기타 잔재물(이에 국한되지 않음)을 선택적으로 제거할 수 있는 수계 조성물에 관해 개시한다. 또한, 본원에 개시된 조성물은 산화규소 또는 금속선 또는 구리를 포함하는 층간막 등의 특정 유전체에 대해 최소의 식각률을 나타낼 수 있다. 본 세정 조성물은 유기 용매 첨가물을 실질적으로 포함하지 않아서 반도체 제조 공정은 유기 폐기물을 감소시키고 소유 비용이 저감된다. 일 양태에서, 본 발명은 물, 4차 수산화암모늄 화합물, 불소 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰, 기판으로부터 잔재물을 제거하기 위한 조성물을 제공한다.
또한 본원에서는, 본원에 개시된 세정 조성물과 기판을 접촉시키는 것을 포함하는, 기판으로부터 식각 및/또는 박리 잔재물을 비롯한 잔재물을 제거하는 방법에 관해 개시한다. 본원에 개시된 일 양태에서, 본 발명은 기판의 적어도 일부분에 포토레지스트를 피복하는 단계, 상기 포토레지스트 상에 리소그래피로 패턴을 형성하는 단계, 상기 기판의 적어도 일부분에 상기 패턴을 전사하는 단계, 상기 패턴을 상기 기판 내로 식각하여 패턴 형성된 기판을 형성하는 단계; 패턴 형성된 기판을 활성화된 반응성 기체에 노출시켜서, 포토레지스트의 적어도 일부분을 제거하고 잔재물을 남기는 단계 및 물, 4차 수산화암모늄 화합물, 불소 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰 조성물에 상기 패턴 형성된 기판을 접촉시켜 잔재물을 제거하는 단계를 포함하는, 패턴을 형성하는 방법을 제공한다.
또 다른 양태에서, 본 발명은 물, 4차 수산화암모늄 화합물, 불소 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰 조성물과 기판을 접촉시키는 단계를 포함하는, 기판으로부터 잔재물을 제거하는 방법을 제공한다.
본 발명은 잔재물을 선택적으로 제거하기 위한, 예를 들어 비제한적인 예로 반응성 이온 식각, 플라즈마 식각, 플라즈마 박리 또는 이들의 조합 등의 식각에 의해 생성된 잔재물 등의 잔재물을 처리하기 위한 전술한 구성을 포함하는 조성물 및 방법에 관한 것이다. 본원에 개시된 세정 조성물은 수계이며 유기 용매 첨가물 을 실질적으로 포함하지 않는데, 즉 유기 용매 첨가물을 1% 이하 또는 0.5% 이하 또는 0.1% 이하의 양으로 포함한다. 이 세정 조성물은 유기 용매 첨가물에 대한 필요성을 없앰으로써 소유 비용을 저감함과 동시에 하부 금속 및 유전층을 부식시키지 않고 기판으로부터 잔재물을 제거하는 데 유용하다.
상기 세정 조성물은 물, 4차 수산화암모늄 화합물, 불소 함유 화합물 및 경우에 따라 부식 억제제를 포함하며, pH가 9보다 크다. 특정 구체예에서, 상기 세정 조성물은 필수적으로 물, 4차 수산화암모늄 화합물, 불소 함유 화합물 및 경우에 따라 부식 억제제로 구성되며, 조성물의 세정능에 불리한 영향을 주지 않고 하부 기판 표면을 손상시키지 않는다면 다른 성분들도 포함한다. 또 다른 구체예에서, 상기 세정 조성물은 물, 4차 수산화암모늄 화합물, 불소 함유 화합물 및 경우에 따라 부식 억제제로 구성된다.
미세전자 소자에 유용한 기판과 관련된 세정 방법에서는, 제거하고자 하는 전형적 잔재물이, 예를 들어 유기 화합물, 예컨대 노광 및/또는 박리된 포토레지스트 물질, 박리된 포토레지스트 잔재물, UV- 또는 X-선 경화 포토레지스트, C-F-함유 중합체, 저분자량 및 고분자량 중합체 및 기타 유기 식각 잔재물; 무기 화합물, 예컨대 산화금속, 화학 기계적 연마(CMP) 슬러리 유래의 세라믹 입자 및 기타 무기 식각 잔재물; 금속 함유 화합물, 예컨대 유기 금속 잔재물 및 금속 유기 화합물; 이온성 및 중성의 경질 및 중질 무기(금속) 종, 수분, 및 연마 및 식각 처리와 같은 처리에 의해 발생하는 입자를 비롯한 불용성 물질을 포함할 수 있다. 한 특정 구체예에서, 제거된 잔재물은 반응성 이온 식각, 플라즈마 식각 및/또는 플라즈마 박리에 의해 생성된 것과 같은 공정 잔재물이다.
잔재물은 일반적으로 금속, 규소, 규산염 및/또는 층간 유전막 물질, 예를 들어 증착된 산화규소 및 유도체화된 산화규소, 예컨대 HSQ, MSQ, FOX, TEOS 및 회전 코팅(spin-on) 유리, 화학 증착 유전 물질, 및/또는 고유전 물질, 예컨대 규산하프늄, 산화하프늄, 바륨 스트론튬 티탄산염(BST), TiO2, TaO5도 포함하는 기판에 존재하며, 이때 잔재물과 금속, 규소, 규화물, 층간 유전막 물질, 저유전 물질, 다공성 저유전 물질 및/또는 고유전 물질 양자가 세정 조성물과 접촉하게 된다. 본원에 개시된 조성물 및 방법에 의하면, 금속, 규소, 이산화규소, 층간 유전막 물질, 저유전 물질, 다공성 저유전 물질 및/또는 고유전 물질은 유의적으로 부식시키지 않으면서 포토레지스트, BARC, 갭 필(gap fill) 및/또는 공정 잔재물과 같은 잔재물을 선택적으로 제거할 수 있다. 특정 구체예에서, 기판은 구리, 구리 합금, 티탄, 질화티탄, 탄탈, 질화탄탈, 텅스텐 및/또는 티탄/텅스텐 합금(이에 국한되지 않음)을 비롯한 금속을 포함할 수 있다. 한 구체예에서, 본원에 개시된 조성물은 민감한 저유전막을 포함하는 기판에 적합하게 이용될 수 있다.
본원에 개시된 조성물은 약 65 중량%∼약 99.9 중량% 또는 약 75 중량%∼약 98 중량% 또는 약 90 중량%∼약 98 중량%의 물을 포함한다. 물은, 예를 들어 불소 함유 화합물을 포함하는 수용액과 같이, 그 구성요소의 일 성분으로서 부수적으로 포함될 수도 있고 또는 별도로 첨가될 수도 있다. 물의 예로는 탈이온수, 초순수, 증류수, 2차 증류수 또는 금속 함량이 적은 탈이온수를 포함하나 이에 국한되는 것 은 아니다.
본원에 개시된 조성물은 약 0.5 중량%∼약 15 중량% 또는 약 1 중량%∼약 10 중량% 또는 약 1 중량%∼약 5 중량%의 4차 수산화암모늄 화합물을 포함한다. 대표적인 4차 수산화암모늄은 화학식 [N-R1R2R3R4]+OH-(식 중, R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 히드록시알킬기임)의 화합물 및 이의 조합물일 수 있다. 본원에서 사용되는 "알킬"이란 용어는 탄소 원자수 1∼20, 또는 탄소 원자수 1∼8, 또는 탄소 원자수 1∼4의 직쇄 또는 분지쇄 비치환 탄화수소기를 의미한다. 적절한 알킬기의 예로는 메틸, 에틸, 프로필, 이소프로필, 부틸 및 tert-부틸을 들 수 있다. "저급 알킬"이란 표현은 탄소 원자수 1∼4의 알킬기를 의미한다. 본원에서 사용되는 "히드록시알킬"이란 용어는 탄소 원자수 1∼20, 또는 탄소 원자수 1∼8, 또는 탄소 원자수 1∼4의 탄화수소기를 포함하는 직쇄 또는 분지쇄 비치환 히드록실기를 의미한다. 적절한 히드록시알킬기의 예로는 히드록시에틸 및 히드록시프로필을 포함한다. 적절한 4차 수산화암모늄 화합물의 예로는 수산화테트라메틸암모늄(TMAH), 수산화테트라에틸암모늄, 수산화테트라부틸암모늄(TBAH), 수산화테트라프로필암모늄, 수산화트리메틸에틸암모늄, 수산화 (2-히드록시에틸)트리메틸암모늄, 수산화 (2-히드록시에틸)트리에틸암모늄, 수산화 (2-히드록시에틸)트리프로필암모늄, 수산화 (1-히드록시프로필)트리메틸암모늄, 수산화에틸트리메틸암모늄, 수산화디에틸디메틸암모늄 및 수산화벤질트리메틸암모늄을 들 수 있다.
본원에 개시된 조성물은 불화물 함유 화합물도 포함한다. 불화물 함유 화합 물 또는 이의 혼합물은 조성물의 총 중량을 기준으로 약 0.1 중량%∼약 15 중량% 또는 약 0.1 중량%∼약 10 중량% 또는 약 0.2 중량%∼약 5 중량%의 양으로 존재한다. 불화물 함유 화합물은 화학식 R5R6R7R8NF(식 중, R5, R6, R7 및 R8은 각각 독립적으로 수소, 알콜기, 알콕시기, 알킬기임)의 화합물 및 이의 혼합물을 포함할 수 있다. 이러한 화합물의 예로는 불화암모늄, 불화테트라메틸암모늄, 불화테트라에틸암모늄, 불화테트라부틸암모늄 및 이들의 혼합물을 들 수 있다. 불화물 함유 화합물의 그 밖의 예로는 불화붕산, 불화수소산, 불화붕산염, 불화붕산, 테트라부틸암모늄 사불화붕산염, 암모늄 헥사플루오라이드 및 불화콜린을 들 수 있다. 또 다른 구체예에서, 상기 불화물 함유 화합물은 지방족 1차, 2차 또는 3차 아민의 불화물 염으로 사용될 수 있다.
본원에 개시된 조성물은 약 0 중량%∼약 15 중량% 또는 약 0.2 중량%∼약 10 중량% 또는 약 0.5 중량%∼약 5 중량%의 부식 억제제를 경우에 따라 포함할 수 있다. 유사 출원을 통해 당해 기술 분야에 공지된 임의의 부식 억제제, 예컨대 본원에서 참고로 인용하는 미국 특허 제5,417,877호에 개시된 것들을 사용할 수 있다. 부식 억제제는, 예를 들어 유기산, 유기산 염, 페놀 또는 트리아졸일 수 있다. 특정 부식 억제제의 예로는 안트라닐산, 갈산, 벤조산, 이소프탈산, 말레산, 푸마르산, D,L-말산, 말론산, 프탈산, 말레산 무수물, 프탈산 무수물, 벤조트리아졸(BZT), 레조르시놀, 카르복시벤조트리아졸, 디에틸 히드록실아민 및 이들의 락트산 및 시트르산 염 등을 들 수 있다. 사용될 수 있는 부식 억제제의 또 다른 예로 는 카테콜, 파이로갈롤, 및 갈산의 에스테르를 들 수 있다. 적절한 부식 억제제의 그 밖의 예로는 프럭토스, 암모늄 티오설페이트, 글리신, 락트산, 테트라메틸구아니딘, 이미노디아세트산 및 디메틸아세토아세트아미드를 들 수 있다. 특정 구체예에서, 부식 억제제는 머캅토기 함유 화합물, 예컨대 2-머캅토-5-메틸벤즈이미다졸 및 2-머캅토티아졸린이나 이에 국한되는 것은 아니다. 부식 억제제의 또 다른 예로는 화합물의 α- 또는 β-위치 중 한 쪽에 히드록실 및/또는 카르복실 기를 보유하는 머캅토기 함유 화합물을 들 수 있다. 이러한 머캅토기 함유 화합물의 구체적인 예로는 3-머캅토-1,2-프로판디올(티오글리세롤이라고도 칭함), 3-(2-아미노페닐티오)-2-히드록시머캅탄, 3-(2-히드록시에틸티오)-2-히드록시프로필머캅탄, 2-머캅토프로피온산, 3-머캅토프로폰산 및 이들의 혼합물을 들 수 있다.
특정 구체예에서, 본원에 개시된 조성물은 조성물의 세정능에 불리한 영향을 주지 않고 하부 기판 표면을 손상시키지 않는다면 1종 이상의 추가 성분 또는 첨가제를 더 포함할 수 있다. 이러한 첨가제의 예로는 조성물의 총 중량을 기준으로 최대 총 5 중량%의 양의 계면활성제, 킬레이트제, 화학 변성제, 염료, 살생물제 및/또는 기타 첨가제를 포함하나 이에 국한되는 것은 아니다.
본원에 개시된 조성물은 pH 범위가 9 초과 내지 약 14, 또는 9 초과 내지 약 12일 수 있다.
본원에 개시된 조성물은 저유전막, 예컨대 다우 케미칼 인코포레이티드(Dow Chemical, Inc.)에서 제조한 SiLKTM, MSQ 및 HSQ(FOx)(이에 국한되지 않음) 및 기타 막과 상용성이다. 이 조성물은, 예를 들어 구리, 티탄 또는 둘 다를 함유하는 기판 등의 하부 기판은 상대적으로 적게 부식시키면서, 식각후 및/또는 박리후 포토레지스트 잔재물 및 플라즈마 식각 잔재물, 예컨대 유기 잔재물, 유기 금속 잔재물, 무기 잔재물, 금속 산화물, 또는 포토레지트스 착물을 저온에서 박리하는 데에도 유효하다. 뿐만 아니라, 본 조성물은 저유전 물질, 다공성 저유전 물질 및 고유전 물질과 상용성이다.
제조 공정 중에, 기판에 포토레지스트 층을 피복한다. 포토리소그래피 공정을 이용하여 상기 포토레지스트 층에 패턴을 형성한다. 특정 구체예에서, 패턴 형성된 포토레지스트 층에 대하여 RIE와 같은 플라즈마 식각을 실시하며 이에 의해 패턴이 기판에 전사된다. 그 후 패턴 형성된 포토레지스트 층은 습식 화학법 및/또는 건식 제거법(예를 들어, 플라즈마 식각, 플라즈마 박리 또는 양자)에 의해 제거한다. 패턴을 기판 위에 전사하는 데 RIE가 이용되는 구체예에서, 식각 잔재물은 습식 화학 공정 및/또는 건식 제거 공정 전에 발생된다. 기판을 박리 처리하지 않는다면, 세정해야 하는 주 잔재물은 식각 잔재물과 포토레지스트 잔재물 둘 다가 된다. 기판을 박리 처리하는 구체예의 경우, 세정해야 하는 주 잔재물은 박리 처리된 포토레지스트와 같은 박리 잔재물과, 식각 단계가 수행된다면 식각 잔재물이다.
본원에서 기술하는 방법은 금속, 유기 또는 금속-유기 중합체, 무기염, 산화물, 수산화물, 또는 피막 또는 잔재물로서 존재하는 이들의 착물 또는 조합물을, 전술한 조성물과 접촉시켜 수행할 수 있다. 실제 조건, 예를 들어 온도, 시간 등은 제거하고자 하는 잔재물의 성질 및 두께에 따라 달라진다. 일반적으로, 기판은 20 ∼85℃, 또는 20∼60℃, 또는 20∼40℃의 온도에서 조성물을 함유하는 용기에 접촉 또는 침지한다. 기판을 조성물에 노출시키는 통상의 시간은, 예를 들어 0.1∼60분, 또는 1∼30분, 또는 1∼15분이다. 기판은 조성물과 접촉시킨 후에 세정한 다음 건조시킨다. 건조는 일반적으로 비활성 분위기 하에 수행한다. 특정 구체예에서, 본원에 기재된 조성물과 기판을 접촉시키기 전, 도중 및/또는 후에, 기판을 탈이온수로 세정하거나 또는 기타 첨가제를 함유하는 탈이온수로 세정할 수 있다. 그러나, 이 조성물은 식각후 및/또는 박리후 포토레지스트 잔재물, 박리 또는 식각 잔재물 및/또는 기타 잔재물의 제거를 위해 세정액을 이용하는, 당해 기술 분야에 공지된 임의의 방법에 이용될 수 있다.
실시예
하기의 실시예는 본원에 개시된 조성물 및 방법을 추가로 예시하기 위한 것이다. 각 조성물에 대한 다양한 예시 조성 및 pH의 예를 하기 표 1에 기재하였다. 표 1에서, 모든 수치는 중량%로 표현하였으며 합량은 100 중량%이다. 본원에 개시된 조성물은 모든 고형분들이 용해될 때까지 실온에서 성분들을 용기 내에서 서로 혼합하여 제조하였다. 하기 실시예에서, pH 측정은 상온에서 5% 수용액을 사용하여 실시하였다. 기판은 포지티브 레지스트로 피복하고, 이것을 현상하고 식각하고 박리 처리한 후, 상기 조성물에 노출시켰다. 하기 표에서, "N.T."는 테스트하지 않았음을 나타내며, "n.a."는 입수 불능을 나타낸다.
표 2는 규소 웨이퍼 테스트 기판으로부터 잔재물을 제거하기 위한 다양한 예 시 조성물의 유효성을 예시한다. 웨이퍼는 JSR, Inc.에서 제공하는 JSR LKD-5109TM p-MSQ 막과 같은 저유전율의 산화규소 함유 막, 질화티탄 장벽층, 구리 금속배선층, BARC 층 및 플라즈마 식각 및 박리 공정을 이용하여 식각하고 박리한 포토레지스트 패턴을 포함하였다. 그 후, 이 기판은 다양한 예시 조성물에 침지하여 처리하였다. 이 절차에서는, 1개 이상의 테스트 웨이퍼를, 400 ml의 각 예시 조성물을 함유하는 600 밀리미터(ml) 용량의 비이커에 넣었다. 상기 600 ml 용량 비이커는 400 rpm으로 회전하는 1 인치의 교반 막대를 더 포함하였다. 그 후, 비이커 안에 포함된 웨이퍼(들)를 포함한 예시 조성물을 하기 표 2에 기재된 시간 및 온도 조건으로 가열하였다. 웨이퍼(들)는 예시 조성물에 노출시킨 후 탈이온수로 세정하고 질소 가스로 건조시켰다. 이 웨이퍼는 가장자리가 생기도록 절단한 후, 웨이퍼 상의 소정의 여러 위치를 주사 전자 현미경(SEM)으로 관찰하였으며, 세정능 및 하부 층간 유전막(ILD)에 대한 손상의 결과를 시각적으로 해석하여 다음과 같은 방식으로 하기 표 2에 기재된 바와 같이 코드화하였다: 세정의 경우 "+++"는 우수함, "++"는 양호함, "+"는 적당함, "-"는 불량함을 나타내고; ILD 손상의 경우 "++"는 손상이 없음, "+"는 손상이 적음, "-"는 손상이 심각함을 나타낸다.
표면에 구리가 증착된 층을 갖는 다양한 규소 웨이퍼 기판으로부터의 구리 식각률을 요약하여 하기 표 3에 기재하였다. 하기의 모든 식각률에서, 측정은 노출 5분, 10분, 20분, 40분 및 60분째에 실시하였다. 두께 측정은 매 시간 간격마다 실시하고 "최소 자승 적합도(least squares fit)" 모델을 이용하여 각 예시 조성물에 대한 결과에 대해 그래프를 작도하였다. 각 조성물의 "최소 자승 적합도"의 기울기 계산값이 구해진 식각률[단위: 옹스트롬/분(Å/min)]이다. 식각률의 측정시, 웨이퍼는 그 표면에 기지 두께의 구리로 된 블랭커(blanker) 층이 증착되어 있었다. 웨이퍼의 초기 두께는 CDE ResMap 273 Four Point Probe를 이용하여 측정하였다. 초기 두께를 측정한 후, 테스트 웨이퍼를 예시 조성물에 침지하였다. 5분 후, 테스트 웨이퍼를 테스트 용액으로부터 꺼내어 탈이온수로 3분간 세정하고 질소 하에 완전히 건조시켰다. 각 웨이퍼의 두께를 측정하였고 필요에 따라 테스트 웨이퍼로 절차를 반복하였다.
구리, 치밀화(densified) 및 도핑된 테트라에틸오르토실리케이트(TEOS), 및 다공질 메틸실세스퀴옥산(MSQ) 막인 JSR LEB-043TM을 포함하는 블랭킷 규소 웨이퍼에 대한 식각률을 하기 표 3에 요약하였다. 하기의 모든 식각률에서, 측정은 표 3에 명시된 온도 간격으로 노출 5분, 10분, 20분, 40분 및 60분째에 실시하였다. 두께 측정은 매 시간 간격마다 실시하고 "최소 자승 적합도" 모델을 이용하여 각 예시 조성물에 대한 결과에 대해 그래프를 작도하였다. 각 조성물의 "최소 자승 적합도"의 기울기 계산값은 구해진 식각률[단위: 옹스트롬/분(Å/min)]이다. 구리 식각률 또는 TEOS 식각률의 측정시, 웨이퍼는 그 표면에 기지 두께의 구리로 된 블랭커 층이 증착되어 있었다. Cu 식각률의 경우, 웨이퍼의 초기 두께는 CDE ResMap 273 Four Point Probe를 이용하여 측정하였다. 초기 두께를 측정한 후, 테스트 웨이퍼를 예시 조성물에 침지하였다. 5분 후, 테스트 웨이퍼를 테스트 용액으로부터 꺼내 어 탈이온수로 3분간 세정하고 질소 하에 완전히 건조시켰다. 각 웨이퍼의 두께를 측정하였고 필요에 따라 테스트 웨이퍼로 절차를 반복하였다. TEOS 및 JSR LEB-043TM 막 에칭률의 경우, 초기 두께는 FilmTek 2000 SE 분광학적 타원편광분석기/반사계를 이용하여 측정하였다. 약 200 ml의 테스트 용액을 교반되고 있는 250 ml 용량의 비이커에 넣고, 필요하다면 소정의 온도로 가열하였다. 단 1개의 웨이퍼를 용액 함유 비이커에 넣을 경우, 모조 웨이퍼(dummy wafer)를 그 비이커에 넣었다. 5분 후, 각 테스트 웨이퍼를 탈이온수로 3분간 세정하고 질소 하에 건조시켰다. 두께 측정 전에, TEOS 및 JSR LEB-043TM 웨이퍼는 100℃의 온도에서 약 10분간 열처리하였다. 각 웨이퍼에 대하여 측정을 실시하고 필요에 따라 절차를 반복하였다.
조성물
실시예 1 실시예 2 실시예 3 실시예 4
DIW 90 DIW 89 DIW 89 DIW 89
TMAH (25%) 7.5 TMAH (25%) 8 TMAH (25%) 7.5 TMAH (25%) 7.5
TMAF (20%) 2.5 TMAF (20%) 3 TMAF (20%) 2.5 TMAF (20%) 2.5
말론산 1 티오글리세롤 1
pH 11.92 pH 11.55 pH 10.66 pH 11.67
실시예 5 실시예 6 실시예 7 실시예 8
DIW 82.5 DIW 77.5 DIW 76.5 DIW 81.5
TMAH (25%) 15 TMAH (25%) 20 TMAH (25%) 20 TMAH (25%) 15
TMAF (20%) 2.5 TMAF (20%) 2.5 TMAF (20%) 2.5 TMAF (20%) 2.5
티오글리세롤 1 티오글리세롤 1
pH 11.82 pH 11.99 pH 12.07 pH 11.88
DIW: 탈이온수 TMAH: 수산화테트라메틸암모늄, 25% 수용액 TMAF: 불화테트라메틸암모늄, 20% 수용액
SEM 데이터
온도(℃) 시간(분) Cu/JSR LKD-5109TM Cu/pJSR LKD-5109TM
세정 ILD 손상 세정 ILD 손상
실시예 1 25 30 ++ ++ - ++
40 15 +++ ++ +++ -
실시에 2 25 30 - +++ +++ -
40 15 - +++ +++ -
실시예 3 25 30 ++ ++ +++ ++
40 15 +++ ++ +++ ++
실시예 4 25 30 +++ +++ +++ ++
40 5 +++ +++ N.T. N.T.
40 15 +++ +++ +++ ++
식각률 데이터
조성물 구리 (25℃) 구리 (40℃) TEOS (도핑, 비치밀화)(25℃) TEOS (도핑, 비치밀화)(40℃) JSR LEB-043TM (25℃)
실시예 1 4 4 <1 <1 N.T.
실시예 2 2 7 <1 <1 N.T.
실시예 3 <1 4 <1 <1 <1
실시예 4 1 2 <1 <1 <1
실시예 5 2 N.T. <1 N.T. N.T.
실시예 6 4 N.T. <1 N.T. N.T.
실시예 7 1 N.T. <1 N.T. N.T.
실시예 8 1 N.T. <1 N.T. N.T.
본원에 개시된 세정 조성물은 유기 용매 첨가물에 대한 필요성을 없앰으로써 소유 비용을 저감함과 동시에 하부 금속 및 유전층을 부식시키지 않고 기판으로부터 잔재물을 제거하는 데 유용하다.

Claims (11)

  1. 기판으로부터 잔재물을 제거하기 위한 조성물로서,
    물;
    4차 수산화암모늄 화합물;
    불화물 함유 화합물; 및
    경우에 따라 부식 억제제
    를 포함하며, 유기 용매 첨가물을 포함하지 않고 pH가 9보다 큰 조성물.
  2. 제1항에 있어서, 부식 억제제를 포함하는 조성물.
  3. 제2항에 있어서, 부식 억제제는 유기산, 유기산 염, 카테콜, 레조르시놀, 페놀, 말레산 무수물, 프탈산 무수물, 파이로갈롤, 갈산 또는 이의 에스테르, 벤조트리아졸, 카르복시벤조트리아졸, 디에틸히드록실아민, 프럭토스, 암모늄 티오설페이트, 글리신, 테트라메틸구아니딘, 이미노디아세트산, 티오글리세롤 및 이들의 혼합물로부터 선택되는 1종 이상을 포함하는 것인 조성물.
  4. 제1항에 있어서, 4차 수산화암모늄 화합물은 화학식 [N-R1R2R3R4]+OH-(식 중, R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 히드록시알킬기임)의 화합물 및 이의 혼합물을 포함하는 것인 조성물.
  5. 제4항에 있어서, 4차 수산화암모늄 화합물은 수산화테트라메틸암모늄, 수산화테트라에틸암모늄, 수산화테트라프로필암모늄, 수산화테트라부틸암모늄, 수산화트리메틸에틸암모늄, 수산화 (2-히드록시에틸)트리메틸암모늄, 수산화 (2-히드록시에틸)트리에틸암모늄, 수산화 (2-히드록시에틸)트리프로필암모늄, 수산화 (1-히드록시프로필)트리메틸암모늄 및 이들의 혼합물로 구성된 군에서 선택되는 것인 조성물.
  6. 제1항에 있어서, 불화물 함유 화합물은 화학식 R5R6R7R8NF(식 중, R5, R6, R7 및 R8은 독립적으로 수소, 알콜기, 알콕시기, 알킬기임)의 화합물 및 이의 혼합물을 포함하는 것인 조성물.
  7. 제6항에 있어서, 불화물 함유 화합물은 불화테트라메틸암모늄, 불화테트라에틸암모늄, 불화테트라부틸암모늄, 불화콜린 및 이들의 혼합물로부터 선택되는 것인 조성물.
  8. 제1항에 있어서, 불화물 함유 화합물은 불화붕산을 포함하는 것인 조성물.
  9. 패턴을 형성하는 방법으로서,
    기판 위에 포토레지스트를 피복하는 단계;
    상기 포토레지스트 상에 리소그래피로 패턴을 형성하는 단계;
    상기 기판의 적어도 일부분에 상기 패턴을 전사하는 단계;
    상기 패턴을 상기 기판 내로 식각하여 패턴 형성된 기판을 형성하는 단계;
    상기 패턴 형성된 기판을 활성화된 반응성 기체에 노출시켜서, 포토레지스트의 적어도 일부분을 제거하고 잔재물을 남기는 단계;
    물, 4차 수산화암모늄 화합물, 불소 함유 화합물 및 경우에 따라 부식 억제제를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰 조성물에 상기 기판을 접촉시켜, 상기 기판으로부터 상기 잔재물을 제거하는 단계
    를 포함하는 방법.
  10. 기판으로부터 잔재물을 제거하는 방법으로서, 상기 기판을
    65∼99.9 중량%의 물;
    0.5∼15 중량%의 4차 수산화암모늄 화합물;
    0.1∼10 중량%의 불소 함유 화합물; 및
    경우에 따라 0∼10 중량%의 부식 억제제
    를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰 조성물에 접촉시키는 단계를 포함하는 방법.
  11. 기판으로부터 잔재물을 제거하기 위한 조성물로서,
    65∼99.9 중량%의 물;
    0.5∼15 중량%의 4차 수산화암모늄 화합물;
    0.1∼10 중량%의 불소 함유 화합물; 및
    경우에 따라 0∼10 중량%의 부식 억제제
    를 포함하며 유기 용매 첨가물을 실질적으로 포함하지 않고 pH가 9보다 큰 조성물.
KR1020060099285A 2005-10-14 2006-10-12 잔재물을 제거하기 위한 수성 세정 조성물 및 이것을사용하는 방법 KR100822156B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/250,250 US8772214B2 (en) 2005-10-14 2005-10-14 Aqueous cleaning composition for removing residues and method using same
US11/250,250 2005-10-14

Publications (2)

Publication Number Publication Date
KR20070041350A true KR20070041350A (ko) 2007-04-18
KR100822156B1 KR100822156B1 (ko) 2008-04-16

Family

ID=37726856

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060099285A KR100822156B1 (ko) 2005-10-14 2006-10-12 잔재물을 제거하기 위한 수성 세정 조성물 및 이것을사용하는 방법

Country Status (8)

Country Link
US (1) US8772214B2 (ko)
EP (1) EP1775337A1 (ko)
JP (1) JP4755060B2 (ko)
KR (1) KR100822156B1 (ko)
CN (1) CN1949085B (ko)
MY (1) MY146827A (ko)
SG (1) SG131868A1 (ko)
TW (1) TWI355416B (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
CN101597548A (zh) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
KR101384395B1 (ko) * 2009-12-25 2014-04-10 제이에스알 가부시끼가이샤 결정성 코발트 실리사이드막의 형성 방법
EP2515327A1 (en) * 2011-04-20 2012-10-24 STMicroelectronics (Tours) SAS Method for etching a BST layer
CN102902169A (zh) * 2011-07-29 2013-01-30 中芯国际集成电路制造(上海)有限公司 去除光刻胶层的方法
KR20140107467A (ko) * 2011-12-20 2014-09-04 솔베이 (차이나) 컴퍼니, 리미티드 금속 표면 부식용 활성제로서의 페놀 화합물의 용도
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9558927B2 (en) * 2013-03-14 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Wet cleaning method for cleaning small pitch features
US8974692B2 (en) * 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
KR102427699B1 (ko) 2015-04-27 2022-08-01 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 반도체 장치의 제조 방법
TWI818893B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102207306B1 (ko) 2016-11-25 2021-01-22 엔테그리스, 아이엔씨. 에칭 후 잔류물을 제거하기 위한 세정 조성물
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11401441B2 (en) * 2017-08-17 2022-08-02 Versum Materials Us, Llc Chemical mechanical planarization (CMP) composition and methods therefore for copper and through silica via (TSV) applications
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63114128A (ja) * 1986-10-31 1988-05-19 Showa Denko Kk 表面処理液
JPH05224403A (ja) * 1992-02-10 1993-09-03 Konica Corp 感光性平版印刷版の処理廃液の処理方法
US6825156B2 (en) 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
JP3302120B2 (ja) * 1993-07-08 2002-07-15 関東化学株式会社 レジスト用剥離液
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
WO1997023588A1 (en) 1995-12-22 1997-07-03 Henkel Corporation Acid cleaning/deoxidizing aluminum and titanium without substantial etching
JP2950407B2 (ja) * 1996-01-29 1999-09-20 東京応化工業株式会社 電子部品製造用基材の製造方法
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US5817610A (en) 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6245155B1 (en) 1996-09-06 2001-06-12 Arch Specialty Chemicals, Inc. Method for removing photoresist and plasma etch residues
US5780406A (en) 1996-09-06 1998-07-14 Honda; Kenji Non-corrosive cleaning composition for removing plasma etching residues
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5855811A (en) 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
EP0853335A3 (en) 1997-01-10 1999-01-07 Texas Instruments Incorporated Slurry and process for the mechano-chemical polishing of semiconductor devices
JPH11305437A (ja) * 1998-04-21 1999-11-05 Toshiba Corp パターン形成方法
US6152148A (en) 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
JP2000089479A (ja) * 1998-09-09 2000-03-31 Tokuyama Corp フォトレジストアッシング残滓洗浄剤
KR100319881B1 (ko) * 1999-02-03 2002-01-10 윤종용 집적 회로 기판 표면의 불순물을 제거하기 위한 세정 수용액 및 이를 이용한 세정 방법
JP3255623B2 (ja) 1999-06-17 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
US6703319B1 (en) 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
US6413923B2 (en) 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
JP3891768B2 (ja) * 1999-12-28 2007-03-14 株式会社トクヤマ 残さ洗浄液
US6417147B2 (en) 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
JP3738996B2 (ja) * 2002-10-10 2006-01-25 東京応化工業株式会社 ホトリソグラフィー用洗浄液および基板の処理方法
KR100398141B1 (ko) 2000-10-12 2003-09-13 아남반도체 주식회사 화학적 기계적 연마 슬러리 조성물 및 이를 이용한반도체소자의 제조방법
JP2002202617A (ja) 2000-12-27 2002-07-19 Tosoh Corp レジスト剥離用組成物
JP2005048189A (ja) * 2001-02-09 2005-02-24 Air Products & Chemicals Inc 残留物除去用組成物
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
JP2003005388A (ja) 2001-06-26 2003-01-08 Mitsubishi Gas Chem Co Inc 半導体素子の製造方法。
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
JP3797541B2 (ja) 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
KR100546169B1 (ko) * 2001-09-21 2006-01-24 주식회사 하이닉스반도체 포토레지스트 제거용 용액 조성물
DE10156865A1 (de) * 2001-11-20 2003-05-28 Infineon Technologies Ag Verfahren zum Ausbilden einer Struktur in einem Halbleitersubstrat
JP3787085B2 (ja) 2001-12-04 2006-06-21 関東化学株式会社 フォトレジスト残渣除去液組成物
JP4252758B2 (ja) 2002-03-22 2009-04-08 関東化学株式会社 フォトレジスト残渣除去液組成物
US20030217764A1 (en) 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
DE10331033B4 (de) 2002-07-12 2010-04-29 Ekc Technology K.K. R&D Business Park Bldg. D-3F, Kawasaki Herstellungsverfahren einer Halbleitervorrichtung und Reinigungszusammensetzung dafür
JP3760197B2 (ja) 2002-10-03 2006-03-29 大日本印刷株式会社 プラスチックボトル用プリフォームのゲートカット装置
JP4374989B2 (ja) 2003-11-12 2009-12-02 三菱瓦斯化学株式会社 洗浄液およびそれを用いた洗浄方法
SG129274A1 (en) 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US20040220066A1 (en) 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
JP2004330056A (ja) 2003-05-07 2004-11-25 Ebara Corp 電子素子基板表面処理液用フィルターカートリッジ
US7166539B2 (en) 2003-07-22 2007-01-23 Micron Technology, Inc. Wet etching method of removing silicon from a substrate
ES2293340T3 (es) * 2003-08-19 2008-03-16 Mallinckrodt Baker, Inc. Composiciones decapantes y de limpieza para microelectronica.
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
WO2005047422A1 (en) 2003-11-11 2005-05-26 Honeywell International Inc. Selective etch and cleaning chemistries, methods of production and uses thereof
US7521406B2 (en) 2004-02-11 2009-04-21 Mallinckrodt Baker, Inc Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US20050205835A1 (en) 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
JP4369284B2 (ja) 2004-04-19 2009-11-18 東友ファインケム株式会社 レジスト剥離剤
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride

Also Published As

Publication number Publication date
US20070087949A1 (en) 2007-04-19
CN1949085A (zh) 2007-04-18
CN1949085B (zh) 2014-10-15
EP1775337A1 (en) 2007-04-18
JP2007128064A (ja) 2007-05-24
KR100822156B1 (ko) 2008-04-16
JP4755060B2 (ja) 2011-08-24
TWI355416B (en) 2012-01-01
US8772214B2 (en) 2014-07-08
MY146827A (en) 2012-09-28
SG131868A1 (en) 2007-05-28
TW200714707A (en) 2007-04-16

Similar Documents

Publication Publication Date Title
KR100822156B1 (ko) 잔재물을 제거하기 위한 수성 세정 조성물 및 이것을사용하는 방법
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
KR100770624B1 (ko) 탈거 및 세정용 조성물 및 이의 용도
KR100942009B1 (ko) 포토레지스트, 에칭 잔류물 및 barc를 제거하기 위한제제
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
KR100849913B1 (ko) 수성 세정 조성물 및 이를 이용하는 방법
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
KR20060053853A (ko) 기판으로부터 포토레지스트 및/또는 에칭 잔류물을제거하기 위한 조성물 및 이의 용도
JP7022100B2 (ja) ポストエッチング残留物洗浄組成物及びその使用方法
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee