KR20060038785A - 반도체 소자의 콘택 구조 및 그 형성방법 - Google Patents

반도체 소자의 콘택 구조 및 그 형성방법 Download PDF

Info

Publication number
KR20060038785A
KR20060038785A KR1020040087934A KR20040087934A KR20060038785A KR 20060038785 A KR20060038785 A KR 20060038785A KR 1020040087934 A KR1020040087934 A KR 1020040087934A KR 20040087934 A KR20040087934 A KR 20040087934A KR 20060038785 A KR20060038785 A KR 20060038785A
Authority
KR
South Korea
Prior art keywords
plug
metal layer
layer
forming
plug metal
Prior art date
Application number
KR1020040087934A
Other languages
English (en)
Inventor
오준환
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040087934A priority Critical patent/KR20060038785A/ko
Publication of KR20060038785A publication Critical patent/KR20060038785A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

보이드가 없는 콘택 구조의 형성 방법이 제공된다. 콘택 구조의 형성 방법은 하부 도전층을 노출시키는 콘택홀을 형성하는 단계, 콘택홀이 형성된 결과물 전면에 베리어층을 형성하는 단계, 베리어층 상에 제1플러그용 금속층을 증착하되, 콘택홀 내부에 개구부를 갖도록 화학기상증착법을 이용하여 제1플러그용 금속층을 형성하는 단계, 제1플러그용 금속층 내의 개구부가 충진되도록 제2플러그용 금속층을 형성하는 단계, 및 제2플러그용 금속층, 제1 플러그용 금속층 및 베리어층을 평탄화하여 콘택홀이 제2 플러그용 금속층, 제1 플러그용 금속층 및 베리어층으로 완전히 매립된 콘택 구조를 완성하는 단계를 포함한다. 보이드가 없는 콘택 구조를 구비하는 반도체 소자 또한 제공된다.
텅스텐 플러그, 보이드, 도금막

Description

반도체 소자의 콘택 구조 및 그 형성방법{Contact structure of semiconductor device and fabrication method thereof}
도 1 내지 도 3은 본 발명의 실시예에 따른 반도체 소자의 콘택 구조 형성 방법을 설명하기 위한 단면도들이다
(도면의 주요 부분에 대한 부호의 설명)
160 : 제1 플러그 200 : 제2 플러그
본 발명은 반도체 소자 및 그의 형성 방법에 관한 것으로, 특히 콘택 플러그를 구비하는 반도체 소자 및 그의 형성 방법에 관한 것이다.
반도체 기판의 활성 영역과 메탈 라인, 게이트 상부 영역과 메탈 라인 등을 연결하기 위해 절연층 내에 콘택 플러그를 형성하는 기술이 사용되고 있다.
콘택 플러그를 형성하는 방법으로는 통상 텅스텐을 이용하는 공정이 이용된다.
한편, 최근 반도체 소자의 집적도가 증가하면서 도전층(배선)의 선폭이 점점 줄어들고 컨택홀의 종횡비(aspect ratio)가 증가함에 따라, 콘택 구조체의 형성에 있어서 많은 문제가 발생한다. 예컨대, 콘택 면적의 감소에 따른 콘택 저항의 증가나, 좁고 깊은 콘택홀에 금속을 증착할 때 보이드(void) 등이 발생하여 콘택 저항이 증가하거나 콘택의 신뢰도가 떨어지는 문제가 있다.
본 발명이 이루고자 하는 기술적 과제는 보이드가 없는 플러그 형성이 가능하게 하는 반도체 소자의 콘택 구조 형성방법을 제공하고자 하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 보이드가 없는 플러그로 이루어진 콘택 구조를 구비하는 반도체 소자를 제공하고자 하는 것이다.
본 발명이 이루고자 하는 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명에 따른 콘택 구조 형성 방법은 하부 도전층을 노출시키는 콘택홀을 형성하는 단계, 콘택홀이 형성된 결과물 전면에 베리어층을 형성하는 단계, 베리어층 상에 제1플러그용 금속층을 증착하되, 콘택홀 내부에 개구부를 갖도록 화학기상증착법을 이용하여 제1플러그용 금속층을 형성하는 단계, 제1플러그용 금속층 내의 개구부가 충진되도록 제2플러그용 금속층을 형성하는 단계, 및 제2플러그용 금속층, 제1 플러그용 금속층 및 베리어층을 평탄화하여 콘택홀이 제2 플러그용 금속층, 제1 플러그용 금속층 및 베리어층으로 완전히 매립된 콘택 구조를 완성하는 단계를 포함한다.
상기 다른 기술적 과제를 달성하기 위한 콘택 구조를 구비하는 반도체 소자는 하부 도전층상에 형성된 절연층, 절연층 내에 형성된 콘택홀, 상기 콘택홀 내부에 형성된 장벽 금속층, 상기 장벽 금속층 상부에 형성되고 상기 콘택홀 내에 형성된 제1플러그, 및 상기 제1 플러그 상부에 형성되고 상기 콘택홀을 완전히 충진하는 제2 플러그를 포함하여 이루어진 콘택 구조를 포함한다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 대해 상세히 설명하고자 한다.
도 1 내지 도 3은 본 발명의 일실시예에 따른 반도체 소자의 콘택 구조 형성방법을 설명하기 위해 순차적으로 나타낸 단면도들이다.
도 1에 도시된 바와 같이, 하부 도전층(100) 상에 층간절연막(120)을 형성하고, 그 상부에 감광막(미도시함)을 도포한 후, 노광 및 식각 공정을 진행하여 콘택홀을 형성한다. 상기 하부 도전층(100)은 도핑된 실리콘 기판 일수도 있고 금속 배선층 일수도 있다. 상기 층간 절연막(120)은 통상은 실리콘 옥사이드 성분의 절연층을 화학 기상 증착 공정을 이용하여 형성하는데 400~800℃ 의 온도 범위에서 5000~10000Å 두께로 형성한다. 또한 상기 절연막은 HDP, PSG, TEOS, BPSG 등의 막이 될수도 있다.
그리고, 상기 콘택홀이 형성된 결과물 전면에 Ti/TiN를 증착하여 베리어층(140)을 형성하고 그 위에 제1플러그용 금속층(160)을 형성한다. 상기 Ti층 형성은 스퍼터링 공정을 이용하여 200~500Å 두께로 형성할수 있다. 상기 TiN 층 형성은 역시 스퍼터링 공정을 이용하며 500~1500Å의 두께로 형성할수 있다.
제1 플러그용 금속층(160)은 WF6 가스를 사용하는 화학기상증착법에 형성할 수 있다. 이때, 상기 텅스텐으로 이루어진 제1 플러그용 금속층(160)은 콘택홀 내부에 도금 공정에서의 시드(Seed)층 역할을 하기에 충분한 두께로 형성 하며 또한, 보이드가 폐쇄 되지 않고 개방된 상태가 되도록 두께 조절을 한다. 바람직 하게는 100~ 3000 Å의 두께로 형성 한다.
그 후, 도 2에 도시된 바와 같이, 상기 결과물 상에 갭필(gap fill) 특성이 우수한 전해 또는 무전해 도금 공정을 이용하여 제2플러그용 금속층(200)을 형성한다.
제2 플러그용 금속층(200)은 구리(Copper)로 형성할 수 있으며 텅스텐을 이용하는 것도 가능하다. 제1 플러그용 금속층(160) 내의 갭을 충분히 채울수 있는 두께로 형성하는 것이 바람직 하며 3000~10000Å 두께로 형성 할수 있다.
상기 전해 또는 무전해 도금 공정은 통상의 공정을 이용 한다. 무전해 도금의 경우 금속 이온이 있는 용액 중의 환원제에 의해서 물건 위에 금속이 환원 석출되는 도금 방법으로 즉, 환원제가 산화될 때 방출한 전자를 금속이온이 받아 들여 환원 하면서 도금할 물건 위에 석출 되는 공정 이다. 구리 도금의 경우는 환원제로 개미산염, 포르말린알데하이드, DMAB, 차아인산소다, 황산하이드라진 등이 이용된다.
다음 도 3에 도시된 바와같이, 층간 절연막(120) 상면이 노출될때까지 상기 제1 및 제2 플러그용 금속층(160, 200) 및 베리어층(140)을 씨엠피(CMP)공정 또는 에치백 공정을 이용하여 평탄화하면 2중막 성분을 갖는 콘택 플러그를 구비하는 콘 택 구조가 형성된다.
따라서, 상기한 바와 같이, 본 발명에 따른 반도체 소자의 콘택 구조 형성방법을 이용하게 되면, 콘택홀이 형성된 반도체 기판 상에 텅스텐을 이용하여 콘택홀을 매립하여 플러그 형성 시, 콘택홀의 에스펙트 비(aspect ratio)가 커서 텅스텐이 완전히 매립되지 못하여 발생된 보이드를 제2 플러그를 이용하여 매립하여 금속플러그를 형성함으로서, 딥(deep) 콘택홀에서도 보이드가 없는 플러그 형성이 가능하여, 반도체 소자의 특성, 신뢰성을 향상시키고 그에 따른 반도체 소자의 고집적화를 가능하게 하는 효과가 있다.

Claims (14)

  1. 하부 도전층을 노출시키는 콘택홀을 형성하는 단계;
    상기 콘택홀이 형성된 결과물 전면에 베리어층을 형성하는 단계;
    상기 베리어층 상에 제1플러그용 금속층을 증착하되, 상기 콘택홀 내부에 개구부를 갖도록 화학기상증착법을 이용하여 제1플러그용 금속층을 형성하는 단계;
    상기 제1플러그용 금속층 내의 상기 개구부가 충진되도록 제2플러그용 금속층을 형성하는 단계; 및
    상기 제2플러그용 금속층, 상기 제1 플러그용 금속층 및 베리어층을 평탄화하여 상기 콘택홀이 상기 제2 플러그용 금속층, 상기 제1 플러그용 금속층 및 베리어층으로 완전히 매립된 콘택 구조를 완성하는 단계를 포함하는 반도체 소자의 콘택 구조 형성방법.
  2. 제1항에 있어서, 상기 제2플러그용 금속층 형성 방법은 전해 도금방법인 반도체 소자의 콘택 구조 형성방법.
  3. 제1항에 있어서, 상기 제2플러그용 금속층 형성 방법은 무전해 도금방법인 반도체 소자의 콘택 구조 형성방법.
  4. 제1항에 있어서, 상기 제1플러그용 금속층은 텅스텐으로 형성하는 반도체 소 자의 콘택 구조 형성방법.
  5. 제1항에 있어서, 상기 제2플러그용 금속층은 구리로 형성하는 반도체 소자의 콘택 구조 형성방법.
  6. 제1항에 있어서, 상기 제2플러그용 금속층은 텅스텐으로 형성하는 반도체 소자의 콘택 구조 형성방법.
  7. 제1항에 있어서, 상기 제1플러그용 금속층의 두께는 100~3000Å으로 형성하는 반도체 소자의 콘택 구조 형성방법.
  8. 제1항에 있어서, 상기 제2플러그용 금속층의 두께는 3000~10000Å으로 형성하는 반도체 소자의 콘택 구조 형성방법
  9. 제1항에 있어서, 상기 하부 도전층은 도핑된 반도체 기판인 반도체 소자의 콘택 구조 형성방법
  10. 제1항에 있어서, 상기 하부 도전층은 금속 배선층인 반도체 소자의 콘택 구조 형성방법.
  11. 하부 도전층상에 형성된 절연층;
    절연층 내에 형성된 콘택홀;
    상기 콘택홀 내부에 형성된 장벽 금속층;
    상기 장벽 금속층 상부에 형성되고 상기 콘택홀 내에 형성된 제1플러그; 및
    상기 제1 플러그 상부에 형성되고 상기 콘택홀을 완전히 충진하는 제2 플러그를 포함하여 이루어진 콘택 구조를 구비하는 반도체 소자.
  12. 제11 항에 있어서, 상기 제1 플러그는 텅스텐으로 이루어진 반도체 소자.
  13. 제11 항에 있어서, 상기 제2 플러그는 구리도금 또는 텅스텐 도금으로 이루어진 반도체 소자.
  14. 제11 항에 있어서, 상기 하부 도전층은 도핑된 반도체 기판 또는 금속 배선층인 반도체 소자.
KR1020040087934A 2004-11-01 2004-11-01 반도체 소자의 콘택 구조 및 그 형성방법 KR20060038785A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040087934A KR20060038785A (ko) 2004-11-01 2004-11-01 반도체 소자의 콘택 구조 및 그 형성방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040087934A KR20060038785A (ko) 2004-11-01 2004-11-01 반도체 소자의 콘택 구조 및 그 형성방법

Publications (1)

Publication Number Publication Date
KR20060038785A true KR20060038785A (ko) 2006-05-04

Family

ID=37146245

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040087934A KR20060038785A (ko) 2004-11-01 2004-11-01 반도체 소자의 콘택 구조 및 그 형성방법

Country Status (1)

Country Link
KR (1) KR20060038785A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107946234A (zh) * 2017-11-20 2018-04-20 睿力集成电路有限公司 半导体互连结构及其制备方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107946234A (zh) * 2017-11-20 2018-04-20 睿力集成电路有限公司 半导体互连结构及其制备方法

Similar Documents

Publication Publication Date Title
KR100528559B1 (ko) 반도체 장치의 상호접속 구조 및 형성 방법
US6380065B1 (en) Interconnection structure and fabrication process therefor
US6709970B1 (en) Method for creating a damascene interconnect using a two-step electroplating process
JP5503147B2 (ja) 無電解フェーズと電流供給フェーズとを含むウェット化学堆積によりパターニングされた絶縁体上の金属層
US7186642B2 (en) Low temperature nitride used as Cu barrier layer
JP4339152B2 (ja) 配線構造の形成方法
KR100939773B1 (ko) 반도체 소자의 금속배선 및 그의 형성방법
KR100749367B1 (ko) 반도체 소자의 금속배선 및 그의 제조방법
KR20070066426A (ko) 반도체 소자의 금속배선 형성방법
KR100866138B1 (ko) 반도체 소자의 배선 및 그의 형성방법
KR100789580B1 (ko) 반도체 소자의 금속배선 형성방법
US6323135B1 (en) Method of forming reliable capped copper interconnects/with high etch selectivity to capping layer
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR20060038785A (ko) 반도체 소자의 콘택 구조 및 그 형성방법
KR100386628B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100909176B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100720401B1 (ko) 반도체 소자의 구리 배선 형성 방법
JP2001135721A (ja) 半導体装置およびその製造方法
KR100705008B1 (ko) 반도체 소자의 금속배선 제조방법
KR100451767B1 (ko) 반도체 소자의 금속 배선 형성방법
JP3269490B2 (ja) 半導体集積回路装置およびその製造方法
KR100720400B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100642908B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100920040B1 (ko) 반도체 소자의 배선 및 그의 형성방법
KR100698743B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination