KR20050059178A - Method of electroless plating - Google Patents

Method of electroless plating Download PDF

Info

Publication number
KR20050059178A
KR20050059178A KR1020057004928A KR20057004928A KR20050059178A KR 20050059178 A KR20050059178 A KR 20050059178A KR 1020057004928 A KR1020057004928 A KR 1020057004928A KR 20057004928 A KR20057004928 A KR 20057004928A KR 20050059178 A KR20050059178 A KR 20050059178A
Authority
KR
South Korea
Prior art keywords
electroless plating
wafer
reducing agent
catalytically active
active material
Prior art date
Application number
KR1020057004928A
Other languages
Korean (ko)
Inventor
요시노리 마루모
히로시 사토
미호 조멘
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20050059178A publication Critical patent/KR20050059178A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • C23C18/405Formaldehyde
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition

Abstract

A method of elctroless plating, which comprises forming catalytically active nuclei comprising a catalytically active material having a catalytic activity toward a reducing agent contained in an electroless plating solution on a diffusion inhibiting layer (such as a barrier layer), and then carrying out an electroleless plating using the electroless plating solution. The method allows the formation of an electrolessly plated coating on a barrier layer through the acceleration of the reaction of a reducing agent contained in an electroless plating solution by catalytically active nuclei.

Description

무전해 도금 방법{METHOD OF ELECTROLESS PLATING}Electroless Plating Method {METHOD OF ELECTROLESS PLATING}

본 발명은 무전해 도금막을 형성하는 무전해 도금 방법에 관한 것이다.The present invention relates to an electroless plating method for forming an electroless plating film.

반도체 디바이스를 작성함에 있어서 반도체 기판상에의 배선의 형성이 실행된다.In forming a semiconductor device, formation of wiring on a semiconductor substrate is performed.

반도체 디바이스의 집적도의 향상에 수반하여 배선의 미세화가 진행되고 있고, 이것에 대응하여 배선의 작성 기술의 개발이 실행되고 있다. 예컨대, 동 배선의 형성 방법으로서, 동의 시드층을 스퍼터링에 의해 형성하고, 전기 도금에 의해 홈 등을 매립함으로써 배선 및 층간 접속을 형성하는 듀얼 다마신법이 실용화되고 있다. 이 방법에서는, 시드층이 형성되지 않는 피도금면에의 전기 도금의 형성이 곤란하다.With the improvement of the integration degree of a semiconductor device, wiring refinement | miniaturization is advanced, and the development of the wiring creation technique is performed correspondingly. For example, the dual damascene method of forming a copper seed layer by sputtering and filling a groove | channel etc. by electroplating as a method of forming copper wiring, and forming an interconnection and an interlayer connection is utilized. In this method, it is difficult to form electroplating on the surface to be plated on which the seed layer is not formed.

한편, 시드층을 필요로 하지 않는 도금법으로서 무전해 도금법이 있다. 무전해 도금은 화학 환원에 의해 도금막을 형성하는 것으로, 형성된 도금막이 자기 촉매로서 작용함으로써 배선 재료로 이루어지는 도금막을 연속적으로 형성할 수 있다. 무전해 도금은 시드층을 사전에 형성할 필요가 없고, 시드층의 불균일성(특히, 오목부, 볼록부에 있어서의 스텝 카버리지)에 기인하여 도금막이 불균일하게 될 우려가 적다.On the other hand, as a plating method which does not require a seed layer, there is an electroless plating method. Electroless plating forms a plated film by chemical reduction, and the plated film formed as a self catalyst can form a plated film made of wiring material continuously. Electroless plating does not need to form a seed layer in advance, and there is little possibility that a plating film may become nonuniform due to the nonuniformity of a seed layer (especially step coverage in a concave part and a convex part).

배선 재료의 확산을 방지하기 위해, 기판에 배리어층을 형성하여 두고, 그 위에 도금막을 형성하는 경우가 있다. 이 배리어층에는 TiN, TaN 등의 질화금속 등이 이용되고, 무전해 도금에 대하여 불활성이기 때문에, 배리어층상에 무전해 도금을 실행하는 것이 곤란하다.In order to prevent the diffusion of the wiring material, a barrier layer is formed on the substrate, and a plating film may be formed thereon. Metal nitrides, such as TiN and TaN, are used for this barrier layer, and since it is inert to electroless plating, it is difficult to perform electroless plating on the barrier layer.

여기서, 배리어층을 이용하는 경우에 있어서, 배리어층상에 스퍼터링 등에 의해 동을 먼저 형성해 놓음으로써, 배리어층상에의 동의 무전해 도금막의 형성을 가능하게 하는 기술이 개시되어 있다(일본 특허 공개공보 2001-85434호 참조).Here, when using a barrier layer, the technique which enables formation of the copper electroless plating film | membrane on a barrier layer by forming copper first on a barrier layer by sputtering etc. is disclosed (Japanese Patent Laid-Open No. 2001-85434). Reference).

도 1은 제 1 실시형태에 관한 무전해 도금 방법의 순서를 나타낸 흐름도이다.1 is a flowchart showing a procedure of an electroless plating method according to the first embodiment.

도 2a∼2d는 도 1의 순서에 있어서의 웨이퍼(W)의 단면을 나타내는 단면도이다.2A to 2D are cross-sectional views illustrating a cross section of the wafer W in the procedure of FIG. 1.

도 3은 도 1에서의 무전해 도금에 이용되는 무전해 도금 장치를 나타낸 일부 단면도이다.3 is a partial cross-sectional view showing an electroless plating apparatus used for electroless plating in FIG.

도 4는 도 3에 나타낸 무전해 도금 장치에 설치된 웨이퍼(W) 등이 경사진 상태를 나타내는 일부 단면도이다.4 is a partial cross-sectional view showing a state in which the wafer W and the like provided in the electroless plating apparatus shown in FIG. 3 are inclined.

도 5는 제 1 실시형태에 관한 무전해 도금 장치를 이용하여 무전해 도금을 실행하는 경우의 순서의 일례를 나타내는 흐름도이다.5 is a flowchart showing an example of a procedure in the case of performing electroless plating using the electroless plating apparatus according to the first embodiment.

도 6은 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서의 무전해 도금 장치의 상태를 나타낸 일부 단면도이다.FIG. 6 is a partial cross-sectional view showing a state of the electroless plating apparatus in the case where electroless plating is performed in the procedure shown in FIG. 5.

도 7은 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서의 무전해 도금 장치의 상태를 나타낸 일부 단면도이다.FIG. 7 is a partial cross-sectional view showing a state of the electroless plating apparatus in the case where electroless plating is performed in the procedure shown in FIG. 5.

도 8은 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서의 무전해 도금 장치의 상태를 나타낸 일부 단면도이다.FIG. 8 is a partial cross-sectional view showing a state of the electroless plating apparatus in the case where electroless plating is performed in the procedure shown in FIG. 5.

도 9는 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서의 무전해 도금 장치의 상태를 나타낸 일부 단면도이다.FIG. 9 is a partial sectional view showing a state of the electroless plating apparatus in the case where electroless plating is performed in the procedure shown in FIG. 5.

도 10은 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서의 무전해 도금 장치의 상태를 나타낸 일부 단면도이다.FIG. 10 is a partial cross-sectional view showing a state of the electroless plating apparatus in the case where electroless plating is performed in the procedure shown in FIG. 5.

도 11은 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서의 무전해 도금 장치의 상태를 나타낸 일부 단면도이다.FIG. 11 is a partial cross-sectional view showing a state of the electroless plating apparatus in the case where electroless plating is performed in the procedure shown in FIG. 5.

도 12는 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서의 무전해 도금 장치의 상태를 나타낸 일부 단면도이다.FIG. 12 is a partial cross-sectional view showing the state of the electroless plating apparatus in the case where electroless plating is performed in the procedure shown in FIG. 5.

도 13은 제 2 실시형태에 관한 무전해 도금 방법의 순서를 나타낸 흐름도이다.13 is a flowchart showing a procedure of an electroless plating method according to the second embodiment.

도 14a, 14b는 도 13의 순서에 있어서의 웨이퍼(W)의 단면을 나타내는 단면도이다.14A and 14B are cross-sectional views showing a cross section of the wafer W in the procedure of FIG. 13.

도 15는 제 3 실시형태에 관한 무전해 도금 방법의 순서를 나타낸 흐름도이다.15 is a flowchart showing a procedure of an electroless plating method according to the third embodiment.

도 16a, 16b는 도 15의 순서에 있어서의 웨이퍼(W)의 단면을 나타내는 단면도이다.16A and 16B are cross-sectional views showing a cross section of the wafer W in the procedure of FIG. 15.

상기의 문헌에 개시된 기술에서는, 도금막과 동일한 재료를 배리어층상에 형성하게 되어, 처리 내용이 제한된다.In the technique disclosed in the above document, the same material as that of the plating film is formed on the barrier layer, thereby limiting the processing contents.

이상에 감안하여 본 발명은, 배리어층상에의 무전해 도금을 다양한 처리로 실현 가능한 무전해 도금 방법을 제공하는 것을 목적으로 한다.In view of the above, an object of this invention is to provide the electroless plating method which can implement electroless plating on a barrier layer by various processes.

A. 상기 목적을 달성하기 위하여, 본 발명에 관한 무전해 도금 방법은, 소정의 재료의 확산을 제한하는 확산 제한층을 기판상에 형성하는 확산 제한층 형성 단계와, 상기 확산 제한층 형성 단계에서 기판상에 형성된 확산 제한층의 적어도 일부상에, 무전해 도금 반응에 있어서의 환원제의 산화 반응에 대하여 촉매 활성을 갖고, 또한 상기 소정의 재료와 상이한 촉매 활성재료로 이루어지는 촉매 활성핵을 형성하는 촉매 활성핵 형성 단계와, 상기 촉매 활성핵 형성 단계에서 촉매 활성핵이 형성된 기판상에, 상기 환원제를 함유하는 무전해 도금액을 이용하여 상기 소정의 재료로 이루어지는 도금막을 형성하는 도금막 형성 단계를 구비한다.A. In order to achieve the above object, the electroless plating method according to the present invention comprises a diffusion limiting layer forming step of forming a diffusion limiting layer on a substrate to limit diffusion of a predetermined material, and On at least a part of the diffusion limiting layer formed on the substrate, a catalyst having catalytic activity against the oxidation reaction of the reducing agent in the electroless plating reaction and forming a catalyst active nucleus composed of a catalyst active material different from the predetermined material. An active nucleus forming step and a plating film forming step of forming a plated film made of the predetermined material by using an electroless plating solution containing the reducing agent on the substrate on which the catalytically active nucleus is formed in the catalytic active nucleus forming step; .

무전해 도금막에 함유되는 환원제에 대하여 촉매 활성을 갖는 촉매 활성재료로 이루어지는 촉매 활성핵을 확산 제한층(예컨대, 배리어층)상에 형성한 후에, 무전해 도금액을 이용하여 무전해 도금을 실행한다. 촉매 활성핵에 의해 무전해 도금막에 함유되는 환원제의 반응이 촉진되어, 무전해 도금막의 형성을 실행할 수 있다.After forming a catalyst active nucleus consisting of a catalytically active material having catalytic activity with respect to a reducing agent contained in the electroless plating film on a diffusion limiting layer (for example, a barrier layer), electroless plating is performed using an electroless plating solution. . The reaction of the reducing agent contained in the electroless plated film is promoted by the catalytically active nucleus to form the electroless plated film.

여기서, 상기 촉매 활성핵이, 상기 확산 제한층상에 불연속으로 형성되어 있어도 무방하다. 즉, 확산 제한층상에 형성된 촉매 활성핵이, 연속적(예컨대 층형상의 연속막), 불연속적(예컨대 섬형상으로 산재하는 불연속막) 어느 쪽도 무전해 도금막의 형성을 실행할 수 있다.Here, the catalytically active nucleus may be formed discontinuously on the diffusion limiting layer. That is, the catalytically active nucleus formed on the diffusion limiting layer can form the electroless plated film either continuously (for example, layered continuous film) or discontinuous (for example, discrete film scattered in island shape).

B. 본 발명에 관한 무전해 도금 방법은, 소정의 환원제의 산화 반응에 대하여 촉매 활성을 갖고, 또한 상기 소정의 재료와 상이한 촉매 활성재료를 포함하고, 소정의 재료의 확산을 제한하는 확산 제한층을 기판상에 형성하는 확산 제한층 형성 단계와, 상기 확산 제한층 형성 단계에서 확산 제한층이 형성된 기판상에, 상기 소정의 환원제를 함유하는 무전해 도금액을 이용하여 상기 소정의 재료로 이루어지는 도금막을 형성하는 도금막 형성 단계를 구비한다.B. An electroless plating method according to the present invention includes a catalytically active layer against an oxidation reaction of a predetermined reducing agent, and includes a catalytically active material different from the predetermined material, and restricts diffusion of the predetermined material. A plating film made of the predetermined material using a diffusion limiting layer forming step of forming a film on a substrate and an electroless plating solution containing the predetermined reducing agent on the substrate on which the diffusion limiting layer is formed in the diffusion limiting layer forming step. A plating film forming step of forming is provided.

촉매 활성재료를 포함하는 확산 제한층(예컨대, 배리어층)을 형성한 후에, 무전해 도금액을 이용하여 무전해 도금을 실행한다. 확산 제한층중의 촉매 활성재료에 의해 무전해 도금막에 함유되는 환원제의 반응이 촉진되어, 무전해 도금막의 형성을 실행할 수 있다.After the diffusion limiting layer (for example, barrier layer) containing the catalytically active material is formed, electroless plating is performed using an electroless plating solution. The reaction of the reducing agent contained in the electroless plated film is accelerated by the catalytically active material in the diffusion limiting layer, and formation of the electroless plated film can be performed.

C. 본 발명에 관한 무전해 도금 방법은, 소정의 환원제의 산화 반응에 대한 촉매 활성을 갖고, 상기 소정의 재료와 상이한 촉매 활성재료로 이루어지고, 또한 소정의 재료의 확산을 제한하는 확산 제한층을 기판상에 형성하는 확산 제한층 형성 단계와, 상기 확산 제한층 형성 단계에서 확산 제한층이 형성된 기판상에, 상기 소정의 환원제를 함유하는 무전해 도금액을 이용하여 상기 소정의 재료로 이루어지는 도금막을 형성하는 도금막 형성 단계를 구비한다.C. The electroless plating method according to the present invention has a catalytic activity for oxidation reaction of a predetermined reducing agent, is made of a catalytically active material different from the predetermined material, and further restricts diffusion of the predetermined material. A plating film made of the predetermined material using a diffusion limiting layer forming step of forming a film on a substrate and an electroless plating solution containing the predetermined reducing agent on the substrate on which the diffusion limiting layer is formed in the diffusion limiting layer forming step. A plating film forming step of forming is provided.

촉매 활성 및 확산 제한성의 쌍방을 갖는 재료에 의해 확산 제한층(예컨대, 배리어층)을 형성한 후에, 무전해 도금액을 이용하여 무전해 도금을 실행한다. 확산 제한층을 구성하는 촉매 활성재료에 의해 무전해 도금막에 함유되는 환원제의 반응이 촉진되어, 무전해 도금막의 형성을 실행할 수 있다.After the diffusion limiting layer (e.g., barrier layer) is formed of a material having both catalytic activity and diffusion limiting, electroless plating is performed using an electroless plating solution. The reaction of the reducing agent contained in the electroless plated film is accelerated by the catalytically active material constituting the diffusion limiting layer, thereby forming the electroless plated film.

이하, 본 발명의 실시형태에 관한 무전해 도금 방법을 도면을 참조하여 상세히 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, the electroless plating method which concerns on embodiment of this invention is demonstrated in detail with reference to drawings.

(제 1 실시형태)(1st embodiment)

도 1은 본 발명의 제 1 실시형태에 관한 무전해 도금 방법의 순서를 나타내는 흐름도이다. 또한, 도 2a∼2d는 도 1의 순서에 있어서의 웨이퍼(W)의 단면을 나타내는 단면도이다.1 is a flowchart showing a procedure of an electroless plating method according to the first embodiment of the present invention. 2A to 2D are cross-sectional views illustrating a cross section of the wafer W in the procedure of FIG. 1.

도 1에 도시하는 바와 같이, 본 발명의 제 1 실시형태에 관한 무전해 도금 방법에서는, 단계 S11∼S13의 순서로 웨이퍼(W)가 처리된다. 이하, 이 처리 순서를 상세히 설명한다.As shown in FIG. 1, in the electroless plating method according to the first embodiment of the present invention, the wafer W is processed in the order of steps S11 to S13. This processing procedure will be described in detail below.

(1) 웨이퍼(W)에의 배리어층의 형성(단계 S11, 도 2a)(1) Formation of Barrier Layer on Wafer W (Step S11, FIG. 2A)

웨이퍼(W)에 배리어층이 형성된다. 배리어층은 확산 제한층으로서 기능하는 것이고, 배선재료(예컨대, 동) 등의 확산을 방지하기 위한 장벽이다. 배리어층에 의해, 배선재료 등의 확산(예컨대, 일렉트로마이그레이션)에 의한 웨이퍼(W)의 오염이 방지된다. 이 배리어층의 재료에는, 예컨대 Ta, TaN, W, WN, Ti, TiN을 이용할 수 있다.The barrier layer is formed on the wafer W. The barrier layer functions as a diffusion limiting layer and is a barrier for preventing diffusion of wiring material (for example, copper) or the like. By the barrier layer, contamination of the wafer W due to diffusion (for example, electromigration) of the wiring material or the like is prevented. As the material of this barrier layer, Ta, TaN, W, WN, Ti, TiN can be used, for example.

웨이퍼(W)에는 알맞게 트렌치, 비아 등의 배선재료를 매설하기 위한 요철이 형성되고, 이 요철에 대응하여 배리어층이 형성된다. 도 2a에서는 오목부(1)에 대응하여 배리어층(2)이 형성된 상태를 나타내고 있다. 또, 배리어층(2)의 형성은 예컨대 물리적 성막법(스퍼터링법, 진공증착 등), 또는 화학적 성막법(CVD법 등)에 의해 실행할 수 있다.The wafer W is appropriately formed with irregularities for embedding wiring materials such as trenches and vias, and a barrier layer is formed corresponding to the irregularities. In FIG. 2A, the barrier layer 2 is formed corresponding to the recess 1. The barrier layer 2 can be formed by, for example, a physical film formation method (sputtering method, vacuum deposition, or the like), or a chemical film formation method (CVD method, or the like).

(2) 배리어층상에의 촉매 활성핵의 형성(단계 S12, 도 2b)(2) Formation of Catalytic Activated Nucleus on the Barrier Layer (Step S12, FIG. 2B)

배리어층(2)상에 촉매 활성핵(3)이 형성된다. 촉매 활성핵(3)은, 단계 S13에서 이용되는 무전해 도금액, 특히 그 성분인 환원제의 산화반응을 촉진하는 촉매로서의 활성을 갖는 촉매 활성재료로 구성되고, 무전해 도금막을 형성하기 위한 핵(기점)으로서 기능한다. 이 촉매 활성핵(3)은 층형상의 연속막이어도 무방하고, 섬형상(아일랜드형상)으로 점재하는 불연속막이어도 지장없다.The catalytically active nucleus 3 is formed on the barrier layer 2. The catalytically active nucleus 3 is composed of a catalytically active material having an activity as a catalyst for promoting the oxidation reaction of an electroless plating solution used in step S13, particularly a reducing agent thereof, and a nucleus for forming an electroless plating film (starting point) Function). The catalytically active nucleus 3 may be a layered continuous film, or may be a discontinuous film dotted with islands (island forms).

여기서, 촉매 활성핵(3)을 구성하는 촉매 활성재료의 예를 나타낸다. 이 촉매 활성재료는, 후술하는 무전해 도금액의 성분으로서 이용하는 환원제에 대응하여 선택할 수 있다.Here, the example of the catalyst active material which comprises the catalyst active nucleus 3 is shown. This catalyst active material can be selected corresponding to the reducing agent used as a component of the electroless plating solution described later.

1) 환원제가 포름알데히드인 경우: Ir, Pd, Ag, Ru, Rh, Au, Pt1) When the reducing agent is formaldehyde: Ir, Pd, Ag, Ru, Rh, Au, Pt

무전해 도금시의 반응 : 2 HC(OH)O- + 2OH- → 2HCOO+ + 2H2O + H2↑+ 2e- Electroless plating of the reaction: 2 HC (OH) O - + 2OH - → 2HCOO + + 2H 2 O + H 2 ↑ + 2e -

2) 환원제가 차아인산염인 경우 : Au, Ni, Pd, Co, Pt(왼쪽일수록 촉매 활성이 높아지도록 배열(Au>Pt))2) When the reducing agent is hypophosphite: Au, Ni, Pd, Co, Pt (arranged to the left to increase the catalytic activity (Au> Pt))

무전해 도금시의 반응 : H2PO2 - + 2OH- → 2H2PO3 - + H2↑+ 2e- Electroless plating reactions: H 2 PO 2 - + 2OH - → 2H 2 PO 3 - + H 2 ↑ + 2e -

3) 환원제가 글리옥실산인 경우 : Ir, Pd, Ag, Ru, Rh, Au, Pd, Pt3) When the reducing agent is glyoxylic acid: Ir, Pd, Ag, Ru, Rh, Au, Pd, Pt

무전해 도금시의 반응 : 2HC(OH)O- + 2OH- → 2HCOO+ + 2H2O + H2↑+ 2e- Electroless plating of the reaction: 2HC (OH) O - + 2OH - → 2HCOO + + 2H 2 O + H 2 ↑ + 2e -

4) 환원제가 금속염(초산코발트 등)인 경우 : Ag, Pt, Rh, Ir, Pd, Au4) When the reducing agent is a metal salt (cobalt acetate, etc.): Ag, Pt, Rh, Ir, Pd, Au

5) 환원제가 디메틸아민보란인 경우 : Ni, Pd, Ag, Au, Pt5) When the reducing agent is dimethylamine borane: Ni, Pd, Ag, Au, Pt

무전해 도금시의 반응 : (CH3)2HN·BH3 + 3H2O → H3BO3 +(CH3)2H2N+ + 5H+ + 6e- Electroless plating reactions: (CH 3) 2 HN · BH 3 + 3H 2 O → H 3 BO 3 + (CH 3) 2H 2 N + + 5H + + 6e -

(3) 웨이퍼(W)의 무전해 도금(단계 S13, 도 2c, 2d)(3) Electroless Plating of Wafer W (Step S13, Figs. 2C, 2D)

웨이퍼(W)에 대하여 무전해 도금을 실행하여, 무전해 도금막을 형성한다. 또, 이 무전해 도금은, 후술하는 바와 같이, 도 3에 도시하는 장치를 이용하여 도 5의 순서로 실행할 수 있다.Electroless plating is performed on the wafer W to form an electroless plating film. In addition, this electroless plating can be performed in the order of FIG. 5 using the apparatus shown in FIG. 3, as mentioned later.

무전해 도금의 초기 단계에 있어서, 무전해 도금막은 촉매 활성핵(3)상에 형성된다(도 2c). 즉, 이 단계에서는 촉매 활성핵(3)이 불연속막인 경우에는 무전해 도금막도 불연속막으로 된다.In the initial stage of electroless plating, an electroless plating film is formed on the catalytically active core 3 (Fig. 2C). That is, in this step, when the catalytically active core 3 is a discontinuous film, the electroless plating film also becomes a discontinuous film.

그 후, 무전해 도금막(4)이 성장하여, 촉매 활성핵(3)상의 무전해 도금막(4)이 웨이퍼(W)의 면상에 확산된다. 즉, 촉매 활성핵(3)이 불연속막인 경우라 하더라도 촉매 활성핵(3)상의 무전해 도금막(4)이 서로 접속하여 연속막이 형성된다.Thereafter, the electroless plated film 4 grows, and the electroless plated film 4 on the catalytically active core 3 diffuses onto the surface of the wafer W. As shown in FIG. That is, even when the catalyst active core 3 is a discontinuous film, the electroless plating film 4 on the catalyst active core 3 is connected to each other to form a continuous film.

또, 촉매 활성핵(3)이 연속막인 경우에는, 도 2c, 2d와 같은 비연속막의 무전해 도금막(4)이 형성되는 공정을 반드시 거치지 않고, 연속적인 무전해 도금막(4)이 형성된다.In the case where the catalytically active core 3 is a continuous film, the continuous electroless plating film 4 is not necessarily passed through the process of forming the non-continuous film electroless plated film 4 as shown in FIGS. 2C and 2D. Is formed.

(무전해 도금에 이용하는 무전해 도금 장치의 상세)(The details of the electroless plating apparatus to use for electroless plating)

도 3은 단계 S13에서의 무전해 도금에 이용되는 무전해 도금 장치(10)의 구성을 나타내는 일부 단면도이다.3 is a partial cross-sectional view showing the configuration of the electroless plating apparatus 10 used for electroless plating in step S13.

무전해 도금 장치(10)는, 처리액을 이용하여 기판인 웨이퍼(W)에의 무전해 도금처리, 그 전처리, 도금후의 세정 처리 및 건조처리를 실행할 수 있다.The electroless plating apparatus 10 can perform the electroless plating process to the wafer W which is a board | substrate, the preprocessing, the washing process after plating, and a drying process using a process liquid.

즉, 처리액으로서는, 무전해 도금용 약액 이외에, 도금의 전처리, 후처리용 약액, 순수 등 여러가지의 액체를 포함할 수 있다.That is, the treatment liquid may include various liquids such as plating liquid pretreatment, post treatment chemical liquid, pure water, in addition to the electroless plating chemical liquid.

무전해 도금에 이용하는 약액(무전해 도금액)으로서 이하의 재료를 혼합하여 순수에 용해한 것을 이용할 수 있다.As the chemical liquid (electroless plating solution) used for electroless plating, the following materials may be mixed and dissolved in pure water.

1) 금속염 : 도금막을 구성하는 금속 이온을 공급하는 재료이다. 금속염은 도금막이 동인 경우에는, 예컨대 황산동, 초산동, 염화동이다.1) Metal salt: A material for supplying metal ions constituting the plating film. The metal salt is, for example, copper sulfate, copper acetate or copper chloride when the plating film is copper.

2) 착화제 : 강알칼리성하에 있어서, 금속 이온이 수산화물로서 침전하지 않도록, 금속을 착체화하여 액중에서의 안정성을 향상시키기 위한 재료이다. 착화제에는, 예컨대 아민계 재료로서 HEDTA, EDTA, ED, 유기계 재료로서 구연산, 주석산, 글루콘산을 이용할 수 있다.2) Complexing agent: It is a material for improving the stability in a liquid by complexing a metal so that metal ion does not precipitate as a hydroxide under strong alkalinity. As the complexing agent, for example, citric acid, tartaric acid, and gluconic acid can be used as the amine material as HEDTA, EDTA, ED, and the organic material.

3) 환원제 : 금속 이온을 촉매적으로 환원 석출시키기 위한 재료이다. 환원제에는, 예컨대 포름알데히드, 차아인산염, 글리옥실산, 금속염(초산제이코발트 등), 디메틸아민보란, 염화제이주석, 수소화붕소화합물을 이용할 수 있다.3) Reducing agent: A material for catalytically reducing precipitation of metal ions. As the reducing agent, for example, formaldehyde, hypophosphite, glyoxylic acid, metal salts (such as zecobalt acetate), dimethylamine borane, ditin tin chloride, and a borohydride compound can be used.

4) 안정제 : 산화물(도금막이 동인 경우에는 산화제이동)의 불균일성에 기인하는 도금액의 자연 분해를 방지하는 재료이다. 안정제에는, 질소계의 재료로서, 예컨대 1가의 동과 우선적으로 착체를 형성하는 비비루지루, 시안화합물, 티오요소, O-페난트로린, 네오부로인을 이용할 수 있다.4) Stabilizer: It is a material that prevents natural decomposition of plating solution due to nonuniformity of oxide (or oxidant transfer in case of plating film is copper). As the stabilizer, for example, bibirujiru, cyanide compound, thiourea, O-phenanthroline and neobroin can be used as the nitrogen-based material, which forms a complex with monovalent copper.

5) pH 완충제 : 도금액의 반응이 진행했을 때의 pH의 변화를 억제하기 위한 재료이다. pH 완충제에는, 예컨대 붕산, 탄산, 옥시카르본산을 이용할 수 있다.5) pH buffer: A material for suppressing the pH change when the reaction of the plating solution proceeds. As the pH buffer, for example, boric acid, carbonic acid and oxycarboxylic acid can be used.

6) 첨가제 : 첨가제에는 도금막의 석출의 촉진, 억제를 실행하는 재료나, 표면 또는 도금막의 개질를 실행하는 재료가 있다.6) Additives: Additives include materials for promoting and inhibiting deposition of the plating film, and for modifying the surface or the plating film.

·도금막의 석출 속도를 억제하고, 도금액의 안정화 및 도금막의 특성을 개선하기 위한 재료로서는, 유황계 재료로서, 예컨대 티오황산, 2-MBT을 이용할 수 있다.As a material for suppressing the deposition rate of the plated film, stabilizing the plating solution and improving the properties of the plated film, for example, thiosulfate and 2-MBT can be used.

·도금액의 표면 장력을 저하시켜, 웨이퍼(W)의 면상에 도금액이 균일히 배치되도록 하기 위한 재료로서는, 계면활성제의 노니온계 재료로서, 예컨대 폴리알킬렌글리콜, 폴리에틸렌글리콜을 이용할 수 있다.As the material for lowering the surface tension of the plating liquid so that the plating liquid is uniformly disposed on the surface of the wafer W, for example, polyalkylene glycol and polyethylene glycol can be used as the nonionic material of the surfactant.

도 3에 도시하는 바와 같이 무전해 도금 장치(10)는, 베이스(11), 중공 모터(12), 기판 유지부인 웨이퍼 척(20), 상부 플레이트(30), 하부 플레이트(40), 컵(50), 노즐 아암(61, 62), 경사 조절부인 기판 경사기구(70), 액 공급기구(80)를 갖는다. 여기서, 중공 모터(12), 웨이퍼 척(20), 상부 플레이트(30), 하부 플레이트(40), 컵(50), 노즐 아암(61, 62)은 직접적 또는 간접적으로 베이스(11)에 접속되고, 베이스(11)와 함께 이동, 기판 경사기구(70)에 의한 경사 등이 실행된다.As shown in FIG. 3, the electroless plating apparatus 10 includes a base 11, a hollow motor 12, a wafer chuck 20 as a substrate holding unit, an upper plate 30, a lower plate 40, and a cup ( 50), nozzle arms 61 and 62, a substrate inclination mechanism 70 serving as an inclination adjustment unit, and a liquid supply mechanism 80. Here, the hollow motor 12, the wafer chuck 20, the upper plate 30, the lower plate 40, the cup 50, the nozzle arms 61, 62 are directly or indirectly connected to the base 11. , The base 11 is moved together, and the inclination by the substrate tilt mechanism 70 is performed.

웨이퍼 척(20)은 웨이퍼(W)를 유지·고정하는 것이고, 웨이퍼 유지 클로(21), 웨이퍼 척 바닥판(23), 웨이퍼 척 지지부(24)로 구성된다.The wafer chuck 20 holds and fixes the wafer W, and is composed of a wafer holding claw 21, a wafer chuck bottom plate 23, and a wafer chuck support portion 24.

웨이퍼 유지 클로(21)은 웨이퍼 척 바닥판(23)의 외주상에 복수개 배치되어, 웨이퍼(W)를 유지, 고정한다.A plurality of wafer holding claws 21 are arranged on the outer circumference of the wafer chuck bottom plate 23 to hold and fix the wafer W. As shown in FIG.

웨이퍼 척 바닥판(23)은 웨이퍼 척 지지부(24)의 상면에 접속된 대략 원형의 평판이고, 컵(50)의 저면상에 배치되어 있다.The wafer chuck bottom plate 23 is a substantially circular flat plate connected to the upper surface of the wafer chuck support part 24, and is disposed on the bottom surface of the cup 50.

웨이퍼 척 지지부(24)는 대략 원통형형상이고, 웨이퍼 척 바닥판(23)에 마련된 원형형상의 개구부에 접속되고, 또한 중공 모터(12)의 회전축을 구성한다. 이 결과, 중공 모터(12)를 구동함으로써, 웨이퍼(W)를 유지한 채로, 웨이퍼 척(20)을 회전시킬 수 있다. 또한, 후술하는 바와 같이 컵(50)이 상하로 이동 가능하기 때문에, 컵(50)의 바닥에 배치되어 있는 웨이퍼 척(20)도 컵(50)에 수반하여 상하 이동을 실행한다.The wafer chuck support part 24 is substantially cylindrical shape, is connected to the circular opening part provided in the wafer chuck bottom plate 23, and comprises the rotating shaft of the hollow motor 12. As shown in FIG. As a result, by driving the hollow motor 12, the wafer chuck 20 can be rotated while the wafer W is held. In addition, since the cup 50 can move up and down as mentioned later, the wafer chuck 20 arrange | positioned at the bottom of the cup 50 also moves up and down with the cup 50. As shown in FIG.

상부 플레이트(30)는 대략 원형의 평판형상이고, 히터(H)(도시하지 않음), 처리액 토출구(31), 처리액 유입부(32), 온도 측정기구(33)를 갖고, 또한 승강기구(34)에 접속되어 있다.The upper plate 30 has a substantially circular flat plate shape, and has a heater H (not shown), a processing liquid discharge port 31, a processing liquid inlet 32, a temperature measuring mechanism 33, and a lifting mechanism. It is connected to 34.

히터(H)는 상부 플레이트(30)를 가열하기 위한 전열선 등의 가열수단이다. 히터(H)는 온도 측정기구(33)에서의 온도 측정 결과에 대응하여, 상부 플레이트(30), 나아가서는 웨이퍼(W)가 소망하는 온도로 유지되도록(예컨대, 실온으로부터 60℃ 정도의 범위), 도시하지 않은 제어수단에 의해 발열량이 제어된다.The heater H is a heating means such as a heating wire for heating the upper plate 30. The heater H corresponds to the temperature measurement result in the temperature measuring device 33 so that the upper plate 30 and the wafer W are maintained at a desired temperature (for example, in a range of room temperature to about 60 ° C.). The heat generation amount is controlled by control means (not shown).

처리액 토출구(31)는 상부 플레이트(30)의 하면에 단수 또는 복수 형성되고, 처리액 유입부(32)로부터 유입된 처리액을 토출한다.The processing liquid discharge port 31 is formed in the singular or plural on the lower surface of the upper plate 30, and discharges the processing liquid introduced from the processing liquid inlet 32.

처리액 유입부(32)는 상부 플레이트(30)의 상면측에 있으며, 처리액이 유입되고, 유입된 처리액은 처리액 토출구(31)로 분배된다. 처리액 유입부(32)에 유입되는 처리액은, 순수(RT : 실온), 가열된 약액(1, 2)(예컨대, 실온으로부터 60℃ 정도의 범위)을 교호적으로 이용할 수 있다. 또한, 후술하는 믹싱 박스(85)에서 혼합된 약액(1, 2)(경우에 따라서, 다른 약액을 포함하는 복수의 약액을 혼합하여)을 처리액 유입부(32)에 유입시킬 수도 있다.The processing liquid inlet 32 is located on the upper surface side of the upper plate 30, and the processing liquid flows in, and the processing liquid flows into the processing liquid discharge port 31. As the treatment liquid flowing into the treatment liquid inlet 32, pure water (RT: room temperature) and heated chemical liquids 1 and 2 (for example, a range of about 60 ° C. from room temperature) can be used alternately. In addition, the chemical liquids 1 and 2 (mixed with a plurality of chemical liquids including other chemical liquids) mixed in the mixing box 85 to be described later may be introduced into the processing liquid inlet 32.

온도 측정기구(33)는 상부 플레이트(30)에 매립된 열전대 등의 온도 측정수단이며, 상부 플레이트(30)의 온도를 측정한다.The temperature measuring mechanism 33 is a temperature measuring means such as a thermocouple embedded in the upper plate 30, and measures the temperature of the upper plate 30.

승강기구(34)는 상부 플레이트(30)에 접속되고, 상부 플레이트(30)를 웨이퍼(W)에 대향한 상태로 상하로 승강하고, 예컨대 웨이퍼(W)와의 간격을 0.1∼500mm 사이에서 제어할 수 있다. 무전해 도금중에 있어서는 웨이퍼(W)와 상부 플레이트(30)를 근접시켜(예컨대, 웨이퍼(W)와 상부 플레이트(30)와의 간격이 2mm 이하), 이들의 갭의 공간의 크기를 제한하고, 웨이퍼(W)의 면상에 공급되는 처리액의 균일화 및 사용량의 저감을 도모할 수 있다.The elevating mechanism 34 is connected to the upper plate 30, and lifts the upper plate 30 up and down while facing the wafer W, and controls the distance from the wafer W, for example, between 0.1 and 500 mm. Can be. During electroless plating, the wafer W and the upper plate 30 are brought close to each other (for example, the gap between the wafer W and the upper plate 30 is 2 mm or less) to limit the size of the gap space between the wafers W and the wafer. The processing liquid supplied on the surface of (W) can be made uniform and the amount of use can be reduced.

하부 플레이트(40)는 웨이퍼(W)의 하면에 대향하여 배치된 대략 원형의 평판형상이며, 웨이퍼(W)에 근접한 상태로 그 하면에 가열된 순수의 공급을 실행함으로써, 웨이퍼(W)를 알맞게 가열할 수 있다.The lower plate 40 has a substantially circular flat plate shape disposed to face the lower surface of the wafer W. The lower plate 40 is in close proximity to the wafer W to supply the heated pure water to the lower surface of the wafer W. Can be heated.

웨이퍼(W)의 가열을 효율적으로 실행하기 위해서는, 하부 플레이트(40)의 크기가 웨이퍼(W)의 크기에 근사하는 것이 바람직하다. 구체적으로는, 하부 플레이트(40)의 크기가 웨이퍼(W)의 면적의 80% 이상, 또는 90% 이상으로 하는 것이 바람직하다.In order to efficiently perform heating of the wafer W, it is preferable that the size of the lower plate 40 approximate the size of the wafer W. FIG. Specifically, it is preferable that the size of the lower plate 40 is 80% or more, or 90% or more of the area of the wafer W.

하부 플레이트(40)는 그 상면의 중앙에 처리액 토출구(41)가 형성되고, 지지부(42)에 의해 지지되어 있다.The lower plate 40 has a processing liquid discharge port 41 formed at the center of the upper surface thereof, and is supported by the support portion 42.

처리액 토출구(41)는 지지부(42)내를 통과한 처리액이 토출한다. 처리액은 순수(RT: 실온), 가열된 순수(예컨대, 실온으로부터 60℃ 정도의 범위)를 교호적으로 이용할 수 있다.The processing liquid discharge port 41 discharges the processing liquid that has passed through the support part 42. The treatment liquid may alternately use pure water (RT: room temperature) and heated pure water (for example, in the range of room temperature to about 60 ° C).

지지부(42)는 중공 모터(12)를 관통하고, 간격 조절부인 승강기구(도시하지 않음)에 접속되어 있다. 승강기구를 동작함으로써, 지지부(42), 나아가서는 하부 플레이트(40)를 상하로 승강할 수 있다.The support part 42 penetrates the hollow motor 12, and is connected to the lifting mechanism (not shown) which is a space | interval adjustment part. By operating the lifting mechanism, the support portion 42, and further, the lower plate 40 can be raised and lowered up and down.

컵(50)은 웨이퍼 척(20)을 그 안에 유지하고, 또한 웨이퍼(W)의 처리에 이용된 처리액을 막아내서 배출하는 것으로, 컵 측부(51), 컵 바닥판(52), 폐액관(53)을 갖는다.The cup 50 holds the wafer chuck 20 therein and blocks and discharges the processing liquid used for the processing of the wafer W. The cup side 51, the cup bottom plate 52, and the waste liquid pipe Has 53.

컵 측부(51)는 그 내주가 웨이퍼 척(20)의 외주를 따른 대략 원통형이고, 그 상단이 웨이퍼 척(20)의 유지면의 상방 근방에 위치하고 있다.The cup side 51 has an inner circumference of the cylindrical shape along the outer circumference of the wafer chuck 20, and an upper end thereof is located near the holding surface of the wafer chuck 20.

컵 바닥판(52)은 컵 측부(51)의 하단에 접속되고, 중공 모터(12)에 대응하는 위치에 개구부를 갖고, 그 개구부에 대응하는 위치에 웨이퍼 척(20)이 배치되어 있다.The cup bottom plate 52 is connected to the lower end of the cup side part 51, has an opening part in the position corresponding to the hollow motor 12, and the wafer chuck 20 is arrange | positioned in the position corresponding to the opening part.

폐액관(53)은 컵 바닥판(52)에 접속되고, 컵(50)으로부터 폐액(웨이퍼(W)를 처리한 처리액)을 무전해 도금 장치(10)가 설치된 공장의 폐액 라인 등으로 배출하기 위한 배관이다.The waste liquid pipe 53 is connected to the cup bottom plate 52, and discharges the waste liquid (the processing liquid which processed the wafer W) from the cup 50 to a waste liquid line of a factory in which the electroless plating apparatus 10 is installed. Piping for

컵(50)은 도시하지 않은 승강기구에 접속되고, 베이스(11)와 웨이퍼(W)에 대하여 상하로 이동할 수 있다.The cup 50 is connected to the lifting mechanism not shown, and can move up and down with respect to the base 11 and the wafer W. As shown in FIG.

노즐 아암(61, 62)은 웨이퍼(W)의 상면 근방에 배치되고, 그 선단의 개구부로부터 처리액, 에어 등의 유체를 토출한다. 토출하는 유체는 순수, 약액, 질소 가스를 알맞게 선택할 수 있다. 노즐 아암(61, 62)에는 각각 웨이퍼(W)의 중앙을 향하는 방향으로 노즐 아암(61, 62)을 이동시키는 이동기구(도시하지 않음)가 접속되어 있다. 웨이퍼(W)에 유체를 토출하는 경우에는 노즐 아암(61, 62)이 웨이퍼(W)의 상방으로 이동되고, 토출이 완료되면 웨이퍼(W)의 외주 밖으로 이동된다. 또, 노즐 아암의 수는 토출하는 약액의 양, 종류에 따라 단수 또는 3개 이상으로 하는 것도 가능하다.The nozzle arms 61 and 62 are disposed in the vicinity of the upper surface of the wafer W, and discharge fluid such as processing liquid, air, and the like from the opening portion at the tip end thereof. The discharged fluid can be suitably selected from pure water, chemical liquid and nitrogen gas. A moving mechanism (not shown) for moving the nozzle arms 61, 62 in the direction toward the center of the wafer W is connected to the nozzle arms 61, 62, respectively. In the case of discharging fluid to the wafer W, the nozzle arms 61 and 62 are moved above the wafer W, and when the discharge is completed, the nozzle arms 61 and 62 are moved out of the outer circumference of the wafer W. The number of nozzle arms may be either singular or three or more depending on the amount and type of chemical liquid to be discharged.

기판 경사기구(70)는 베이스(11)에 접속되고, 베이스(11)의 일단을 상하시킴으로써, 베이스(11) 및 이것에 접속된 웨이퍼 척(20), 웨이퍼(W), 상부 플레이트(30), 하부 플레이트(40), 컵(50)을 예컨대 0∼10° 또는 0∼5°의 범위에서 경사시킨다.The substrate inclination mechanism 70 is connected to the base 11 and the one end of the base 11 is moved up and down, so that the base 11 and the wafer chuck 20, the wafer W, and the upper plate 30 connected thereto are provided. The lower plate 40 and the cup 50 are tilted in the range of 0 to 10 degrees or 0 to 5 degrees, for example.

도 4는 기판 경사기구(70)에 의해, 웨이퍼(W) 등이 경사진 상태를 나타내는 일부 단면도이다. 기판 경사기구(70)에 의해 베이스(11)가 경사지고, 베이스(11)에 직접적 또는 간접적으로 접속된 웨이퍼(W) 등이 각도(θ) 경사져 있는 것을 알 수 있다.4 is a partial cross-sectional view showing a state in which the wafer W and the like are inclined by the substrate tilt mechanism 70. It can be seen that the base 11 is inclined by the substrate tilt mechanism 70, and the wafer W or the like directly or indirectly connected to the base 11 is inclined at an angle θ.

액 공급기구(80)는 상부 플레이트(30), 하부 플레이트(40)에 가열된 처리액을 공급하는 것이고, 온도 조절기구(81), 처리액 탱크(82, 83, 84), 펌프(P1∼P5), 밸브(V1∼V5), 믹싱 박스(85)로 구성된다. 또, 도 3은 약액(1, 2)의 2종류의 약액을 이용한 경우를 나타내고 있지만, 처리 탱크, 펌프, 밸브의 수는 믹싱 박스(85)에서 혼합하는 약액의 수에 대응하여 알맞게 설정할 수 있다.The liquid supply mechanism 80 supplies the processing liquid heated to the upper plate 30 and the lower plate 40, and the temperature adjusting mechanism 81, the processing liquid tanks 82, 83, 84, and the pumps P1 to P5), the valves V1 to V5, and the mixing box 85 are configured. In addition, although FIG. 3 shows the case where two types of chemical liquids of the chemical liquids 1 and 2 are used, the number of processing tanks, pumps, and valves can be set appropriately according to the number of chemical liquids mixed in the mixing box 85. .

온도 조절기구(81)는 그 내부에 온수 및 처리액 탱크(82∼84)를 갖고, 처리액 탱크(82∼84)중의 처리액(순수, 약액(1, 2))을 온수에 의해 가열하는 장치이고, 처리액을 예컨대 실온으로부터 60℃ 정도의 범위에서 알맞게 가열한다. 이 온도 조절에는, 예컨대 워터바스, 투입히터, 외부 히터를 알맞게 이용할 수 있다.The temperature regulating mechanism 81 has hot water and processing liquid tanks 82 to 84 therein, and heats the processing liquid (pure water, chemical liquids 1 and 2) in the processing liquid tanks 82 to 84 with hot water. It is an apparatus and a process liquid is heated suitably in the range of about 60 degreeC from room temperature, for example. For this temperature control, a water bath, an input heater, and an external heater can be used suitably, for example.

처리액 탱크(82, 83, 84)는 각각 순수, 약액(1, 2)을 유지하는 탱크이다.The treatment liquid tanks 82, 83, and 84 are tanks for holding pure water and chemical liquids 1, 2, respectively.

펌프(P1∼P3)는 처리액 탱크(82∼84)로부터 처리액을 빨아낸다. 또, 처리액 탱크(82∼84)를 각각 가압함으로써, 처리액 탱크(82∼84)로부터의 송액을 실행하여도 무방하다.The pumps P1 to P3 suck out the processing liquid from the processing liquid tanks 82 to 84. Moreover, the liquid supply from the process liquid tanks 82-84 may be performed by pressurizing process liquid tanks 82-84, respectively.

밸브(V1∼V3)는 배관의 개폐를 실행하고, 처리액의 공급 및 공급 정지를 실행한다. 또한, 밸브(V4, V5)는 각각 상부 플레이트(30), 하부 플레이트(40)에 실온의(가열되지 않은) 순수를 공급하기 위한 것이다.The valves V1 to V3 open and close the pipe, and supply and stop the supply of the processing liquid. The valves V4 and V5 are for supplying pure water at room temperature (not heated) to the upper plate 30 and the lower plate 40, respectively.

믹싱 박스(85)는 처리액 탱크(83, 84)로부터 보내어진 약액(1, 2)을 혼합하기 위한 용기이다.The mixing box 85 is a container for mixing the chemical liquids 1 and 2 sent from the treatment liquid tanks 83 and 84.

상부 플레이트(30)에는 약액(1, 2)을 알맞게 믹싱 박스(85)에서 혼합, 온도 조절하여 보낼 수 있다. 또한, 하부 플레이트(40)에는 온도 조절된 순수를 알맞게 보낼 수 있다.The chemical liquids 1 and 2 can be mixed and temperature-controlled in the mixing box 85 to the upper plate 30. In addition, the lower plate 40 can be appropriately sent to the temperature controlled pure water.

(무전해 도금공정의 상세)(Details of Electroless Plating Process)

도 5는 무전해 도금 장치(10)를 이용하여 전술한 단계 S11, S12의 공정을 거친 웨이퍼(W)에 대하여 무전해 도금을 실행하는 순서의 일례를 나타내는 흐름도이다. 또한, 도 6에서 12는, 도 5에 나타낸 순서로 무전해 도금을 실행한 경우에 있어서, 각 공정에 있어서의 무전해 도금 장치(10)의 상태를 나타낸 일부 단면도이다. 이하, 도 5∼12를 이용하여 이 순서를 상세히 설명한다.FIG. 5 is a flowchart showing an example of a procedure of performing electroless plating on the wafer W subjected to the above-described steps S11 and S12 using the electroless plating apparatus 10. 6 to 12 are partial cross-sectional views showing the state of the electroless plating apparatus 10 in each step when the electroless plating is performed in the order shown in FIG. 5. Hereinafter, this procedure is explained in detail using FIGS. 5-12.

(1) 웨이퍼(W)의 유지(단계 S1 및 도 6)(1) Holding the Wafer W (Step S1 and Fig. 6)

전술한 단계 S11, S12의 공정을 거친 웨이퍼(W)가 웨이퍼 척(20)상에 유지된다. 예컨대, 웨이퍼(W)를 그 상면에서 흡인한 도시하지 않은 흡인 아암(기판 반송기구)이 웨이퍼 척(20)상에 웨이퍼(W)를 탑재한다. 그리고, 웨이퍼 척(20)의 웨이퍼 유지 클로(21)에 의해 웨이퍼(W)를 유지·고정한다. 또, 컵(50)을 강하시킴으로써, 웨이퍼(W)의 상면보다 아래에서 흡인 아암을 수평방향으로 움직일 수 있다.The wafer W, which has undergone the steps S11 and S12 described above, is held on the wafer chuck 20. For example, a suction arm (substrate transport mechanism) (not shown) that sucks the wafer W from its upper surface mounts the wafer W on the wafer chuck 20. Then, the wafer W is held and fixed by the wafer holding claw 21 of the wafer chuck 20. Moreover, by lowering the cup 50, the suction arm can be moved horizontally below the upper surface of the wafer W. As shown in FIG.

(2) 웨이퍼(W)의 전처리(단계 S2 및 도 7)(2) Pretreatment of Wafer W (Step S2 and Fig. 7)

웨이퍼(W)를 회전시키고, 웨이퍼(W)의 상면에 노즐 아암(61) 또는 노즐 아암(62)으로부터 처리액을 공급함으로써, 웨이퍼(W)의 전처리가 실행된다.The pretreatment of the wafer W is performed by rotating the wafer W and supplying the processing liquid from the nozzle arm 61 or the nozzle arm 62 to the upper surface of the wafer W. FIG.

웨이퍼(W)의 회전은 중공 모터(12)에 의해 웨이퍼 척(20)을 회전함으로써 실행되고, 이 때의 회전 속도는 일례로서 100∼200rpm으로 할 수 있다.The rotation of the wafer W is performed by rotating the wafer chuck 20 by the hollow motor 12, and the rotation speed at this time can be 100 to 200 rpm as an example.

노즐 아암(61, 62) 중 어느 하나 또는 쌍방이 웨이퍼(W)의 상방으로 이동하여, 처리액을 토출한다. 노즐 아암(61, 62)으로부터 공급되는 처리액은, 전처리의 목적에 대응하여, 예컨대 웨이퍼(W) 세정용 순수 또는 웨이퍼(W)의 촉매 활성화 처리용 약액이 순차적으로 공급된다. 이 때의 토출량은 웨이퍼(W)상에 처리액의 퍼들(층)을 형성하기에 필요한 양, 예컨대 100mL 정도로 충분하다. 단, 필요에 따라서, 토출량을 많게 하여도 지장없다. 또한, 토출되는 처리액은 알맞게 가열(예컨대, 실온으로부터 60℃ 정도의 범위)하여도 무방하다.Either or both of the nozzle arms 61 and 62 move above the wafer W to discharge the processing liquid. The treatment liquids supplied from the nozzle arms 61 and 62 are sequentially supplied with, for example, pure water for cleaning the wafer W or chemical liquids for the catalyst activation treatment of the wafer W, corresponding to the purpose of the pretreatment. The discharge amount at this time is sufficient to be enough to form a puddle (layer) of processing liquid on the wafer W, for example, about 100 mL. However, if necessary, the discharge amount may be increased. In addition, the process liquid discharged may be suitably heated (for example, in a range of about 60 ° C from room temperature).

(3) 웨이퍼(W)의 가열(단계 S3 및 도 8)(3) Heating the Wafer W (Step S3 and Fig. 8)

웨이퍼(W)를 도금액의 반응에 적합한 온도로 유지하기 위하여 웨이퍼(W)의 가열이 실행된다.Heating of the wafer W is performed to maintain the wafer W at a temperature suitable for the reaction of the plating liquid.

하부 플레이트(40)를 가열하여 웨이퍼(W)의 하면에 근접시키고 (일례로서, 웨이퍼(W) 하면과 하부 플레이트(40) 상면과의 간격 : 0.1∼2mm 정도), 처리액 토출구(41)로부터 액 공급기구(80)에 의해 가열된 순수를 공급한다. 이 가열된 순수는 웨이퍼(W) 하면과 하부 플레이트(40) 상면과의 사이에 충만하고, 웨이퍼(W)를 가열한다.The lower plate 40 is heated to approach the lower surface of the wafer W (for example, the distance between the lower surface of the wafer W and the upper surface of the lower plate 40: about 0.1 to 2 mm), and from the processing liquid discharge port 41. Pure water heated by the liquid supply mechanism 80 is supplied. The heated pure water is filled between the lower surface of the wafer W and the upper surface of the lower plate 40 to heat the wafer W.

또, 이 웨이퍼(W)의 가열중에 웨이퍼(W)를 회전함으로써, 웨이퍼(W)의 가열의 균일성을 향상할 수 있다.Moreover, the uniformity of the heating of the wafer W can be improved by rotating the wafer W during the heating of this wafer W. As shown in FIG.

웨이퍼(W)를 순수 등의 액체에 의해 가열함으로써, 웨이퍼(W)와 하부 플레이트(40)를 별개로 회전 또는 비회전으로 하는 것이 용이하게 되고, 또한 웨이퍼(W) 하면의 오염이 방지된다.By heating the wafer W with a liquid such as pure water, it is easy to rotate or non-rotate the wafer W and the lower plate 40 separately, and contamination of the lower surface of the wafer W is prevented.

이상의 웨이퍼(W)의 가열은 다른 수단에 의해 실행하여도 지장없다. 예컨대, 히터나 램프의 복사열에 의해 웨이퍼(W)를 가열하여도 지장없다. 또한, 경우에 따라서, 가열한 하부 플레이트(40)를 웨이퍼(W)에 접촉함으로써 웨이퍼(W)를 가열하여도 무방하다.The above heating of the wafer W may be performed by other means. For example, the wafer W may be heated by radiant heat of a heater or a lamp. In some cases, the wafer W may be heated by bringing the heated lower plate 40 into contact with the wafer W.

(4) 도금액의 공급(단계 S4 및 도 9)(4) Supply of Plating Solution (Step S4 and FIG. 9)

상부 플레이트(30)를 가열하여 웨이퍼(W)의 상면에 근접시키고(일례로서, 웨이퍼(W) 상면과 상부 플레이트(30) 하면과의 간격 : 0.1∼2mm 정도), 처리액 토출구(31)로부터 도금용 약액(도금액)을 공급한다(일례로서, 30∼100mL/min). 공급된 도금액은, 웨이퍼(W) 상면과 상부 플레이트(30) 하면과의 상이에 충만하여, 컵(50)으로 유출한다. 이 때, 도금액은 상부 플레이트(30)에 의해 온도 조절된다(일례로서, 실온으로부터 60℃ 정도의 범위). 또, 공급되는 도금액은 액 공급기구(80)에 의해 온도 조절되어 있는 것이 바람직하다.The upper plate 30 is heated to approach the upper surface of the wafer W (for example, the distance between the upper surface of the wafer W and the lower surface of the upper plate 30: about 0.1 to 2 mm), and from the processing liquid discharge port 31. The plating liquid (plating liquid) is supplied (for example, 30 to 100 mL / min). The supplied plating liquid fills the gap between the upper surface of the wafer W and the lower surface of the upper plate 30 and flows out into the cup 50. At this time, the plating liquid is temperature-controlled by the upper plate 30 (for example, in the range of about 60 ° C from room temperature). In addition, it is preferable that the plating liquid supplied is temperature-controlled by the liquid supply mechanism 80.

여기서, 웨이퍼 척(20)에 의해 웨이퍼(W)를 회전함으로써, 웨이퍼(W)에 형성되는 도금막의 균일성을 향상할 수 있다. 일례로서, 웨이퍼(W)를 10∼50rpm으로 회전한다.Here, the uniformity of the plating film formed in the wafer W can be improved by rotating the wafer W by the wafer chuck 20. As an example, the wafer W is rotated at 10 to 50 rpm.

또한, 상부 플레이트(30)의 가열은 앞의 단계 S1∼S3의 어딘가에서 선행하여 실행할 수 있다. 상부 플레이트(30)의 가열을 다른 공정과 병행하여 실행함으로써 웨이퍼(W)의 처리 시간을 저감할 수 있다.In addition, the heating of the upper plate 30 can be performed ahead of somewhere in the previous steps S1 to S3. By performing the heating of the upper plate 30 in parallel with other processes, the processing time of the wafer W can be reduced.

이상과 같이, 웨이퍼(W)의 상면에 소망하는 온도로 가열된 도금액을 공급함으로써 웨이퍼(W)에 도금막이 형성된다. 이 도금액의 공급중에 웨이퍼(W)를 회전함으로써, 웨이퍼(W)에의 도금막의 형성의 균일성을 향상할 수 있다.As described above, the plating film is formed on the wafer W by supplying the plating liquid heated at a desired temperature to the upper surface of the wafer W. As shown in FIG. By rotating the wafer W while supplying this plating liquid, the uniformity of the formation of the plating film on the wafer W can be improved.

이상의 도금액을 공급함에 있어서, 이하와 같은 것을 실행하는 것도 가능하다.In supplying the above plating liquid, it is also possible to carry out the following.

1) 도금액의 공급전에, 기판 경사기구(70)에 의해 웨이퍼 척(20) 및 상부 플레이트(30)를 경사시킬 수 있다.1) The wafer chuck 20 and the upper plate 30 can be tilted by the substrate tilt mechanism 70 before the plating liquid is supplied.

웨이퍼(W)가 경사짐으로써, 웨이퍼(W)와 상부 플레이트(30)간의 기체를 조속히 제거하고, 도금액으로 치환할 수 있다. 가령, 웨이퍼(W)와 상부 플레이트(30)간의 기체의 제거가 불완전하다면, 웨이퍼(W)와 상부 플레이트(30)간에 기포가 잔존하여 형성되는 도금막의 균일성이 저해되는 원인으로 된다.As the wafer W is inclined, the gas between the wafer W and the upper plate 30 can be promptly removed and replaced with a plating liquid. For example, if the removal of gas between the wafer W and the upper plate 30 is incomplete, the uniformity of the plated film formed by bubbles remaining between the wafer W and the upper plate 30 is inhibited.

또한, 도금액에 의한 도금막의 형성에 수반하여 기체(예컨대 수소)가 발생하고, 발생한 기체에 의해 기포가 형성되어, 도금막의 균일성이 저해될 가능성도 있다.In addition, with the formation of the plating film by the plating liquid, a gas (for example, hydrogen) is generated, bubbles are formed by the generated gas, and uniformity of the plating film may be impaired.

기판 경사기구(70)에 의해 웨이퍼(W)를 경사시킴으로써, 기포의 발생의 저감 및 발생한 기포의 탈출의 촉진을 도모하여, 도금막의 균일성을 향상하는 것이 가능하게 된다.By inclining the wafer W by the substrate inclination mechanism 70, it is possible to reduce the generation of bubbles and to promote the escape of generated bubbles, thereby improving the uniformity of the plated film.

2) 도금액의 온도를 시간적으로 변화시킬 수 있다.2) The temperature of the plating liquid can be changed in time.

이와 같이 함으로써, 형성되는 도금막의 층 방향에서 그 구조나 조성을 변화시킬 수 있다.By doing in this way, the structure and composition can be changed in the layer direction of the plating film formed.

3) 도금막의 형성중에 있어서의 도금액의 공급을 연속적이 아니라, 간헐적으로 실행할 수도 있다. 웨이퍼(W)상에 공급된 도금액을 효율적으로 소비하여, 그 사용량을 삭감할 수 있다.3) The supply of the plating liquid during the formation of the plating film may be performed intermittently instead of continuously. The plating liquid supplied on the wafer W can be consumed efficiently, and the amount of use thereof can be reduced.

(5) 웨이퍼(W)의 세정(단계 S5 및 도 10)(5) Cleaning the Wafer W (Step S5 and FIG. 10)

웨이퍼(W)를 순수에 의해 세정한다. 이 세정은 상부 플레이트(30)의 처리액 토출구(31)로부터 토출되는 처리액을 도금액으로부터 순수로 바꿈으로써 실행할 수 있다. 이 때, 하부 플레이트(40)의 처리액 토출구(41)로부터 순수를 공급할 수 있다.The wafer W is cleaned with pure water. This cleaning can be performed by changing the processing liquid discharged from the processing liquid discharge port 31 of the upper plate 30 from the plating liquid to pure water. At this time, pure water can be supplied from the processing liquid discharge port 41 of the lower plate 40.

웨이퍼(W)의 세정에, 노즐 아암(61, 62)을 이용할 수도 있다. 이 때에는, 상부 플레이트(30)의 처리액 토출구(31)로부터의 도금액의 공급을 정지하고, 상부 플레이트(30)를 웨이퍼(W)로부터 떼어 놓는다. 그 후에, 노즐 아암(61, 62)을 웨이퍼(W)의 상방으로 이동시켜, 순수를 공급한다. 이 때에도 하부 플레이트(40)의 처리액 토출구(41)로부터 순수를 공급하는 것이 바람직하다.The nozzle arms 61 and 62 can also be used for the washing | cleaning of the wafer W. As shown in FIG. At this time, supply of the plating liquid from the process liquid discharge port 31 of the upper plate 30 is stopped, and the upper plate 30 is removed from the wafer W. As shown in FIG. Thereafter, the nozzle arms 61 and 62 are moved above the wafer W to supply pure water. Also at this time, it is preferable to supply pure water from the processing liquid discharge port 41 of the lower plate 40.

이상의 웨이퍼(W)의 세정중에 웨이퍼(W)를 회전함으로써, 웨이퍼(W)의 세정의 균일성을 향상할 수 있다.The uniformity of cleaning of the wafer W can be improved by rotating the wafer W during the cleaning of the above wafer W. As shown in FIG.

(6) 웨이퍼(W)의 건조(단계 S6 및 도 11)(6) Drying the Wafer W (Step S6 and Fig. 11)

웨이퍼(W)에의 순수의 공급을 정지하고, 웨이퍼(W)를 고속으로 회전함으로써, 웨이퍼(W)상의 순수를 제거한다. 경우에 따라서, 노즐 아암(61, 62)으로부터 질소 가스를 분출하여 웨이퍼(W)의 건조를 촉진하여도 무방하다.The pure water on the wafer W is removed by stopping the supply of pure water to the wafer W and rotating the wafer W at a high speed. In some cases, nitrogen gas may be ejected from the nozzle arms 61 and 62 to accelerate the drying of the wafer W. FIG.

(7) 웨이퍼(W)의 제거(단계 S7 및 도 12)(7) Removal of Wafer W (Step S7 and Fig. 12)

웨이퍼(W)의 건조가 종료된 후, 웨이퍼 척(20)에 의한 웨이퍼(W)의 유지가 정지된다. 그 후, 도시하지 않은 흡인 아암(기판 반송기구)에 의해 웨이퍼(W)가 웨이퍼 척(20)으로부터 제거된다.After the drying of the wafer W is completed, the holding of the wafer W by the wafer chuck 20 is stopped. Thereafter, the wafer W is removed from the wafer chuck 20 by a suction arm (substrate transport mechanism) (not shown).

(제 2 실시형태)(2nd embodiment)

도 13은 본 발명의 제 2 실시형태에 관한 무전해 도금 방법의 공정을 나타내는 흐름도이다. 또한, 도 14a, 14b는 도 13의 공정에 있어서의 웨이퍼(W)의 단면을 나타내는 단면도이다.It is a flowchart which shows the process of the electroless plating method which concerns on 2nd Embodiment of this invention. 14A and 14B are sectional views showing a cross section of the wafer W in the process of FIG. 13.

도 13에 도시하는 바와 같이, 본 발명의 제 2 실시형태에 관한 무전해 도금 방법에서는, 단계 S21∼S22의 순서로 웨이퍼(W)가 처리된다. 이하, 이 처리 순서를 상세히 설명한다.As shown in FIG. 13, in the electroless plating method according to the second embodiment of the present invention, the wafer W is processed in the order of steps S21 to S22. This processing procedure will be described in detail below.

(1) 웨이퍼(W)에의 배리어층의 형성(단계 S21, 도 14a)(1) Formation of Barrier Layer on Wafer W (Step S21, Fig. 14A)

웨이퍼(W)에 배리어층(2a)이 형성된다. 이 배리어층(2a)에는, 무전해 도금액의 환원제에 대하여 촉매 활성을 갖지 않는 비촉매 활성재료에 무전해 도금액의 환원제에 대하여 촉매 활성을 갖는 촉매 활성재료가 혼합(도프)하여 이용된다.The barrier layer 2a is formed on the wafer W. As shown in FIG. In this barrier layer 2a, a catalyst active material having catalytic activity with respect to the reducing agent of the electroless plating solution is mixed (doped) with a noncatalytic active material having no catalytic activity with respect to the reducing agent of the electroless plating solution.

비촉매 활성재료로서, 예컨대 Ta, TaN, W, WN, Ti, TiN 중 어느 하나가 이용된다. 비촉매 활성재료에 촉매 활성재료를 도프함으로써, 배리어층(2a)에 촉매 활성을 부여할 수 있다.As the noncatalytic active material, for example, any one of Ta, TaN, W, WN, Ti, TiN is used. By doping the catalytically active material to the non-catalytically active material, catalytic activity can be imparted to the barrier layer 2a.

촉매 활성재료로서는, 제 1 실시형태에서 나타낸 촉매 활성재료를 무전해 도금액의 환원제에 대응하여 선택할 수 있다.As the catalyst active material, the catalyst active material shown in the first embodiment can be selected corresponding to the reducing agent of the electroless plating solution.

배리어층(2a)의 형성은 예컨대 물리적 성막법에 의해 실행할 수 있다. 구체적으로는, 비촉매 활성재료와 촉매 활성재료를 혼합한 타겟을 이용한(또는 비촉매 활성재료, 촉매 활성재료 각각의 타겟을 동시에 이용한) 스퍼터링법에 의해, 배리어층(2a)을 형성할 수 있다. 이것은 비촉매 활성재료와 촉매 활성재료를 동시에 증발시킨 진공 증착(공증착)에 의해서 실행할 수도 있다.Formation of the barrier layer 2a can be performed by, for example, a physical film formation method. Specifically, the barrier layer 2a can be formed by the sputtering method using the target which mixed the noncatalytic active material and the catalyst active material (or using the target of each of the noncatalytic active material and the catalytically active material simultaneously). . This can also be done by vacuum evaporation (co-deposition) in which the non-catalytically active material and the catalytically active material are evaporated simultaneously.

(2) 웨이퍼(W)의 무전해 도금(단계 S22, 도 14b)(2) Electroless Plating of Wafer W (Step S22, Fig. 14B)

웨이퍼(W)에 대하여 무전해 도금을 실행하여, 무전해 도금막(4a)를 형성한다. 이 경우, 배리어층(2a)에는 도프된 촉매 활성재료에 근거하여, 촉매 활성이 부여되기 때문에, 배리어층(2a)상에 무전해 도금막(4a)이 형성된다.Electroless plating is performed on the wafer W to form an electroless plating film 4a. In this case, since the catalytic activity is imparted to the barrier layer 2a based on the doped catalyst active material, an electroless plating film 4a is formed on the barrier layer 2a.

(제 3 실시형태)(Third embodiment)

도 15는 본 발명의 제 3 실시형태에 관한 무전해 도금 방법의 공정을 나타내는 흐름도이다. 또한, 도 16a, 16b는 도 15의 공정에 있어서의 웨이퍼(W)의 단면을 나타내는 단면도이다.It is a flowchart which shows the process of the electroless plating method which concerns on 3rd Embodiment of this invention. 16A and 16B are sectional views showing a cross section of the wafer W in the process of FIG. 15.

도 15에 도시하는 바와 같이, 본 발명의 제 3 실시형태에 관한 무전해 도금 방법에서는, 단계 S31∼S32의 순서로 웨이퍼(W)가 처리된다. 이하, 이 처리 순서를 상세히 설명한다.As shown in FIG. 15, in the electroless plating method according to the third embodiment of the present invention, the wafer W is processed in the order of steps S31 to S32. This processing procedure will be described in detail below.

(1) 웨이퍼(W)에의 배리어층의 형성(단계 S31, 도 16a)(1) Formation of Barrier Layer on Wafer W (Step S31, Fig. 16A)

웨이퍼(W)에 배리어층(2b)이 형성된다. 이 배리어층(2b)은 무전해 도금액의 환원제에 대하여 촉매 활성을 갖는 촉매 활성재료에 의해 구성된다.The barrier layer 2b is formed on the wafer W. As shown in FIG. The barrier layer 2b is made of a catalytically active material having catalytic activity with respect to the reducing agent of the electroless plating solution.

촉매 활성재료로서는, 제 1 실시형태에서 나타낸 촉매 활성재료를 무전해 도금액의 환원제에 대응하여 선택할 수 있다.As the catalyst active material, the catalyst active material shown in the first embodiment can be selected corresponding to the reducing agent of the electroless plating solution.

배리어층(2b)의 형성은 예컨대 물리적 성막법(예컨대, 스퍼터링법, 진공증착법)이나 화학적 성막법(예컨대, CVD법)에 의해 실행할 수 있다.The barrier layer 2b can be formed by, for example, a physical film formation method (eg, sputtering or vacuum deposition) or a chemical film formation method (eg, CVD).

(2) 웨이퍼(W)의 무전해 도금(단계 S32, 도 16b)(2) Electroless Plating of Wafer W (Step S32, Fig. 16B)

웨이퍼(W)에 대하여 무전해 도금을 실행하여, 무전해 도금막을 형성한다. 이 경우, 배리어층(2b)를 구성하는 촉매 활성재료가 촉매 활성을 갖기 때문에, 배리어층(2b)상에 무전해 도금막(4b)이 형성된다.Electroless plating is performed on the wafer W to form an electroless plating film. In this case, since the catalytically active material constituting the barrier layer 2b has catalytic activity, an electroless plating film 4b is formed on the barrier layer 2b.

(실시예 1)(Example 1)

무전해 도금액을 구성하는 금속염, 환원제 각각에 동염, 글리옥실산을 이용하여, 제 3 실시형태에 대응하는 순서(배리어층을 촉매 활성재료로 구성)로 동의 무전해 도금막을 형성했다.Copper salt and glyoxylic acid were used for each of the metal salt and the reducing agent constituting the electroless plating solution, and a copper electroless plating film was formed in the order corresponding to the third embodiment (the barrier layer is composed of a catalyst active material).

구체적으로는, 하지(배리어층)가 Ru, Ag, Pt, V, In, Ir, Co, Rh 각각에 대하여 동의 무전해 도금을 실행했다. 또한, 비교예로서, 하지가 Cu, TaN, TiN, W, WN, Ta인 경우에 대해서도 동의 무전해 도금을 실행했다.Specifically, the base (barrier layer) performed copper electroless plating on each of Ru, Ag, Pt, V, In, Ir, Co, and Rh. In addition, as a comparative example, copper electroless plating was performed also when the base was Cu, TaN, TiN, W, WN, and Ta.

하지가 Ru, Ag, Pt, Ir인 경우에는 모두, 하지가 Cu인 경우와 비교하더라도 양호한 밀착성, 석출 속도를 나타냈다. 특히 하지가 Ru, Ag인 경우에는 하지가 Cu인 경우보다도 양호한 밀착성을 나타냈다.When the bases were Ru, Ag, Pt, and Ir, all showed good adhesion and precipitation rate even when the bases were Cu. In particular, when the base was Ru and Ag, the adhesion was better than that when the base was Cu.

이에 대하여, WN, Ta는 Cu의 석출 자체가 실행되지 않았다. 또한, 하지가 TaN, TiN, W인 경우에는, Cu의 형성은 실행되지만, 형성된 Cu의 하지에의 밀착성이 양호하다고는 말하기 어려웠다.In contrast, WN and Ta did not execute Cu deposition itself. In addition, when the base was TaN, TiN, W, although Cu was formed, it was difficult to say that the adhesion of the formed Cu to the base was good.

(실시예 2)(Example 2)

무전해 도금액을 구성하는 금속염, 환원제 각각에 동염, 금속염(초산코발트)을 이용하여, 제 3 실시형태에 대응하는 순서(배리어층을 촉매 활성재료로 구성)로 동의 무전해 도금막을 형성했다.The copper electroless plating film was formed in the order (barrier layer which consists of a catalyst active material) corresponding to 3rd Embodiment using the copper salt and the metal salt (cobalt acetate) for each of the metal salt and the reducing agent which comprise an electroless plating liquid.

구체적으로는, 하지(배리어층)가 Ag, Ir, Rh 각각에 대하여 동의 무전해 도금을 실행했다. 또한, 비교예로서, 하지가 Cu, TaN, TiN, W, WN, V, Co, In, Ru, Pt인 경우에 대해서도 동의 무전해 도금을 실행했다.Specifically, the base (barrier layer) performed copper electroless plating on each of Ag, Ir, and Rh. In addition, as a comparative example, copper electroless plating was performed also when the base was Cu, TaN, TiN, W, WN, V, Co, In, Ru, Pt.

하지가 Ag, Ir, Rh인 경우에는 모두, 하지가 Cu인 경우와 비교하더라도 양호한 밀착성, 석출 속도를 나타냈다. 특히, 하지가 Ag인 경우에는 하지가 Cu인 경우보다도 양호한 밀착성을 나타냈다.When the base was Ag, Ir, and Rh, all of them exhibited good adhesion and precipitation rate even when the base was Cu. In particular, when the base was Ag, better adhesion was shown than when the base was Cu.

이에 대하여, 하지가 Ta, TaN, TiN, W, WN, V, In, Ru인 경우에는 모두, Cu의 석출 자체가 실행되지 않았다. 하지가 Pt인 경우에는, Cu의 형성이 실행되지만 충분하지는 않았다. 또한, 하지가 Co, Rh인 경우에는, Cu의 형성은 실행되지만, 형성된 Cu의 하지에의 밀착성이 양호하다고는 말하기 어려웠다.On the other hand, in the case where the base was Ta, TaN, TiN, W, WN, V, In, and Ru, precipitation of Cu was not performed itself. When the base was Pt, formation of Cu was performed but not enough. In addition, when base was Co and Rh, although Cu formation was performed, it was hard to say that the adhesiveness of the formed Cu to the base was favorable.

(그 밖의 실시형태)(Other Embodiments)

본 발명의 실시형태는 이미 기술한 실시형태에는 한정되지 않고, 확장, 변경할 수 있다. 확장, 변경한 실시형태도 본 발명의 기술적 범위에 포함된다.Embodiment of this invention is not limited to embodiment described above, It can expand and change. The extended and changed embodiment is also included in the technical scope of this invention.

예컨대, 기판으로서 웨이퍼(W) 이외의 예컨대 유리판 등을 이용할 수 있다.For example, a glass plate etc. other than the wafer W can be used as a board | substrate.

본 발명에 관한 무전해 도금 방법은, 배리어층상에의 무전해 도금을 다양한 처리에 의해 실현 가능하게 되고, 산업적으로 사용할 수 있다.The electroless plating method according to the present invention can realize electroless plating on a barrier layer by various treatments and can be used industrially.

Claims (16)

소정앗.의 재료의 확산을 제한하는 확산 제한층을 기판상에 형성하는 확산 제한층 형성 단계와, A diffusion limiting layer forming step of forming a diffusion limiting layer on the substrate, the diffusion limiting layer restricting diffusion of a predetermined material; 상기 확산 제한층 형성 단계에서 기판상에 형성된 확산 제한층의 적어도 일부상에, 무전해 도금반응에 있어서의 환원제의 산화반응에 대하여 촉매 활성을 갖고, 또한 상기 소정의 재료와 상이한 촉매 활성재료로 이루어지는 촉매 활성핵을 형성하는 촉매 활성핵 형성 단계와, On at least a part of the diffusion limiting layer formed on the substrate in the diffusion limiting layer forming step, the catalyst has a catalytic activity against oxidation of the reducing agent in the electroless plating reaction, and is made of a catalyst active material different from the predetermined material. A catalyst active nucleus forming step of forming a catalytically active nucleus, 상기 촉매 활성핵 형성 단계에서 촉매 활성핵이 형성된 기판상에, 상기 환원제를 함유하는 무전해 도금액을 이용하여 상기 소정의 재료로 이루어지는 도금막을 형성하는 도금막 형성 단계를 구비하는And a plating film forming step of forming a plating film made of the predetermined material by using an electroless plating solution containing the reducing agent on the substrate on which the catalyst active nucleus is formed in the catalyst active nucleus forming step. 무전해 도금 방법.Electroless Plating Method. 제 1항에 있어서,The method of claim 1, 상기 촉매 활성핵이 상기 확산 제한층상에 불연속으로 형성되어 있는 The catalytically active nucleus is formed discontinuously on the diffusion limiting layer 무전해 도금 방법.Electroless Plating Method. 제 1항에 있어서,The method of claim 1, 상기 소정의 환원제가 포름알데히드, 글리옥실산 중 어느 하나이고, 상기 촉매 활성재료가 Ir, Pd, Ag, Ru, Rh, Au, Pt, Ti의 적어도 어느 하나를 포함하는 The predetermined reducing agent is any one of formaldehyde and glyoxylic acid, and the catalytically active material contains at least one of Ir, Pd, Ag, Ru, Rh, Au, Pt, Ti. 무전해 도금 방법.Electroless Plating Method. 제 1항에 있어서,The method of claim 1, 상기 소정의 환원제가 차아인산염이고, 상기 촉매 활성재료가 Au, Ni, Pd, Ag, Co, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is hypophosphite, and the catalytically active material contains at least one of Au, Ni, Pd, Ag, Co, Pt. 무전해 도금 방법.Electroless Plating Method. 제 1항에 있어서,The method of claim 1, 상기 소정의 환원제가 금속염이고, 상기 촉매 활성재료가 Ag, Rh, Ir, Pd, Au, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is a metal salt, and the catalytically active material contains at least one of Ag, Rh, Ir, Pd, Au, Pt. 무전해 도금 방법.Electroless Plating Method. 제 1항에 있어서,The method of claim 1, 상기 소정의 환원제가 디메틸아민보란이고, 상기 촉매 활성재료가 Ni, Pd, Ag, Au, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is dimethylamine borane, and the catalytically active material contains at least one of Ni, Pd, Ag, Au, and Pt. 무전해 도금 방법.Electroless Plating Method. 소정의 환원제의 산화반응에 대하여 촉매 활성을 갖고, 또한 상기 소정의 재료와 상이한 촉매 활성재료를 포함하고, 소정의 재료의 확산을 제한하는 확산 제한층을 기판상에 형성하는 확산 제한층 형성 단계와, A diffusion limiting layer forming step of forming a diffusion limiting layer on the substrate having a catalytic activity against oxidation reaction of a predetermined reducing agent and containing a catalytically active material different from the predetermined material and limiting the diffusion of the predetermined material; , 상기 확산 제한층 형성 단계에서 확산 제한층이 형성된 기판상에, 상기 소정의 환원제를 함유하는 무전해 도금액을 이용하여 상기 소정의 재료로 이루어지는 도금막을 형성하는 도금막 형성 단계를 구비하는And a plating film forming step of forming a plating film made of the predetermined material on the substrate on which the diffusion limiting layer is formed in the diffusion limiting layer forming step by using an electroless plating solution containing the predetermined reducing agent. 무전해 도금 방법.Electroless Plating Method. 제 7항에 있어서,The method of claim 7, wherein 상기 소정의 환원제가 포름알데히드, 글리옥실산 중 어느 하나이고, 상기 촉매 활성재료가 Ir, Pd, Ag, Ru, Rh, Au, Pt, Ti의 적어도 어느 하나를 포함하는The predetermined reducing agent is any one of formaldehyde and glyoxylic acid, and the catalytically active material contains at least one of Ir, Pd, Ag, Ru, Rh, Au, Pt, Ti. 무전해 도금 방법. Electroless Plating Method. 제 7항에 있어서,The method of claim 7, wherein 상기 소정의 환원제가 차아인산염이고, 상기 촉매 활성재료가 Au, Ni, Pd, Ag, Co, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is hypophosphite, and the catalytically active material contains at least one of Au, Ni, Pd, Ag, Co, Pt. 무전해 도금 방법.Electroless Plating Method. 제 7항에 있어서,The method of claim 7, wherein 상기 소정의 환원제가 금속염이고, 상기 촉매 활성재료가 Ag, Rh, Ir, Pd, Au, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is a metal salt, and the catalytically active material contains at least one of Ag, Rh, Ir, Pd, Au, Pt. 무전해 도금 방법.Electroless Plating Method. 제 7항에 있어서,The method of claim 7, wherein 상기 소정의 환원제가 디메틸아민보란이고, 상기 촉매 활성재료가 Ni, Pd, Ag, Au, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is dimethylamine borane, and the catalytically active material contains at least one of Ni, Pd, Ag, Au, and Pt. 무전해 도금 방법.Electroless Plating Method. 소정의 환원제의 산화반응에 대한 촉매 활성을 갖고, 상기 소정의 재료와 상이한 촉매 활성재료로 이루어지고, 또한 소정의 재료의 확산을 제한하는 확산 제한층을 기판상에 형성하는 확산 제한층 형성 단계와, A diffusion limiting layer forming step of forming a diffusion limiting layer on the substrate having a catalytic activity for oxidation reaction of a predetermined reducing agent, the catalyst active material being different from the predetermined material, and limiting the diffusion of the predetermined material; , 상기 확산 제한층 형성 단계에서 확산 제한층이 형성된 기판상에, 상기 소정의 환원제를 함유하는 무전해 도금액을 이용하여 상기 소정의 재료로 이루어지는 도금막을 형성하는 도금막 형성 단계를 구비하는 And a plating film forming step of forming a plating film made of the predetermined material on the substrate on which the diffusion limiting layer is formed in the diffusion limiting layer forming step by using an electroless plating solution containing the predetermined reducing agent. 무전해 도금 방법.Electroless Plating Method. 제 12항에 있어서,The method of claim 12, 상기 소정의 환원제가 포름알데히드, 글리옥실산 중 어느 하나이고, 상기 촉매 활성재료가 Ir, Pd, Ag, Ru, Rh, Au, Pt, Ti의 적어도 어느 하나를 포함하는The predetermined reducing agent is any one of formaldehyde and glyoxylic acid, and the catalytically active material contains at least one of Ir, Pd, Ag, Ru, Rh, Au, Pt, Ti. 무전해 도금 방법.Electroless Plating Method. 제 12항에 있어서,The method of claim 12, 상기 소정의 환원제가 차아인산염이고, 상기 촉매 활성재료가 Au, Ni, Pd, Ag, Co, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is hypophosphite, and the catalytically active material contains at least one of Au, Ni, Pd, Ag, Co, Pt. 무전해 도금 방법.Electroless Plating Method. 제 12항에 있어서,The method of claim 12, 상기 소정의 환원제가 금속염이고, 상기 촉매 활성재료가 Ag, Rh, Ir, Pd, Au, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is a metal salt, and the catalytically active material contains at least one of Ag, Rh, Ir, Pd, Au, Pt. 무전해 도금 방법.Electroless Plating Method. 제 12항에 있어서,The method of claim 12, 상기 소정의 환원제가 디메틸아민보란이고, 상기 촉매 활성재료가 Ni, Pd, Ag, Au, Pt의 적어도 어느 하나를 포함하는 The predetermined reducing agent is dimethylamine borane, and the catalytically active material contains at least one of Ni, Pd, Ag, Au, and Pt. 무전해 도금 방법.Electroless Plating Method.
KR1020057004928A 2002-09-27 2003-05-23 Method of electroless plating KR20050059178A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2002-00283297 2002-09-27
JP2002283297A JP2004115885A (en) 2002-09-27 2002-09-27 Electroless plating method

Publications (1)

Publication Number Publication Date
KR20050059178A true KR20050059178A (en) 2005-06-17

Family

ID=32040558

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057004928A KR20050059178A (en) 2002-09-27 2003-05-23 Method of electroless plating

Country Status (5)

Country Link
JP (1) JP2004115885A (en)
KR (1) KR20050059178A (en)
CN (1) CN1685081A (en)
AU (1) AU2003241757A1 (en)
WO (1) WO2004029328A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160124011A (en) * 2015-04-16 2016-10-26 도쿄엘렉트론가부시키가이샤 Plating method, recording medium and plating system

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
JP5308622B2 (en) * 2006-12-01 2013-10-09 廖智良 Horizontal electroplating electrodeposition method and horizontal electroless plating method on a substrate
CN101578394B (en) * 2007-07-31 2011-08-03 日矿金属株式会社 Plated material having metal thin film formed by electroless plating, and method for production thereof
JP5399421B2 (en) * 2009-01-30 2014-01-29 Jx日鉱日石金属株式会社 A substrate having an alloy film of a metal element having a barrier function and a metal element having a catalytic function
JP2013213263A (en) * 2012-04-03 2013-10-17 Tokyo Electron Ltd Plating apparatus, plating method, and storage medium
JP5602790B2 (en) * 2012-06-06 2014-10-08 学校法人関東学院 Electroless plating bath and electroless plating film
US9469902B2 (en) * 2014-02-18 2016-10-18 Lam Research Corporation Electroless deposition of continuous platinum layer
JP6201029B1 (en) * 2016-12-26 2017-09-20 日本エレクトロプレイテイング・エンジニヤース株式会社 Electroless platinum plating solution and electroless platinum plating method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000212754A (en) * 1999-01-22 2000-08-02 Sony Corp Plating method, its device and plated structure
JP2001181851A (en) * 1999-10-12 2001-07-03 Sony Corp Plating method and plated structure
US6451689B1 (en) * 1999-10-20 2002-09-17 Rohm Co., Ltd. Method for manufacturing semiconductor device
JP2001355074A (en) * 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
JP2001316834A (en) * 2000-04-28 2001-11-16 Sony Corp Apparatus for electroless plating and method for forming conductive film
JP2002053971A (en) * 2000-08-03 2002-02-19 Sony Corp Plating method, plating structure, method for producing semiconductor device, and semiconductor device
JP4083968B2 (en) * 2000-11-02 2008-04-30 株式会社東芝 Manufacturing method of semiconductor device
JP3850226B2 (en) * 2001-04-02 2006-11-29 株式会社荏原製作所 Substrate processing equipment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160124011A (en) * 2015-04-16 2016-10-26 도쿄엘렉트론가부시키가이샤 Plating method, recording medium and plating system

Also Published As

Publication number Publication date
JP2004115885A (en) 2004-04-15
CN1685081A (en) 2005-10-19
AU2003241757A1 (en) 2004-04-19
WO2004029328A1 (en) 2004-04-08

Similar Documents

Publication Publication Date Title
JP3495033B1 (en) Electroless plating apparatus and electroless plating method
JP4547016B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
US20060081461A1 (en) Electroless plating apparatus and method
US20050196523A1 (en) Electroless plating method and apparatus, and computer storage medium storing program for controlling same
US20040234696A1 (en) Plating device and method
US9255331B2 (en) Apparatus for plating process
US7690324B1 (en) Small-volume electroless plating cell
JP2005539369A (en) Electroless deposition equipment
KR20020074175A (en) Device and method for electroless plating
JP2001355074A (en) Electroless plating method, and apparatus thereof
JP2003129250A (en) Plating apparatus and plating method
JP3985858B2 (en) Plating equipment
KR20050059178A (en) Method of electroless plating
US20050022745A1 (en) Electroless plating method, electroless plating device, and production method and production device of semiconductor device
JP4339045B2 (en) Electroless plating apparatus and electroless plating method
EP1371755A1 (en) Method of electroless plating and apparatus for electroless plating
US20050164499A1 (en) Electroless plating method and apparatus
JP5631815B2 (en) Plating treatment method, plating treatment apparatus, and storage medium
CN1918325A (en) Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20040251141A1 (en) Electroless plating apparatus and electroless plating method
JP2005054257A (en) Electroless plating method
US20240060186A1 (en) Substrate liquid processing apparatus and substrate liquid processing method
JP3886383B2 (en) Plating apparatus and plating method
US20150167174A1 (en) Plating apparatus, plating method, and storage medium
JP2006057171A (en) Electroless plating apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application