KR19990067900A - 플라즈마 화학증착(cvd) 장치 - Google Patents

플라즈마 화학증착(cvd) 장치 Download PDF

Info

Publication number
KR19990067900A
KR19990067900A KR1019990000874A KR19990000874A KR19990067900A KR 19990067900 A KR19990067900 A KR 19990067900A KR 1019990000874 A KR1019990000874 A KR 1019990000874A KR 19990000874 A KR19990000874 A KR 19990000874A KR 19990067900 A KR19990067900 A KR 19990067900A
Authority
KR
South Korea
Prior art keywords
gas
vapor deposition
chemical vapor
substrate
deposition apparatus
Prior art date
Application number
KR1019990000874A
Other languages
English (en)
Inventor
후나키카츠노리
히야마신
Original Assignee
엔도 마코토
고쿠사이 일렉트릭 콤파니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔도 마코토, 고쿠사이 일렉트릭 콤파니 리미티드 filed Critical 엔도 마코토
Publication of KR19990067900A publication Critical patent/KR19990067900A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

상부전극의 주위에 부착력이 약한 반응 부생성물이 부착된 것에 의해 파티클(Particle)의 발생을 억제할 수 있도록 한 것이다.
플라즈마 화학증착 장치는 진공용기(200)와, 상부전극(210) 및 하부전극(220)을 갖는다. 상부전극(210)의 가스분산판(213)의 단부는 아래로 향한 완상(椀狀; 주발형상)으로 형성되어 있다. 그리고 그 단부는 하부전극(220)의 기판재치면(221)에 재치(載置; 놓여진)된 피처리기판(W)의 상면보다 아래에 연재(延在)되어 있다.

Description

플라즈마 화학증착(CVD) 장치 {PLASMA CVD DEVICE}
본 발명은 평행 평판형 플라즈마 화학증착(Chemical Vapor Deposition; CVD) 장치에 관한 것으로, 특히 평행 평판전극이 수평으로 구성된 플라즈마 화학증착 장치에 관한 것이다.
일반적으로 반도체 디바이스와 액정표시 다바이스 등의 고체 디바이스를 제조하기 위해서는 이 고체 디바이스의 기판(반도체 디바이스의 웨이퍼, 액정표시 디바이스의 글라스기판 등)의 표면에 소정의 박막을 형성하는 성막장치를 필요로 한다.
이 성막장치로서는 화학반응을 사용한 소정의 박막을 형성하는 화학증착 장치가 있다. 이 화학증착 장치로서는 화학반응을 촉진하기 위하여 활성화 에네르기로 플라즈마를 이용하는 플라즈마 화학증착 장치가 있다.
이 플라즈마 화학증착 장치로서는 플라즈마를 생성하기 위한 전원으로, 고주파전원을 이용한 고주파방전형 플라즈마 화학증착 장치가 있다. 또한, 이 플라즈마 화학증착 장치로서는 플라즈마를 생성하기 위한 전극으로, 평행 평판전극을 이용한 평행 평판형의 플라즈마 화학증착 장치가 있다. 이 평행 평판형의 플라즈마 화학증착 장치로서는 평행 평판전극을 수평으로 구성한 수평 평행 평판형의 플라즈마 화학증착 장치가 있다.
도 15는 플라즈마 생성용의 전극으로 수평 평행 평판전극을 이용하여 플라즈마 생성용 전원으로 고주파전원을 이용한 종래의 플라즈마 화학증착 장치의 구성을 나타낸 측단면도이다.
도시된 플라즈마 화학증착 장치는 진공용기(100)의 내부에 2개의 평판전극(110, 120)을 구성하고, 이것들 사이에 고주파전원(130)으로부터 고주파전력을 인가하는 것에 의해 반응가스를 플라즈마화하며, 이 플라즈마에 의한 반응가스를 여기(勵起)시켜 피처리기판(W)상에 소정의 박막을 형성하도록 되어 있다.
이 플라즈마 화학증착 장치에 의해 형성된 소정의 박막으로는, 아멀포스 실리콘막(a-Si막)이 있다. 이 아멀포스 실리콘막을 형성하는 경우는 반응가스로서 통상 SiH4가스와 H2가스가 이용된다.
그러나, 상술한 종래의 플라즈마 화학증착 장치에서는 아멀포스 실리콘막을 형성하는 경우, 상부전극(110)의 주위에 파우더상태의 반응 부생성물이 부착된다. 이 반응 부생성물은 부착력이 약하기 때문에 파티클의 원인이 되는 문제가 있었다.
따라서, 본 발명은 상부전극의 주위에 부착력이 약한 반응 부생성물이 부착되는 것에 의해 파티클의 발생을 억제할 수 있는 플라즈마 화학증착 장치를 제공하기 위한 것이 목적이다.
도 1은 본 발명에 따른 플라즈마 화학증착 장치의 제 1실시형태의 구성을 나타낸 측단면도,
도 2는 제 1실시형태의 동작을 설명하기 위한 측단면도,
도 3은 제 1실시형태의 구체적인 구성의 일례를 나타낸 측단면도,
도 4는 제 1실시형태의 구체적인 구성의 일부를 나타낸 측단면도,
도 5는 제 1실시형태의 구체적인 구성의 동작을 설명하기 위한 측단면도,
도 6은 본 발명에 따른 플라즈마 화학증착 장치의 제 2실시형태의 구성을 나타낸 측단면도,
도 7은 제 2실시형태의 구체적인 구성의 일례를 나타낸 측단면도,
도 8a 내지 도 8c는 본 발명에 따른 플라즈마 화학증착 장치의 제 3실시형태의 요부구성을 나타낸 측단면도,
도 9는 본 발명에 따른 플라즈마 화학증착 장치의 제 4실시형태의 구성을 나타낸 측단면도,
도 10은 제 4실시형태의 효과를 설명하기 위한 측단면도,
도 11운 제 4실시형태의 효과를 설명하기 위한 측단면도,
도 12는 제 4실시형태의 구체적인 구성의 일례를 나타낸 측단면도,
도 13은 본 발명에 따른 플라즈마 화학증착 장치의 제 5실시형태의 구성을 나타낸 측단면도,
도 14는 제 5실시형태의 구체적인 구성의 일례를 나타낸 측단면도,
도 15는 종래의 플라즈마 화학증착 장치의 구성을 나타낸 측단면도.
♣ 도면의 주요부분에 대한 부호의 설명 ♣
1A: 반응실 1a, 41a: 수평부
1b: 상측 가스분산판2A: 배기실
2a, 42a: 경사부2b, 22b: 하측 가스분산판
11a: 기판반입구11b, 31b: 상측 절연체
12a: 기판반출구12b, 32b: 하측 절연체
21a: 상측 본체21b: 상측 가스분산판
22a: 하측 본체31a: 배기공
41b: 평면부51a: 측면
51b: 평면부52b: 통상부
61a, 62a, 71a, 72a, 71b, 72b, 81b, 82b: 방전면
81a, 82a, 91a, 101a, 102a: 면200, 400, 600: 진공용기
201, 601: 상측용기202, 602: 하측용기
203, 603: 배기구210, 430, 610: 상부전극
211, 431, 611: 가스분산부212, 403, 432, 612: 천판(상판)
213, 433, 613: 가스분산판214, 434, 614: 가스분산공
220, 440, 620: 하부전극221, 621: 기판재치면
222, 622: 측면
230, 300, 310, 450, 580, 590, 630, 700, 710, 730, 770, 800, 840: 절연체
240, 640: 지지판241, 641: 배기공
250, 480, 650: 가스도입부260, 290, 490, 500, 690, 722: 히터선
270, 530, 670, 750, 820: 직류저지 콘덴서
280, 540, 680, 760, 830: 고주파전원
311, 591, 711: 가스접면401: 외조본체
402: 내조본체410, 420: 게이트밸브
460, 560: 승강로드470: 지지체
471: 수직부472: 수평부
510, 520: 분위기배출부550: 지지핀
570: 압력검출센서720: 히터
721: 히터본체740: 급전단자
810: 급전체W: 피처리기판
상기 과제를 해결하기 위한 본 발명의 플라즈마 화학증착 장치는 상부전극의 단부를 하부전극의 상면에 재치한 피처리기판의 상면보다 아래에 연재시킴으로써, 상부전극의 주위에 부착력이 약한 반응 부생성물이 부착되도록 하여 파티클의 발생을 억제시킨 것이다.
즉 플라즈마 화학증착 장치는 수평으로 또는 대향되게 구성된 상부전극과 하부전극 사이에 전력을 인가하여 성막*용 반응가스를 플라즈마화하고, 이 플라즈마에 의하여 반응가스를 여기하여 하부전극의 상면에 재치된 피처리기판의 표면에 소정의 박막을 형성한 장치에 있어서, 상부전극의 단부가 하부전극의 상면에 재치된 피처리기판의 상면보다 하방으로 연재되도록 한 것이 특징이다.
이러한 플라즈마 화학증착 장치는 상부전극의 단부가 하부전극의 상면에 재치된 피처리기판의 상면보다 아래로 연재되도록 함으로써, 피처리기판의 상방에 존재하는 부착력이 약한 반응 부생성물을 감소시킬 수 있다. 이것은 상부전극의 방전면에는 부착력이 강한 박막은 형성되지만, 부착력이 약한 반응 부생성물은 부착되지 않는다. 따라서, 반응 부생성물의 낙화로 파티클의 발생이 억제된다. 이 결과, 파티클의 부착에 의한 피처리기판의 오염이 억제되고, 품질의 향상이 도모된다.
플라즈마 화학증착 장치는 상기에서, 상부전극의 단부에 절연체가 설치되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 상부전극의 단부에 절연체가 설치되어 있고, 이 단부에는 국소 방전이 발생하는 것을 방지할 수 있다.
플라즈마 화학증착 장치는 상기에서, 절연체의 복수면 내에 성막시에 반응가스에 접하는 면이 상방을 향하도록 설정되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 절연체에 접하는 가스가 상방을 향하도록 설정되어 있어서, 성막시 이 접하는 가스면에 부착된 반응 부생성물이 기류에 의해 상방으로 날려 올라가는 것을 억제할 수 있다. 따라서, 반응 부생성물의 날려 올라가는 파티클의 발생을 억제할 수 있다.
플라즈마 화학증착 장치는 상기에서, 절연체의 복수의 면위에 성막시에 반응가스에 접하는 면이 피처리기판의 반송시에 이 처리기판의 반송로에 면하지 않도록 설정되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 절연체에 접하는 가스면을 피처리기판의 반송시에 이 피처리기판의 반송로에 면하지 않도록 설정되어 있어서, 피처리기판의 반송시, 이 반송에 의한 절연체 부근에 기류가 발생되어도 절연체의 접하는 가스면에 부착된 반응 부생성물의 날려 올라감이 억제된다. 따라서, 반응 부생성물의 날려 올라가는 파티클의 발생이 억제된다.
플라즈마 화학증착 장치는 상기에서, 상부전극의 단부의 방전면이 절연되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 상부전극의 단부의 방전면이 절연되어 있어서, 피처리기판의 주위에서의 방전을 억제시킬 수 있다. 따라서, 상부전극의 단부의 연장에 의해 상부전극의 방전면의 면적이 종래보다 확대되어 있음에도 불구하고 피처리기판의 상방에서의 플라즈마 밀도의 저하를 방지할 수 있다. 그 결과, 피처리기판의 상방에서의 플라즈마 처리효율의 저하를 방지할 수 있어 막 두께(膜厚)의 분포특성의 악화를 방지할 수 있다.
또한, 이와 같은 구성에 의하면, 피처리기판의 표면에 형성된 박막내로 유입되어 들어가는 전자량이 증대되는 것을 방지할 수 있다. 따라서, 전자의 유입량의 증대에 의한 막응력(膜??力)의 증대를 방지할 수 있다. 그 결과, 피처리기판의 표면에 형성된 박막이 벗겨지는 것을 방지할 수 있다.
플라즈마 화학증착 장치는 상기에서, 상부전극의 단부의 방전면을 이 상부전극의 중심축을 중심으로 링형상으로 2개의 방전면으로 나누면, 내측의 방전면이 절연체에 의해 절연되고, 내측의 방전면이 절연가공에 의해 절연되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 내측의 방전면을 절연한 경우, 절연체로 절연되어 있어서, 절연가공에 의해 절연된 경우에 비하여 피처리기판의 주위에서의 방전을 억제하는 효과를 높일 수 있다.
또한, 이 플라즈마 화학증착 장치는 외즉의 방전면을 절연한 경우, 절연가공에 의해 절연되어 있어서, 절연체에 의해 절연된 경우에 비하여 내측의 방전면에 설치된 절연체에 부착력이 약한 반응 부생성물이 부착되지 않도록 할 수 있다. 또한, 이와 같은 구성에서는 외측의 방전면에도 부착력이 약한 반응 부생성물이 부착되지 않도록 할 수 있다. 또, 이 경우, 외측의 방전면에는 플라즈마 밀도가 저하한 만큼 부착력이 약한 반응 부생성물이 부착되지 않는다.
플라즈마 화학증착 장치는 상기에서, 상부전극의 단부의 방전면이 하방을 향하여 서서히 확대되도록 설정되어 있어서, 이 상부전극의 단부를 하방으로 연장하는 것에도 불구하고, 가스 크리닝시, 이 단부에서 크리닝 가스의 흐름을 방해하지 않는다. 따라서, 플라즈마를 사용한 가스 크리닝에 의해 상부전극의 방전면에 형성된 막을 제거한 경우, 이 제거를 용이하게 수행할 수 있다.
플라즈마 화학증착 장치는 상기에서, 절연체의 복수의 면위에 성막시에 반응가스에 접하는 면이 상부전극의 단부의 방전면의 연장면을 이루도록 설정되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 절연체의 접하는 가스면을 상부전극의 단부의 방전면의 연장면을 이루도록 설정되어 있어서, 이 접하는 가스면에서 가스의 흐름을 방해하지 않도록 할 수 있다. 따라서, 성막시에 이 접하는 가스면에 대한 반응 부생성물의 부착을 억제할 수 있고, 가스 크리닝시는 이 접하는 가스면에 부착된 반응 부생성물을 효율적으로 에칭할 수 있다. 그 결과, 가스 크리닝에 의한 크리닝시간을 단축시킬 수 있다.
플라즈마 화학증착 장치는 상기에서, 상부전극의 단부가 피처리기판의 반송로보다 아래까지 연재되고, 또한, 이 기판반송로 부근에서 수평으로 분할되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 상부전극의 단부가 피처리기판의 반송로보다 아래까지 연재되고, 또한, 이 기판반송로 부근에서 수평으로 분할되어 있어서, 상부전극의 단부가 기판반송로의 아래가지 연재되어 있음에도 불구하고, 기판반송시의 진공용기의 개구폭의 증대를 방지할 수 있다.
플라즈마 화학증착 장치는 상기에서 상부전극이 1개소이상으로 수평 분할되고, 각 분할영역마다 독립적으로 급전되게 되어 있는 것이 특징이다.
이 플라즈마 화학증착 장치는 상부전극이 1개소이상으로 수평 분할되고, 각 분할영역마다 독립적으로 급전되도록 되어 있어서, 진공용기의 내부를 플라즈마를 사용하여 가스 크리닝하는 경우, 크리닝 속도가 늦어지는 부분에는 큰 전력을 공급할 수 있다. 따라서, 크리닝 효율을 높일 수 있다.
플라즈마 화학증착 장치는 상기에서, 성막용(成膜用)의 진공용기가 내조와 외조를 구비한 2조(槽) 구조의 용기이고, 상부전극과 하부전극이 내조의 중앙에 구성되어 있는 것이 특징이다.
이와 같은 플라즈마 화학증착 장치는 상부전극의 단부의 구조에 특징이 있기 때문에 진공용기가 1조 구조의 장치뿐만 아니라 2조 구조의 장치에도 적용할 수 있다.
이하 도면을 참조하여 본 발명에 관한 플라즈마 화학증착 장치의 실시형태를 상세하게 설명한다.
〔1〕제 1실시형태
〔1-1〕구성
도 1은 본 발명에 관한 플라즈마 화학증착 장치의 제 1실시형태의 구성을 나타낸 측단면도이다. 또한, 도 1에는 본 발명을 1조 구조의 진공용기를 갖는 플라즈마 화학증착 장치에 적용한 경우를 대표적으로 나타낸 것이다.
도시된 플라즈마 화학증착 장치는 진공용기(200)를 갖는다. 이 진공용기(200)는 예를 들면, 사각형의 상자형상으로 형성되어 있다. 또한, 이 진공용기(200)는 수평으로 분할되고, 상측용기(201)과 하측용기(201)로 나뉘어진다. 상측용기(201)은 미리 정해진 위치에 고정되어 있다. 이에 대하여 하측용기(202)는 도시되지 않은 승강기구에 의해 승강구동되게 되어 있다.
진공용기(200)의 내부에는 평행 평판전극의 상부전극(210)과 하부전극(220)이 구성되어 있다. 이것은 상호 대향되도록 또는 수평으로 구성되어 있다. 상부전극(210)은 예를 들면, 석영인 절연체(230)를 통해 상측용기(201)에 지지되어 있다. 하부전극(220)은 하측용기(202)에 링형상의 지지판(240)을 통해 지지되어 있다. 이 경우 하부전극(220)은 진공용기(210)의 내부를 반응실(1A)와 배기실(2A)로 분할되도록 구성되어 있다.
상부전극(210)은 상자형상으로 형성되어 있다. 이 상자형상의 상부전극(210)의 내부는 반응가스와 크리닝 가스 등을 분산시키기 위한 분산부(211)가 있다. 이 상부전극(210)의 천판(天板; 상판)(212)에는 반응가스 등을 가스분산부(211)로 도입시키기 위한 도입부(250)가 접속되어 있다. 또한, 이 천판(212)에는 반응가스 등을 가열하기 위한 히터선(260)이 매설되어 있다. 상부전극(210)의 저판(213)에는 복수의 가스분산공(214)이 형성되어 있다. 이하 이 저판(213)을 가스분산판이라고 한다.
하부전극(220)의 상면에는 기판재치면(221)이 설정되어 있다. 이 기판재치면(221)에는 성막시 처리를 위한 기판(W)이 재치되어 있다. 이 기판재치면(221)은 진공용기(200)의 분할위치 부근에 위치가 결정되어 있다. 다시 말해서, 기판반송로 부근에 설정되어 있다. 또한, 이 하부전극(220)에는 성막시 피처리기판(W)을 가열하기 위한 히터선(290)이 매설되어 있다.
상기 하부전극(220)의 지지판(240)에는 반응실(1A)의 분위기를 배기실(2A)에 배출하기 위한 복수의 배기공(241)이 형성되어 있다. 또한, 상기 진공용기(200)의 저판에는 배기공(2A)의 분위기를 배출하기 위한 배출구(203)가 형성되어 있다.
상기 가스도입부(250)에는 직류저지 콘덴서(270)을 통해 고주파전원(280)이 접속되어 있다. 따라서, 상부전극(210)은 직류저지 콘덴서(270)를 통해 고주파전원(280)에 접속된다. 또한, 상기 진공용기(200)의 하측용기(202)는 접지되어 있다. 따라서, 하부전극(220)은 지지판(240)과 하측용기(202)를 통해 접지된다. 그 결과, 상부전극(210)과 하부전극(220)의 사이에는 성막시 고주파전력이 인가된다.
상기 가스분산판(213)은 하방으로 향하게 주발형상으로 형성되어 있다. 이 경우, 이 가스분산판(213)의 단부는 기판재치면(221)에 재치된 피처리기판(W)의 상면보다 아래로 연재되어 있다. 도면에는 가스분산판(213)의 단부를 기판재치면(221) 부근까지 연재된 경우를 나타낸 것이다. 또한, 도면에는 이 단부를 기판반송로(진공용기(200)의 분할위치 부근)의 바로 앞까지 연장되어 있는 경우를 나타낸 것이다.
가스분산판(213)의 단부의 방전면은 하방으로 향함에 따라 서서히 확대되도록 설정되어 있다. 이 경우, 이 방전면은 가스분산판의 중심축을 중심으로 하여 링형상으로 2개로 분할되어 있다. 그리고, 내측의 방전면(1a)은 수평으로 설정되고, 외측의 방전면(2a)은 내측의 방전면(1a)에 대하여 90°보다 큰 각도를 이루도록 설정되어 있다. 이하, 내측의 방전면(1a)을 수평부라고 하고, 외측의 방전면(2a)을 경사부라고 한다. 하부전극(220)의 측면(222)은 경사부(2a)와 병렬이 되도록 설정한다.
가스분사판(213)의 단부의 방전면내에 수평부(1a)에는 알루미나 등으로 형성된 링형상의 절연체(300)가 붙게 된다. 또한, 경사부(2a)는 알루미나 용사(Spraying), 알루마이트 가공 등에 의해 절연가공된다.
가스분산판(213)의 단부에는 알루미나 등에 의해 형성된 링형상의 절연체(310)가 설치되어 있다. 이 링형상의 절연체(310)은 예를 들면, 하측용기(202)에 취부되어 있다. 이 절연체(310)내에 성막시에 반응가스 등에 접하는 면(311)은 하부전극(220)의 측면(222)과 각각 평행을 이루도록 경사져 있다. 이상이 제 1의 실시형태의 구성이다.
〔1-2〕동작
상기 구성에 있어서, 피처리기판(W)의 표면에 소정의 박막을 형성하는 경우의 동작과 가스 크리닝 동작을 행하는 경우의 동작을 설명한다. 먼저, 성막을 수행하는 경우의 동작을 설명한다.
이 경우, 우선 도 2에 나타낸 것에 의하면, 하측용기(202)가 도시되지 않은 승강기구에 의해 하강된다. 따라서, 진공용기(200)가 열린다. 다음으로 처리를 위한 기판(W)이 기판반송장치(320)에 의해 진공용기(200)의 내부에 반입되고, 하부전극(220)의 상면에 설정된 기판재치면(221)에 재치된다. 다음으로 하측용기(202)가 도시되지 않은 승강기구에 의해 상승된다. 따라서, 도 1에 나타난 것과 같이 진공용기(200)가 닫힌다.
다음으로 진공용기(200)의 내부가 진공배기된다. 따라서, 진공용기(200)의 내부에 포함된 분위기가 배기구(203)를 통해 배출된다. 이에 의하여, 진공용기(200)의 내부가 미리 정해져 진공상태로 설정된다.
진공용기(200)의 내부가 미리 정해진 진공상태가 되고, 성막용의 반응가스가 가스도입부(250)를 통해 가스분산부(211)에 도입된다. 가스분산부(211)에 도입된 반응가스는 가스분산판(213)의 가스분산공(214)을 통해 전극(210) 및 (220) 사이에 분산된다. 이때, 진공용기(200)의 내부의 진공배기는 그때까지 계속된다. 또한, 진공용기(200)의 내부압력이 검출되고, 그 검출출력에 기초하여 진공배기량이 제어된다. 따라서, 진공용기(200)의 내부압력이 미리 정해진 압력으로 설정된다.
진공용기(200)의 내부압력이 미리 정해진 압력이 되고, 전극(210) 및 (220) 사이에 고주파전원(280)으로부터 고주파전력이 인가된다. 따라서, 반응가스가 플라즈마화된다. 그리고, 이 플라즈마에 의해 반응가스의 분자가 여기된다. 그러므로 피처리기판(W)의 표면에 소정의 박막이 형성된다. 이 경우, 미반응가스 등은 배기공(241)과 배기실(2A) 및 배기공(203)을 통해 배출된다.
피처리기판(W)의 표면에 소정의 박막이 형성되고, 반응가스의 공급이 정지된다. 다음으로, 하측용기(202)가 도 2에 나타난 바와 같이, 승강기구에 의해 하강된다. 따라서, 진공용기(200)가 열린다. 다음으로, 도 2에 나타난 바와 같이, 피처리기판(W)이 기판반송장치(320)에 의해 진공용기(200)의 외부로 반송된다. 이는 다음의 피처리기판(W)에 대한 상술한 처리가 실행된다. 이하 동일한 방식으로 각 피처리기판(W)마다 상술한 처리를 되풀이하게 된다.
이상이 성막을 행하는 경우의 동작이다. 다음으로, 가스 크리닝을 행하는 경우의 동작을 설명한다.
이 경우는, 하부전극(220)의 기판재치면(221)에 피처리기판(W)을 재치하지 않은 상태로, 진공용기(200)의 내부가 진공배기된다. 진공용기(200)의 내부가 소정의 진공상태로 되고, 가스 크리닝용의 크리닝 가스가 가스도입부(250)를 통해 가스분산부(211)에 도입된다. 가스분산부(211)에 도입된 크리닝 가스는 가스분산판(213)에 의한 전극(210) 및 (220) 사이에 분사된다. 이때, 진공용기(200)의 내부의 진공배기는 그대로 계속된다. 또한, 진공용기(200)의 내부의 압력이 미리 정해진 압력이 되도록 진공배기량이 제어된다.
진공용기(200)의 내부의 압력이 미리 정해진 압력이 되고, 전극(210) 및 (220) 사이에 고주파전력이 인가된다. 따라서, 크리닝 가스가 플라즈마화되고, 이 플라즈마에 의해 크리닝 가스의 분자가 여기된다. 그러므로, 가스분산판(213)의 방전면에 형성된 박막과 절연체(310)의 접하는 가스면(311)에 부착된 반응 부생성물이 에칭된다. 에칭된 박막과 반응 부생성물은 배기공(241)과 배기실(2A)과 배기구(203)를 통하여 배출된다. 이상이 가스크리닝 동작이다.
〔1-3〕효과
이상에서 상술한 본 실시형태에 의하면, 다음과 같은 효과를 얻을 수 있다.
(1) 또한, 본 실시형태에 의하면, 상부전극(210)의 가스분산판(213)의 단부를 하부전극(220)의 기판재치면(221)에 재치된 피처리기판(W)의 상면보다 아래로 연재되게 됨으로써, 피처리기판(W)의 상면보다 상방으로 존재하는 반응 부생성물을 감소시킬 수 있다. 이것은 가스분산판(213)의 방전면에는 부착력이 강한 박막이 형성되지만, 부착력이 약한 반응 부생성물(E)은 부착되지 않는다.
따라서, 반응 부생성물의 낙하에 의한 파티클의 발생을 억제할 수 있다. 그 결과, 파티클의 부착에 의한 피처리기판(W)의 오염을 억제할 수 있으므로, 기판(W)의 품질을 향상시킬 수 있다.
또한, 파티클의 부착에 의한 피처리기판(W)의 오염을 억제할 수 있으므로, 플라즈마 화학증착 장치의 유지 사이클을 연장시킬 수 있다. 그 결과, 처리량을 향상시킬 수 있다.
(2) 또한, 본 실시형태에 의하면, 가스분산판(213)의 단부에 절연체(310)를 설치함으로써, 가스분산판(213)의 단부를 절연체(230)와 평행으로 연재함에도 불구하고 이 단부에서의 국소방전의 발생을 방지할 수 있다.
(3) 또한, 본 실시의 형태에 의하면, 절연체(310)의 접하는 가스면(311)이 수평면과 90°보다 큰 각도를 갖도록 함으로써, 이 접하는 가스면(311)이 상방을 향하지 않도록 할 수 있다. 따라서, 성막시 이 접하는 가스면(311)에 부착된 반응 부생성물이 기류에 의해 상방으로 날려 올라 가는 것을 억제할 수 있다. 그 결과, 반응 부생성물의 날려 올라가는 파티클의 발생을 억제할 수 있다.
(4) 또한, 본 실시형태에 의하면, 절연체(310)를 하측용기(202)에 취부되도록 함으로써, 피처리기판(W)의 반송시(진공용기(200)의 내부로의 반입시 및 진공용기(200) 내부로부터 반입시), 절연체(310)의 접하는 가스면(311)이 피처리기판(W)의 반송로에 닿지 않도록 할 수 있다.
따라서, 피처리기판(W)의 반송시, 이 피처리기판(W)의 반송에 의한 절연체(310) 부근에 기류가 발생되어도 절연체(310)의 접하는 가스면(311)에 부착된 반응 부생성물의 날려 올라감을 억제할 수 있다. 그 결과, 반응 부생성물의 날려 올라가는 파티클의 발생을 억제할 수 있다.
(5) 또한, 본 실시형태에 의하면, 가스분산판(213)의 단부의 방전면을 절연시킴으로써, 가스분산판(213)의 단부를 종래보다 연장시킴에도 불구하고, 피처리기판(W)의 주위에서의 방전을 억제할 수 있다. 따라서, 피처리기판(W)의 상방에서의 플라즈마 밀도의 저하를 방지할 수 있으므로, 여기에서의 플라즈마 처리효율의 저하를 방지할 수 있다. 그 결과, 막의 두께에 관한 분포특성의 저하를 방지할 수 있다.
(6) 또한, 본 실시형태에 의하면, 가스분산판(213)의 단부의 방전면을 절연시킴으로써, 가스분산판(213)의 단부를 종래보다 연장시킴에도 불구하고, 방전면의 면적의 증대를 실질적으로 억제할 수 있다. 따라서, 피처리기판(W)의 표면에 형성된 박막중에 포함된 전자량의 증대를 억제할 수 있어 막응력의 증대를 억제시킬 수 있다. 이로써 피처리기판(W)으로부터 박막이 벗겨지는 것을 방지할 수 있다.
(7) 또한, 본 실시형태에 의하면, 가스분산판(213)의 단부의 벙전면의 수평부(1a)를 절연한 경우, 절연체(300)에 의해 절연됨으로써, 절연가공에 의한 절연된 경우에 비하여 피처리기판(W)의 주위에 방전을 억제하는 효과를 높일 수 있다.
(8) 또한, 본 실시형태에 의하면, 가스분산판(213)의 단부의 방전면의 경사부(2a)를 절연한 경우, 절연가공에 의해 절연됨으로써, 절연체에 의해 절연된 경우에 비하여 수평부(1a)의 절연체(300)에 부착력이 약한 반응 부생성물이 부착되지 않도록 할 수 있다. 또한, 이러한 구성에 의하면, 경사부(2a)에도 부착력이 약한 반응 부생성물이 부착되지 않도록 할 수 있다. 이것은 이러한 구성에 의하면, 경사부(2a)에 반응 부생성물의 부착을 방지하는 것이 가능한 플라즈마 밀도를 확보할 수가 있다.
(9) 또한, 본 실시형태에 의하면, 가스분산판(213)의 단부의 방전면을 하방으로 향함에 따라 서서히 확대시키도록 되어 있어, 가스분산판(213)의 단부를 하방으로 연재시킴에도 불구하고, 가스 크리닝시, 이 단부의 방전면에서 크리닝 가스의 흐름을 방해하는 것을 방지할 수 있다. 따라서, 플라즈마를 사용한 가스 크리닝에 의하여 상부전극의 방전면에 형성된 막을 제거한 경우, 이 제거를 용이하게 수행하는 것이 가능하다.
(10) 또한, 본 실시형태에 의하면, 절연체(310)의 접하는 가스면(311)을 가스분산판(213)의 단부의 방전면의 경사부(2a)와 같은 경사면으로써, 접하는 가스면(311)을 경사부(2a)의 연장면을 이루도록 할 수 있다. 따라서, 이 접하는 가스면(311)에 가스의 흐름을 방해하지 않도록 할 수 있어, 성막시에는 이 접하는 가스면(311)에 대한 반응 부생성물의 부착을 억제할 수 있고, 가스 크리닝시에는 이 접하는 가스면(311)에 부착된 반응 부생성물을 효율적으로 에칭하는 것이 가능하다. 그 결과, 가스 크리닝에 의한 크리닝시간을 단축시킬 수 있다.
(11) 또한, 본 실시형태에 의하면, 하부전극(220)의 기판재치면(221)을 기판반송로 부근에 설정되도록 되어 있어 피처리기판(W)의 반송시, 진공용기(200)의 개구폭(Y)(도 2참조)을 작게할 수 있다.
(12) 또한, 본 실시형태에 의하면, 히터선(260) 및 (290)에 의하여 반응실(1A)의 내부를 고온으로 유지할 수 있더, 성막처리에 의한 반응실(1A)내부에 형성된 퇴적물(박막과 반응 부생성물 등)로부터의 이물질의 발생을 억제시킬 수 있다.
〔1-4〕실시예
여기에서, 본 실시형태의 일 실시예를 설명한다. 하부전극(220)의 기판재치면(221)에 피처리기판(W)으로, 650mm×550mm의 글라스기판을 재치하고, 반응실(1A)을 진공상태로 만들어 그 진공도를 1×10-3Torr이하로 유지하며, 반응실(1A)에 성막용의 SiH4가스와 H2가스를 각각 200SCCM 흘리고, 전극(210) 및 (220) 사이에 13.56MHz, 200W의 고주파전력을 인가하여 플라즈마를 생성시켜 글라스기판의 표면에 아멀포스 실리콘막을 형성하는 실험을 하였다.
실혐의 결과, 종래의 플라즈마 화학증착 장치는 클라스기판에 부착된 파티클 수가 2000개/cm2인 것에 대하여 본 실시형태의 플라즈마 화학증착 장치에서는 글라스기판에 부착된 파티클 수가 100개/cm2으로 감소하였다.
또한, 종래의 플라즈마 화학증착 장치는 5000Å/min 두께의 아멀포스 실리콘막을 생성한 후에 진공용기의 아멀포스 실리콘막과 반응 부생성물을 모두 에칭하는 데 15분이 소요되는 데 대하여, 본 실시형태의 플라즈마 화학증착 장치에서는 9분의 에칭이 가능하다.
또한, 본 실시형태의 장치에서, 가스분산판(213)의 단부를 절연하지 않은 경우는 막응력으로서, 500Mpa의 응력이 발생하고, 본 실시형태에 의해 절연된 경우는 이것을 다시 50Mpa까지 저감시킬 수 있다.
또한, 본 실시형태의 장치에서, 가스분산판(213)의 단부를 절연하지 않은 경우는 막 두께의 균일성이 15%이상인 것에 대하여 본 실시형태에 의해 절연된 경우는 막 두께의 균일성을 5%이내로 억제가 가능하다.
〔1-5〕구체예
〔1-5-1〕구성
도 3은 본 실시형태의 구체적인 구성의 일례를 나타낸 측단면도이다. 또한, 도면에서는 본 실시형태를 2조 구조의 진공용기를 갖는 플라즈마 화학증착 장치에 적용한 경우를 나타낸 것이다.
도시의 플라즈마 화학증착 장치는 2조 구조의 진공용기(400)가 형성된 것이다. 이 진공용기(400)는 외조의 측벽과 저판이 이루어진 외조본체(401)와, 내조의 측벽과 저판으로 이루어진 내조본체(402)와 외조 및 내조에 공용인 천판(403)을 갖는다.
외조의 측벽에는 피처리기판(W)의 반입구(11a)와 반출구(12a)를 갖는다. 이것들은 각각 게이트밸브(410) 및 (420)으로 폐쇄되어 있다.
내조의 내부에는 상부전극(430)과 하부전극(440)이 구성되어 있다. 이것들은 서로 대향되어 있고, 또, 수평으로 구성되어 있다. 상부전극(430)은 예를 들면, 석영으로 된 절연체(450)를 통해 천판(403)에 지지되어 있다. 하부전극(440)은 복수의 승강로드(460)의 상단부에 지지되어 있다. 이 경우, 하부전극(440)은 내조의 내부를 반응실(1A)과 배기실(2A)에 분할되도록 구성되어 있다.
내조본체(402)는 수평으로 분할되어 있고, 상측본체(21a)와 하측본체(22a)를 갖는다. 상측본체(21a)는 천판(403)에 지지되어 있다. 하측본체(22a)는 지지체(470)을 통해 하부전극(440)에 지지되어 있다. 지지체(470)는 단면 L자형상으로 형성되고 수직부(471)와 수평부(472)를 갖는다. 수직부(471)는 내조의 측벽의 일부로 이루어진다. 수평부(472)는 하부전극(440)에 취부되어 있다.
상부전극(430)은 상자형상으로 형성되어 있다. 이 상자형상의 상부전극(430)의 내부는 반응가스와 크리닝 가스 등을 분산하기 위한 가스분산부(431)로 되어 있다. 이 상부전극(430)의 천판(432)에는 반응가스와 크리닝 가스 등을 가스분산부(431)에 도입하기 위한 관상의 가스도입부(480)가 접속되어 있다. 또한, 이 천판(432)에는 반응가스와 크리닝 가스 및 대향되는 피처리기판(W) 등을 가열하기 위한 히터선(490)이 매설되어 있다. 상부전극(430)의 저판(433)에는 복수의 가스분산공(434)이 형성되어 있다. 이하 이 저판(433)을 가스분산판이라 한다.
하부전극(440)은 전극본체(441)와 기판재치대(442)를 갖는다. 기판재치대(442)의 상면에는 성막시 피처리기판(W)이 재치되어 있다. 이 기판재치대(442)의 상면은 내조의 분할위치 부근에 위치가 결정되어 있다. 전극본체(441)에는 성막시 피처리기판(W)을 가열하기 위한 히터선(500)이 매설되어 있다.
내조본체(402)의 하측본체(22a)의 지지체(470)의 수평부(472)에는 반응실(1A)의 분위기를 배기실(2A)로 배출하기 위한 복수의 배기공(31a)이 형성되어 있다.
내조본체(402)의 저판에는 배기실(2A)의 분위기를 배출하기 위한 관상의 분위기배출부(510)가 설치되어 있다. 또한, 외조본체(401)의 저판에는 분위기배출부(510)를 통해 배출된 분위기와 외조의 내부의 분위기를 배출하기 위한 관상의 분위기배출부(520)가 설치되어 있다. 분위기배출부(510)의 선단부는 분위기배출부(520)에 삽입되어 있다.
상기 가스도입부(480)에는 직류저지 콘덴서(530)을 통해 고주파전원(540)이 접속되어 있다. 따라서, 상부전극(430)은 직류저지 콘덴서(530)을 통해 고주파전원(540)에 접속되어 있다. 또한, 외조본체(401)는 접지되어 있다. 그러므로, 하부전극(440)은 외조본체(402)와 진공용기(400)를 통해 접지되어 있다. 그 결과, 상부전극(430)과 하부전극(440) 사이에는 성막시 고주파전력이 인가된다.
또한, 도시된 플라즈마 화학증착 장치는 피처리기판(W)의 반입, 반출시, 기판(W)을 지지하는 복수의 지지핀(550)을 갖는다. 이 복수의 지지핀(550)은 각각 승강로드(560)의 상단부에 취부되어 있다. 또한, 도시된 플라즈마 화학증착 장치는 외조의 내부의 압력을 검출하기 위한 압력검출센서(570)를 갖는다.
도 4는 도 3에 있어서, B부를 확대하여 나타낸 측단면도이다. 도시된 것에서, 가스분산판(433)은 주발형상으로 형성되고, 그 단부가 기판재치대(442)에 재치된 피처리기판(W)의 상면보다 아래로 연재되도록 되어 있다. 도면에는 가스분산판(213)의 단부를 기판재치대(442)의 상면 부근까지 연장된 경우를 나타낸 것이다. 또한, 도면에는 이 단부를 지지체(470)의 수직부(471)의 상단부의 바로 앞까지 연재된 경우를 나타낸 것이다.
가스분산판(433)의 단부의 방전면은 이 가스분사판(433)의 중심축을 중심으로해서 링형상으로 2개로 분할되어 있다. 그리고, 내측의 방전면은 수평으로 설정되고, 외측의 방전면은 이 내측의 방전면과 90°보다 큰 각도를 갖도록 설정되어 있다. 이하 내측의 방전면을 수평부(41a)라 하고, 외측의 방전면을 경사부(42a)라고 한다. 하부전극(440)의 기판재치대(442)의 측면(51a)은 이 경사부(42a)와 평행이 되도록 경사져 있다.
가스분산판(433)의 단부의 방전면 내에 수평부(41a)에는 알루미나 등에 의해 형성된 절연체(580)가 붙어 있다. 또한, 경사부(42a)는 알루미나 용사, 알루마이트가공 등에 의한 절연가공되어 있다.
가스분산판(433)의 단부에는 알루미나 등에 의해 형성된 절연체(590)가 설치되어 있다. 이 절연체(590)는 예를 들면, 지지체(470)에 취부되어 있다. 이 절연체(590)내에 성막시에 반응가스 등에 접하는 면(591)은 하부전극(440)의 기판재치대(442)의 측면(51a)과 각각 평행이 되도록 경사져 있다. 이상이 구체예의 구성이다.
〔1-5-2〕동작
상기 구성에 있어서, 피처리기판(W)의 표면에 소정의 박막을 형성하는 경우의 동작과 가스 크리닝동작을 행하는 경우의 동작을 설명한다. 우선, 성막을 행하는 경우의 동작을 설명한다.
이 경우는 먼저, 도 5에 나타낸 바와 같이 게이트밸브(410)가 개방되어 있다. 또한, 이 경우, 승강로드(460)가 하강되게 된다. 따라서, 하부전극(440)이 하강되게 된다. 그 결과, 하측본체(22a)와 지지부(470)가 하강되고, 내조가 개방된다. 또한, 이 경우, 승강로드(560)가 하강되게 된다. 따라서, 리프트핀(550)이 하강된다. 단지, 이 하강량은 하부전극(440)의 하강량보다 적고 작도록 설정되어 있다. 따라서, 리프트핀(550)의 선단부는 도 5에 도시된 바와 같이 기판재치대(442)의 상면에서 조금 뜬 위치에 위치가 결정된다.
다음으로, 피처리기판(W)은 도시되지 않은 기판반송장치에 의해 기판반입구(11a)를 통해 진공용기(400)의 내부에 반입되고, 리프트핀(550)의 위에 재치된다. 다음으로, 게이트밸브(410)가 닫힌다. 다음으로, 승강로드(460)가 상승된다. 따라서, 하부전극(440)이 상승하게 된다. 그 결과, 리프트핀(550)에 재치되어 있는 피처리기판(W)이 기판재치대(442)의 상면에 놓여 이동된다.
이후에 하부전극(440)은 더욱이 상승된다. 따라서, 지지체(470)의 수직부(471)의 상면이 상측본체(21a)의 하면으로 접촉된다. 그 결과, 내조가 닫힌다. 또, 이때, 리프트핀(550)도 상승되고, 도 3에 나타낸 상태가 된다.
다음으로, 진공용기(400)의 내부가 진공배기된다. 따라서, 내조의 내부의 분위기가 분위기배출부(510) 및 (520)을 통해 배출된다. 또한, 외조의 내부의 분위기가 분위기배출부(520)를 통해 배출된다. 이로써, 내조와 외조의 내부가 소정의 진공도로 설정된다.
내조와 외조의 내부가 소정의 진공도로 설정되고, 성막용의 반응가스가 가스도입부(480)를 통해 가스분산부(431)에 도입된다. 가스분산부(431)에 도입된 반응가스는 가스분산부(433)에 의해 상부전극(430)과 하부전극(440) 사이에 분산된다.
이때, 진공용기(400)의 진공배기는 그 때까지 계속된다. 그리고 내조의 내부의 압력이 소정의 압력이 되도록 이 진공배기의 배기량을 제어한다. 이 제어는 외조의 내부의 압력을 제어하는 것에 의해 간접적으로 행하여 진다. 외조의 내부의 압력은 압력검출센서(570)에 의해 검출된다.
내조의 내부의 압력이 소정의 압력이 되고, 고주파전원(540)으로부터 상부전극(430)과 하부전극(440) 사이에 고주파전력이 인가된다. 따라서, 상부전극(430)과 하부전극(440) 사이에 플라즈마가 생성된다. 그 결과, 반응가스의 분자가 플라즈마 화학증착 장치에 의해 여기되고, 피처리기판(W)의 표면에 소정의 박막이 형성된다.
피처리기판(W)의 표면에 소정의 박막이 형성되고, 반응가스의 공급이 정지된다. 다음으로, 승강리프트(460)이 하강된다. 따라서, 하부전극(440)이 하강된다. 그 결과, 내조가 닫힌다. 또한, 피처리기판(W)이 리프트핀(550)에 놓여 이동된다.
이후에 리프트핀(550)이 피처리기판(W)을 취출가능한 위치까지 하강한다. 다음으로, 게이트밸브(420)가 닫힌다. 다음으로 도시되지 않은 기판반송장치에 의해 리프트핀(550)에 재치되어 있는 피처리기판(W)이 기판반출구(12a)를 통해 진공용기(400)의 외부에 반출된다. 이후, 다음의 피처리기판(W)에 대하여 다시 상술한 처리가 실행된다. 이하 동일한 형태로 각 피처리기판(W)과 같이 상술한 처리가 반복적으로 이루어진다.
이상이 성막을 수행하는 경우의 동작이다. 다음으로, 가스 크리닝을 수행하는 경우의 동작을 설명한다.
이 경우는 기판재치대(442)에 피처리기판(W)을 재치하지 않은 상태에서, 진공용기(400)의 내부가 진공배기된다. 진공용기(400)의 내부가 소정의 진공도에 설정되면, 가스 크리닝용의 크리닝 가스가 가스도입부(480)를 통해 가스분산부(431)에 도입된다. 가스분산부(431)에 도입된 크리닝 가스는 가스분산판(433)에 의해 전극(430) 및 (440) 사이에 분산된다.
이때, 진공용기(400)의 진공배기는 그때까지 계속된다. 그리고 내조의 내부의 압력이 소정의 압력이 되도록 이 진공배기량이 제어된다. 이 제어도 성막시와 동일하게 수행된다.
내조의 내부의 압력이 소정의 압력이 되고, 전극(430) 및 (440) 사이에 고주파전력이 인가된다. 따라서, 크리닝 가스가 플라즈마화되고, 이 플라즈마에 의해 크리닝 가스의 분자가 여기된다. 그 결과, 가스분산판(433)의 방전면에 형성된 박막과 절연체(590)의 접하는 가스면(591)에 부착된 반응 부생성물이 에칭된다. 에칭된 박막과 반응 부생성물은 배기공(31a)와 배기실(2A) 분위기배출부(510) 및 (520)을 통해 배출된다. 이상이 가스 크리닝 동작이다.
또한, 상술한 예에서는 플라즈마의 생성영역이 내조의 내부에 한정되고, 진공용기로써, 1조 구조의 진공용기를 사용한 경우보다 플라즈마의 밀도를 높일 수 있다. 따라서, 진공용기로써 1조 구조의 진공용기를 사용하는 경우보다 성막효율과 크리닝 효율을 높일 수 있다.
또한, 외조의 내부가 감압상태로 설정되어 내조의 방열이 억제된다. 따라서, 내조의 내부가 핫월(Hot wall)상태로 유지되고, 성막시 내조의 내벽에 부착력이 약한 반응 부생성물이 부착되는 것이 억제된다. 그 결과, 파티클의 발생이 억제된다.
〔1-5-3〕효과
이상 상술한 구체예에 의하면, 다음과 같은 효과를 얻을 수 있다.
(1) 우선, 본 발명의 구체예에 의하면, 상부전극(430)의 가스분산판(433)의 단부를 하부전극(440)의 기판재치대(442)의 상면에 재치된 피처리기판(W)의 상면보다 아래로 연재되어 있어 피처리기판(W)의 상면보다 상방으로 존재하는 반응 부생성물을 감소시킬 수 있다.
따라서, 반응 부생성물의 낙하에 의한 파티클의 발생을 억제할 수 있고, 파티클의 부착에 의한 피처리기판(W)의 오염을 억제할 수 있다. 그 결과, 기판(W)의 품질을 향상시킬 수 있다. 또한, 플라즈마 화학증착 장치의 유지 사이클을 연장시킬 수 있어 처리량을 향상시킬 수 있다.
(2) 또한, 본 발명의 구체적인 예에 의하면, 가스분산판(433)의 단부에 절연체(590)를 설치함으로써, 가스분산판(433)의 단부의 절연체(450)과 평행으로 연장시킴에 불구하고, 이 단부에서의 국소방전의 발생을 방지할 수 있다.
(3) 또한, 본 발명의 구체적인 예의 의하면, 절연체(590)의 접하는 가스면(591)이 수평면과 90°보다 큰 각도가 되도록 함으로써, 이 접하는 가스면(591)이 상??을 향하도록 할 수 있다. 따라서, 성막시, 이 접하는 가스면(591)에 부착된 반응 부생성물이 기류에 의해 상방으로 날려 올라가는 것을 억제할 수 있다. 그 결과, 반응 부생성물의 날려 올라가는 파티클의 발생을 억제할 수 있다.
(4) 또한, 본 발명의 구체적인 예에 의하면, 절연체(590)를 지지체(470)에 취부되도록 함으로써, 피처리기판(W)의 반송시 절연체(590)의 접하는 가스면(591)이 피처리기판(W)의 반송로에 면하지 않도록 할 수 있다.
따라서, 피처리기판(W)의 반송시, 이 피처리기판(W)의 반송에 의하여 절연체(590) 부근에 기류가 발생되어도 절연체(690)의 접하는 가스면(591)에 부착된 반응 부생성물의 날려 올라가는 것을 억제할 수 있다. 그 결과, 반응 부생성물의 날려 올라가는 파티클의 발생을 저감시킬 수 있다.
(5) 또한, 본 발명의 구체적인 예에 의하면, 가스분산판(433)의 단부의 방전면을 절연시킴으로써, 가스분산판(433)의 단부를 연장시킴에도 불구하고, 피처리기판(W)의 주위에서의 방전을 억제할 수 있다. 따라서, 피처리기판(W)의 상방에서의 플라즈마 밀도의 저하를 방지할 수 있어, 여기에서의 플라즈마 처리효율의 저하를 방지할 수 있다. 그 결과, 막의 두께 분포특성의 저하를 방지할 수 있다.
(6) 또한, 본 발명의 구체적인 예에 의하면, 가스분산판(433)의 단부의 방전면을 절연함으로써, 가스분산판(433)의 단부를 종래보다 연장시킴에도 불구하고, 방전면의 면적의 증대를 실절적으로 억제할 수 있다. 따라서, 피처리기판(W)의 표면에 형성된 박막중에 취입된 전자량의 증대를 억제할 수 있어 막응력의 증대를 억제할 수 있다. 그 결과, 피처리기판(W)으로부터 박막이 벗겨지는 것을 방지할 수 있다.
(7) 또한, 본 실시형태에 의하면, 가스분산판(433)의 단부의 방전면의 수평면(41a)을 절연한 경우, 절연체(580)에 의해 절연되도록 함으로써, 절연가공에 의해 절연한 경우에 비하여 피처리기판(W)의 주위에서의 방전을 억제하는 효과를 높일 수 있다.
(8) 또한, 본 발명의 구체적인 예에 의하면, 가스분산판(433)의 단부의 방전면의 경사부(42a)를 절연한 경우, 절연가공에 의해 절연되도록 함으로써, 절연체에 의해 절연된 경우에 비하여 절연체(580)에 부착력이 약한 반응 부생성물이 부착되지 않도록 할 수 있다. 또한, 이러한 구성에 의하면, 경사부(42a)에도 부착력이 약한 반응 부생성물이 부착되지 않도록 할 수 있다. 이것은 이러한 구성에 의하면, 경사부(42a)에서 반응 부생성물의 부착을 방지하는 것이 가능한 플라즈마 밀도를 확보할 수 있다.
(9) 또한, 본 실시형태에 의하면, 가스분산판(433)의 단부의 방전면이 하방으로 향함에 따라 서서히 확대되도록 함으로써, 가스분산판(433)의 단부의 하방으로 연재되어 있음에도 불구하고, 가스 크리닝시, 이 단부의 방전면에서 크리닝 가스의 흐름을 방해하는 것을 방지할 수 있다. 이것에 의해 플라즈마를 사용한 가스 크리닝에 의해 상부전극의 방전면에 형성된 막을 제거하는 경우, 이 제거를 용이하게 수행할 수 있다.
(10) 또한, 본 실시형태에 의하면, 절연체(590)의 접하는 가스면(591)을 가스분산판(433)의 방전면의 경사부(2)의 연장면을 이루도록 함으로써, 이 접하는 가스면(591)에서 가스의 흐름을 방해는 것을 방지할 수 있다. 따라서, 성막시는 이 접하는 가스면(591)에 대하여 반응 부생성물의 부착을 억제할 수 있고, 가스 크리닝시는 이 접하는 가스면(591)애 부착된 반응 부생성물을 효율적으로 에칭할 수 있다. 그 결과, 가스 크리닝에 의한 크리닝 시간을 단축시킬 수 있다.
(11) 또한, 본 발명의 구체적인 예에 의하면, 하부전극(440)의 기판재치대(442)의 상면을 기판반송로 부근에 설정되도록 함으로써, 피처리기판(W)의 반송시, 내조의 진공용기(200)의 개구폭(Y)(도 5참조)을 작게 할 수가 있다.
(12) 또한, 본 발명의 구체적인 예에 의하면, 히터선(490) 및 (500)에 의한 반응실(1A)의 내부를 고온으로 유지시킴으로써, 성막처리에 의한 반응실(1A)의 내부에 형성된 퇴적물(박막과 반응 부생성물 등)로부터 이물질의 발생을 억제할 수 있다.
〔2〕제 2실시형태
〔2-1〕구성
도 6은 본 발명에 관한 플라즈마 화학증착 장치의 제 2실시형태의 구성을 나타낸 측단면도이다. 또한, 도 6에 있어서, 상기 도 1과 거의 동일한 기능을 갖는 부분으로는 동일한 부호를 부여하여 상세한 설명을 생략한다.
상기 제 1실시형태에서는 가스분산판(213)의 단부의 방전면을 일단 수평으로 연장한 후, 이 수평부(1a)에 대하여, 90°보다 큰 각도을 유지하도록 연장하는 것에 의해 하방으로 향함에 따라 서서히 확대되도록 설정하는 경우를 설명한다. 이것에 대하여 본 실시형태에서는 도 6에 나타낸 것과 같이, 가스분산판(213)의 단부의 방전면(61a)을 요홈형상의 곡면을 이루도록 연장하는 것에 의해 가스분산판(213)의 단부의 방전면(61a)을 하방으로 향함에 따라 서서히 확대되도록 설정하는 것이다.
〔2-2〕효과
이와 같은 구성에 있어서도, 가스분산판(213)의 단부의 방전면(61a)에서 가스의 체류를 방지할 수 있어 성막시는 이 방전면(61a)에 부착된 박막의 양을 저감시킬 수 있고, 가스 크리닝시는 부착된 박막을 효율적으로 에칭시킬 수 있다.
〔2-3〕변형예
먼저, 도 6에는 가스분산판(213)의 단부의 방전면(61a)뿐만 아니라 가스분산판(213)의 중앙부의 방전면(62a)도 요홈형상의 곡면을 이루도록 설정하는 경우를 나타낸 것이다. 그렇지만, 본 실시형태에서는 상기 제 1실시형태와 동일한 형태로 가스분산판(213)의 중앙부의 방전면(62a)은 수평한 평면형상으로 형성되고, 단부의 방전면(61a)만 요홈형상의 곡면을 이루도록 설정하여도 좋다.
〔2-4〕구체예
도 7은 본 실시형태의 구체적인 구성의 일례를 나타낸 측단면도이다. 본 예에서는 상기 도 3에 나타낸 예와 가티 진공용기로서 2조 구조의 진공용기를 갖는 플라즈마 화학증착 장치로, 본 실시형태를 적용한 것이다. 또한, 도 7에 있어서, 상기 도 3과 거의 동일한 기능을 갖는 부분은 동일한 부로를 부여하여 상세한 설명을 생략한다.
도 7에 나타낸 것으로, 본 예에서는 가스분산판(433)의 단부의 방전면(71a)을 요홈형상의 곡면을 이루도록 설정되도록 함으로써, 이 방전면(71a)이 하방으로 향함에 따라 서서히 확대되도록 되어있다. 또한, 도 7에는 가스분산판(433)의 중앙부의 방전면(72a)을 평면형상으로 형성하는 경우를 나타낸 것이다.
〔3〕제 3실시형태
도 8은 본 발명에 관한 플라즈마 화학증착 장치의 제 3실시형태의 요부의 구성을 나타낸 측단면도이다.
상기 실시형태에서는 절연체(310)의 접하는 가스면(311)을 수평면과 90°보다 큰 각도를 이루도록 1개의 면에 형성된 경우를 설명하였다. 이것에 대하여 도 8a에 나타낸 예에는 접하는 가스면(311)을 수평면과 90°보다 큰 각도를 갖고, 또한, 상호 경사가 다른 2개면(81a) 및 (81b)의 조합에 의해 형성된 것도 있다. 또한, 도 8b에 나타낸 예에는 접하는 가스면(311)을 요홈형상의 곡면을 갖는 1개의 곡면으로 형성된 것도 있다. 또한, 도 8c에 나타낸 예는 접하는 가스면(311)을 수평한 면(101a)과 수직한 면(102a)의 조합에 의해 형성된 것도 있다.
이러한 구성에 있어서도, 절연체(310)의 접하는 가스면(311)이 상방을 향하지 않도록 할 수 있어 성막시에 접하는 가스면(311)에 부착되어 있는 반응 부생성물이 기류에 의해 상방으로 날려 올라가는 것을 억제할 수 있다. 이것에 의하면, 반응 부생성물의 날려 올라가는 파티클의 발생을 억제할 수 있다. 또한, 이것에서의 절연체(310)은 도 3의 장치의 절연체(590)와도 치환할 수 있음은 당연하다.
〔4〕제 4실시형태
〔4-1〕구성
도 9는 본 발명에 관한 플라즈마 화학증착 장치의 제 4실시형태의 구성을 나타낸 측단면도이다. 또한, 도 9에는 본 발명을 1조 구조의 진공용기를 갖는 플라즈마 화학증착 장치에 적용한 경우를 대표적으로 나타낸 것이다.
상기 실시형태에서는 가스분산판(213)의 단부를 진공용기(200)의 분할위치의 바로 앞까지 연장한 경우를 설명한다. 이것에 대하여 본 실시형태는 가스분산판의 단부를 진공용기의 분할위치보다 아래까지 연장한 것에 의해 이 단부보다 하방에 부착된 반응 부생성물의 날려 올라가는 파티클의 낙하가 저감되도록 한 것이다. 또한, 본 실시형태는 가스분산판의 단부를 진공용기의 분할위치 부근에서 수평으로 분할하는 것에 의해 가스분산판의 단부의 진공용기의 분할위치보다 아래가지 연장한 것에 의해 진공용기의 개구폭의 증대를 방지할 수 있도록 되어 있다.
여기에서, 본 실시형태의 플라즈마 화학증착 장치의 구성을 도 9를 참조하여 상세하게 설명한다. 도 9에 나타낸 플라즈마 화학증착 장치의 구성은 가스분산판의 구성을 제거하면, 기본적으로는 도 1에 나타낸 플라즈마 화학증착 장치의 구성과 거의 동일한다.
즉 도 9에 나타낸 플라즈마 화학증착 장치도 도 1에 나타낸 플라즈마 화학증착 장치와 동일한 형태이고, 상측용기(601)와 하측용기(602)를 갖는 진공용기(600)을 갖는다. 상측용기(601)는 미리 정해진 위치에 고정되고, 하측용기(602)는 도시되지 않은 승강기구에 의해 승강구동된다.
진공용기(600)의 내부에는 평행 평판전극의 상부전극(610)과 하부전극(620)이 구성되어 있다. 상부전극(610)은 절연체(630)를 통해 진공용기의 상측용기(601)와 하측용기(602)에 지지되어 있다. 하부전극(620)은 지지판(640)을 통해 하측용기(602)에 지지되어 있다.
상부전극(610)의 천판(612)에는 가스도입부(650)가 접속되어 있다. 또한, 이 천판(612)에는 히터(720)가 구성되어 있다. 이 히터(720)는 히처본체(721)에 히터선(722)을 구성한 구조를 갖는다. 상부전극(610)의 저판, 즉 가스분산판(613)에는 복수의 가스분산공(614)이 형성되어 있다.
하부전극(620)의 상면, 즉 기판재치면(621)은 진공용기(600)의 분할위치 부근에 위치가 결정되어 있다. 바꾸어 말하면, 기판반송로 부근에 위치가 결정되어 있다. 또한, 이 하부전극(620)에는 히터선(690)이 매설되어 있다. 하부전극(620)의 지지판(640)에는 반응실(1A)의 분위기를 배기실(2A)로 배출하기 위하여 복수의 배기공(641)이 형성되어 있다. 또한, 상기 진공용기(600)의 저판에는 배기실(2A)의 분위기를 배출하기 위한 배기구(603)이 형성되어 있다. 가스도입부(650)에는 직류저지 콘덴서(670)를 통해 고주파전원(680)이 접속되고, 하측용기(602)는 접지되어 있다.
가스분산판(613)의 단부는 진공용기(600)의 분할위치보다 아래에 연재되어 있다. 바꾸어 말하면, 기판반송로보다 아래에 연재되어 있다. 도면에는 하부전극(620)의 지지체(640) 부근까지 연재된 경우를 나타낸 것이다. 이 가스분산판(613)의 단부는 진고용기(600)의 분할위치 부근까지 수평으로 분할되어 있다. 바꾸어 말하면, 기판반송로 부근에서 수평하게 분할되어 있다. 이것에 의해 가스분산판(613)은 상측 가스분산판(1b)과 하측 가스분산판(2b)을 갖는다.
또한, 이것에 합하여, 절연체(630), 진공용기(600)의 분할위치 부근에서 수평으로 분할되어 있다. 이것에 의해 절연체(630)는 상측 절연체(11b)와 하측 절연체(12b)를 갖는다.
상측 가스분산판(1b)는 히터(720) 모두에 상측 절연체(11b)를 통해 상측용기(601)에 지지되어 있다. 이것에 대하여 하측 가스분산판(2b)은 하측 절연체(12b)를 통해 하측용기(602)에 지지되어 있다.
가스분산판(613)의 중앙부의 방전면(71b)은 예를 들면, 평면상에 형성되어 있다. 이것에 대하여 단부의 방전면(72b)은 예를 들면, 요홈형상의 곡면을 이루도록 형성되어 있다. 또한, 이것에 더하여 하부전극(620)의 측면(622)는 가스분산판(613)의 단부의 방전면(72b)과 거의 평행한 돌기(凸)형상의 곡면을 이루도록 형성되어 있다.
또한, 가스분산판(613)의 단부의 방전면(72b)은 절연체(700)와 절연가공으로 조합되도록 절연되어 있다. 또한, 가스분산판(613)의 단부에서의 국소방전을 방지하는 절연체(710)의 접하는 가스면(711)은 가스분산판(613)의 단부의 방전면(72b)의 연장면을 이루도록 설정되어 있다. 이상이 제 4실시형태의 구성이다.
〔4-2〕효과
이상에서 상술한 본 실시형태에 의하면, 다음과 같은 효과를 얻을 수 있다.
(1) 먼저, 본 실시형태에 의하면, 가스분산판(613)의 단부를 진공용기(600)의 분할위치보다 아래로 연재되도록 되어 있어, 상기 실시형태에 의해 가스분산판(613)의 단부보다 하방으로 부착된 반응 부생성물(예를 들면, 절연체(710)의 접하는 가스면(711)에 부착된 반응 부생성물)의 날려 올라가는 파티클의 발생을 억제할 수 있다.
(2) 또한, 본 실시형태에 의하면, 가스분산판(613)의 단부를 진공용기(600)의 분할위치 부근에서 분할되도록 하여 가스분산판(613)의 단부를 진공용기(600)의 분할위치보다 아래로 연재되어 있음에도 불구하고, 기판반송시의 진공용기(600)의 개구폭의 증대를 방지할 수 있다.
즉 가스분산판(613)을 분할하지 않는 경우는 도 10에 도시된 바와 같이 진공용기(600)의 개구폭(Y)에서, Y1+Y2의 폭을 필요로 한다. 여기에서, Y1은 절연체(603)내에 상측용기(601)로부터 돌출되어 있는 부분의 길이이다. Y2는 이 돌출된 부분이 없는 경우에 필요한 개구폭이다. 이것에 대하여, 본 실시형태에 의하면, 가스분산판(613)을 분할하는 경우는 도 11에 도시된 바와 같이 개구폭(Y)으로서 Y2만 필요하고 Y1은 불필요하다. 따라서, 본 실시형태에서는 가스분산판(613)의 단부를 진공용기(600)의 분할위치보다 아래로 연재되어 있음에도 불구하고 기판반송시의 진공용기(600)의 개구폭의 증대를 방지할 수 있다.
〔4-3〕구체예
〔4-3-1〕구성
도 12는 본 실시형태의 구체적인 구성의 일례를 나타낸 측단면도이다. 도면에는 본 실시형태를 도 3에 나타낸 2조 구조의 플라즈마 화학증착 장치에 적용한 경우를 대표적으로 나타낸 것이다. 도 12에 있어서, 도 3에 나타낸 플라즈마 화학증착 장치와 거의 동일한 기능을 설명한 부분에는 동일한 부호를 부여하여 상세한 설명은 생략한다.
도 12에 나타낸 것으로, 본 구체예의 플라즈마 화학증착 장치에서는 가스분산판(433)의 단부는 내조의 분할위치(내조의 상측본체(21a)와 지지체(470)의 수직부(471)의 경계위치)보다 아래로 연재되어 있다. 바꾸어 말하면, 기판반송로보다 아래에 연재되어 있다. 도면에는 지지체(470)의 수평부(472) 부근까지 연재된 경우를 나타낸 것이다.
또한, 이 가스분산판(433)의 단부는 내조의 분할위치 부근에서 수평으로 분할되어 있다. 이것에 의하여 가스분산판(433)은 상측 가스분산판(21b)과 하측 가스분산판(22b)을 갖는다.
또한, 이것에 더하여 절연체(430)도 내조의 분할위치 부근에서 수평으로 분할되어 있다. 이것에 의해 절연체(450)는 상측 절연체(31b)와 하측 절연체(32b)를 갖는다.
상측 가스분산판(21b)은 상측 절연체(31b)를 통해 내조의 상측본체(21a)에 지지되어 있다. 이것에 대하여 하측 가스분산판(22b)은 하측 절연체(32b)를 통해 지지체(470)의 수직부(471)에 지지되어 있다.
가스분산판(433)의 단부의 국소방전을 방지하기 위하여 절연체(590)(도 3참조)는 하측 절연체(32b)의 단부를 수평으로 연장하는 것에 의해 형성되어 있다. 또한, 가스분산판(433)의 중앙부의 방전면(81b)은 예를 들면, 평면형상으로 형성되어 있다. 이것에 대하여 단부의 방전면(82b)은 요홈형상의 곡면을 이루도록 형성되어 있다. 이것에 더하여 하부전극9440)의 측면(51a)는 가스분산판(443)의 단부의 방전면과 거의 평행한 돌기형상의 곡면을 이루도록 형성되어 있다. 또한, 이 단부의 방전면(82b)은 절연체와 절연가공으로서의 조합에 의해 절연되어 있다. 이상이 본 구체예의 구성이다.
〔4-3-2〕효과
이상에서 상술한 본 발명의 구체적인 실시예에 의하면, 다음과 같은 효과를 얻을 수 있다.
(1) 먼저, 본 발명의 구체적인 예에 의하면, 가스분산판(433)의 단부를 내조의 분할위치보다 아래로 연재되도록 함으로써, 가스분산판(433)의 단부보다 하방으로 부착된 반응 부생성물(예를 들면, 절연체(590)의 접하는 가스면(591)에 부착된 반응 부생성물)의 날려 올라가는 파티클의 발생을 억제할 수 있다.
(2) 또한, 본 실시형태에 의하면, 가스분산판(433)의 단부를 내조의 분할위치 부근까지 분할되도록 함으로써, 가스분산판(433)의 단부를 내조의 분할위치보다 아래로 연재되게 함에도 불구하고 기판반송시의 내조의 개구폭의 증대를 방지할 수 있다.
〔5〕제 5실시형태
〔5-1〕구성
도 13은 본 발명의 관한 플라즈마 화학증착 장치의 제 5실시형태의 구성을 나타낸 측단면도이다. 또한, 도 13에 있어서, 상기 도 11과 동일한 기능을 갖는 부분에는 동일한 부호를 부여하여 상세한 설명을 생략한다.
상기 제 4실시형태에서는 가스분산판(613)을 급전하는 하는 경우, 1개의 고주파전원(680)에서 급전된 경우를 설명한다. 이것에 대하여 본 실시형태는 도 13에 도시된 바와 같이, 가스분산판(613)을 예를 들면 평면부(41b)와 통상부(42b)로 분할되고, 이것들을 2개의 고주파전원(680) 및 (760)을 이용하여 독립적으로 급전되도록 되어 있다.
이 경우, 가스분산판(613)의 평면부(41b)와 통상부(42b)는 절연체(730)에 의해 분리되어 있다. 이 절연체(730)는 예를 들면, 절연체(630)를 변형한 것에 의해 형성되어 있다. 또한, 이 절연체(730)은 가스분산판(613)의 단부의 방전면에 취부된 절연체(700)(도 9참조)로도 겸용된다.
통상부(42b)의 급전단자(740)는 하측 절연체(12b)와 하측용기(602)를 통해 진공용기(600)의 외부에 도출되어 있다. 이 급전단자(740)는 예를 들면, 통상부(42b)를 변형한 것에 의해 형성된다. 이 급전단자(740)에는 직류저지 콘덴서(750)를 통해 고주파전원(760)이 접속되어 있다. 이 경우, 급전단자(740)은 절연체(770)을 통해 하측용기(602)와 절연되어 있다. 이 절연체(770)는 하측 절연체(12b)를 변형한 것에 의해 형성되어 있다.
〔5-2〕효과
이상에서 상술한 본 실시형태에 의하면, 가스분산판(613)을 평면부(41b)와 통상로(42b)로 나누고, 이것들을 독립적으로 급전함으로써, 이것들에 다른 전력을 공급할 수 있다. 이것에 의해 크리닝시, 크리닝 속도가 지연되는 통상부(42b)에 크리닝 속도의 빠른 평면부(41b)보다 큰 전력을 공급할 수 있어 크리닝 효율을 향상시킬 수 있다.
〔5-3〕구체예
〔5-3-1〕구성
도 14는 본 실시형태의 구체적인 구성의 일례를 나타낸 측단면도이다. 도면에는 본 실시형태를 도 3에 나타낸 2조 구조의 플라즈마 화학증착 장치에 적용한 경우를 대표적으로 나타낸 것이다. 도 14에 있어서, 도 3에 도시된 플라즈마 화학증착 장치와 거의 동일한 기능을 갖는 부분에는 동일한 부호를 부여하여 상세한 설명은 생략한다.
도 14에 도시된 바와 같이, 본 발명의 구체적인 플라즈마 화학증착 장치에서는 가스분산판(433)이 내조의 분할위치 부근에서 분할된 것으로, 평면부(51b)와 통상부(52b)로 분할되어 있다. 그리고 이것은 평면부(51b)와 통상부(52b)는 절연체(800)에 의해 분리되어 있다. 이 절연체(800)은 상측 절연체(31b)를 변형한 것에 의해 형성되어 있다. 또한, 이 절연체(800)은 가스분산판(433)의 단부의 방전면에 취부된 절연체(580)(도 3참조)로도 겸용되어 있다.
통상부(52b)의 급전단자(810)은 절연체(430)와 지지체(470)의 수직부(471)를 통해 내조의 외부에 도출되어 있다. 이 급전단자(810)는 통상부(52b)를 변형한 것에 의해 형성되어 있다. 이 급전단자(810)는 직류저지 콘덴서(820)를 통해 고주파전원(830)이 접속되어 있다. 이 경우, 급전단자(810)는 절연체(840)를 통해 지지체(470)의 수직부(471)와 절연되어 있다. 이 절연체(840)는 하측 ??연체(32b)를 변형한 것에 의해 형성되어 있다.
〔5-3-2〕효과
이상에서 상술한 구체예에 의하면, 가스분산판(433)을 평면부(51b)와 통상(52b)으로 나누고, 이것들을 독립적으로 급전함으로써, 이것들에 다른 전력을 공급할 수 있다. 따라서, 크리닝시 크리닝 속도의 지연되는 통상부(52b)에 크리닝 속도의 빠른 평면부(51b)보다 큰 전력을 공급할 수 있어 크리닝 효율을 향상시킬 수 있다.
〔6〕다른 실시형태
이상에서 본 발명의 5개의 실시형태를 설명하였지만, 본 발명은 상술한 바와 같은 실시형태에 한정된 것은 아니다.
(1) 예를 들면, 상기 실시형태에 의하면, 내측의 방전면을 절연가공으로 절연하고, 외측의 방전면을 절연체로 절연하는 것이 바람직하다. 또는 전부를 절연체로써 절연가공에 의해 절연하는 것도 바람직하다.
(2) 또한, 상기 제 5실시형태에서는 가스분산판을 복수의 급전영역으로 분할하는 경우, 2개의 급전영역에 분할한 경우를 설명한다. 그렇지만, 본 발명은 3개이상의 급전영역으로 분할하고, 이것들을 독립적으로 급전하는 것이 바람직하다.
(3) 또한, 상기 실시형태에서는 본 발명을 플라즈마 생성용의 전원으로써, 고주파전원을 이용한 플라즈마 화학증착 장치에 적용한 경우를 설명한다. 그렇지만, 본 발명은 고주파전원 이외의 전원, 예를 들면, 직류전원을 이용한 플라즈마 화학증착 장치에도 적용할 수 있다.
(4) 이외에도 본 발명은 그 요지를 벗어나지 않은 범위내에서 각종의 형태로 변형실시가 가능함은 자명하다.
이상에서 상술한 바와 같이 본 발명의 플라즈마 화학증착 장치에 의하면, 상부전극의 단부를 하부전극의 상면에 재치된 피처리기판의 상면보다 아래로 연재되도록 함으로써, 피처리기판의 상방으로 존재하는 부착력이 약한 반응 부생성물을 감소시킬 수 있다. 따라서, 반응 부생성물의 낙하에 의한 파티클의 발생을 억제할 수 있다. 그 결과, 파티클의 부착에 의한 피처리기판의 오염을 억제할 수 있어 품질의 향상을 기대할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 절연체의 접하는 가스면을 상방으로 향하지 않도록 설정함으로써, 성막시 절연체의 접하는 가스면에 부착된 반응 부생성물이 기류에 의해 상방으로 날려 올라가는 것을 억제할 수 있다. 따라서, 반응 부생성물의 날려 올라가는 파티클의 발생을 억제할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 절연체의 접하는 가스면을 피처리가판의 반송시에 이 피처리기판의 반송로에 면하지 않도록 설정함으로써, 피처리기판의 반송시 이 반송에 의한 절연체 부근에 기류가 발생되어도 절연체의 접하는 가스면에 부착된 반응 부생성물의 날려 올라가는 것을 억제할 수 있다. 따라서, 반응 부생성물의 날려 올라가는 파티클의 발생을 억제할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 상부전극의 단부의 방전면이 절연되어 있어 피처리기판의 주위에서의 방전을 억제할 수 있다. 따라서, 상부전극의 단부의 연장에 의해 상부전극의 면적이 종래보다 확대되어 있음에도 불구하고, 피처리기판의 상방으로의 플라즈마 밀도의 저하를 방지할 수 있다. 그 결과, 피처리기판의 상방에서의 플라즈마 처리효율의 저하를 방지할 수 있어 막 두께의 분포특성의 악화를 방지할 수 있다.
또한, 이와 같은 구성에 의하면, 피처리기판의 표면에 형성된 박막중에 대한 전자의 유입량의 증대를 방지할 수 있어 전자의 유입량의 증때에 의한 막응력의 증대를 방지할 수 있다. 따라서, 피처리기판의 표면에 형성된 박막이 벗겨나가는 것을 방지할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 상부전극의 단부의 방전면을 상부전극의 중심축을 중심으로 링형상으로 2개로 나뉘어지고, 내측의 방전면을 절연한 경우, 절연체로 절연함으로써 절연가공에 의해 절연한 경우에 비하여 피처리기판의 주위에서의 방전을 억제하는 효과를 높일 수 있다.
또한, 이 플라즈마 화학증착 장치에 의하면, 외측의 방전면을 절연한 경우, 절연가공에 의해 절연함으로써, 절연체에 의해 절연한 경우에 비하여 내측의 방전면으로설치한 절연체와 외측의 방전면에 부착력이 약한 반응 부생성물이 부착되지 않도록 할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 상부전극의 단부의 방전면을 하방으로 향하도록 함에 따라 서서히 확대되도록 설정하여 이 상부전극의 단부를 하방으로 연장되도록 함에도 불구하고, 가스 크리닝시 이 단부에서 크리닝 가스의 흐름을 방해하는 것을 방지할 수 있다. 따라서, 플라즈마를 사용한 가스 크리닝에 의한 상부전극의 방전면에 형성된 막을 제거한 경우, 이 제거를 용이하게 수행할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 절연체의 접하는 가스면을 상부전극의 단부의 방전면의 연장면을 이루도록 형성함으로써, 이 접하는 가스면에서 가스의 흐름을 방해하는 것을 방지할 수 있다. 따라서, 성막시는 이 접하는 가스면에 대한 반응 부생성물의 부착을 억제할 수 있고, 가스 크리닝시는 이 접하는 가스먼에 부착된 반응 부생성물을 효율적으로 에칭할 수 있다. 그 결과, 가스 크리닝에 의한 크리닝시간을 단축할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 상부전극의 단부를 피처리기판의 반송로에 의해 아래로 연재한 경우, 이것을 기판반송로 부근에서 수평으로 분할함으로써, 상부전극의 단부가 기판반송로의 아래로 연재되도록 함에도 불구하고 기판반송시의 진공용기의 개구폭의 증대를 방지할 수 있다.
또한, 본 발명의 플라즈마 화학증착 장치에 의하면, 상부전극을 1개소이상으로 수평으로 분할하고, 각 분할영역마다에 독립적으로 급전함으로써, 진공용기의 내부를 플라즈마를 사용한 가스 크리닝하는 경우, 크리닝 속도가 지연되는 부분은 큰 전력을 공급할 수 있다. 따라서, 크리닝 효율을 높일 수 있다.
본 발명의 플라즈마 화학증착 장치에 의하면, 2조 구조의 진공용기를 이용한 장치에 있어서, 본 발명의 효과를 얻을 수 있다.

Claims (11)

  1. 수평으로 또는 대향되게 구성된 상부전극과 하부전극 사이에 전력을 인가하여 성막용 반응가스를 플라즈마화하고, 이 플라즈마에 의해 상기 반응가스를 여기하여 상기 하부전극의 상면에 재치된 피처리기판의 표면에 소정의 박막을 형성하는 플라즈마 화학증착 장치에 있어서,
    상기 상부전극의 단부가 상기 하부전극의 상면에 재치된 상기 피처리기판의 상면보다 하방으로 연재되어 있는 것을 특징으로 하는 플라즈마 화학증착 장치.
  2. 제 1항에 있어서, 상기 상부전극의 단부에 절연체가 구성된 것을 특징으로 하는 플라즈마 화학증착 장치.
  3. 제 2항에 있어서, 상기 절연체의 복수면 위에 성막시에 상기 반응가스와 접하는 면이 상방을 향하지 않도록 설정한 것을 특징으로 하는 플라즈마 화학증착 장치.
  4. 제 2항에 있어서, 상기 절연체의 복수면 위에 성막시에 상기 반응가스에 접하는 면이, 상기 피처리기판의 반송시에 이 피처리기판의 반송로에 면하지 않도록 설정한 것을 특징으로 하는 플라즈마 화학증착 장치.
  5. 제 1항에 있어서, 상기 상부전극의 단부의 방전면이 절연되어 있는 것을 특징으로 하는 플라즈마 화학증착 장치.
  6. 제 5항에 있어서, 상기 상부전극의 단부의 방전면이 이 상부전극의 중심축을 중심으로 링상으로 2개의 방전면으로 나뉘어지고, 내측의 방전면이 절연체로 절연되며, 외측의 방전면이 절연가공으로 절연된 것을 특징으로 하는 플라즈마 화학증착 장치.
  7. 제 1항에 있어서, 상기 상부전극의 단부의 방전면이 하방으로 향함에 따라 서서히 확대되게 설정되어 있는 것을 특징으로 하는 플라즈마 화학증착 장치.
  8. 제 2항에 있어서, 상기 절연체의 복수면 위에 성막시에 상기 반응가스에 접하는 면이 상기 상부전극의 단부의 방전면의 연장면을 이루도록 설정되어 있는 것을 특징으로 하는 플라즈마 화학증착 장치.
  9. 제 1항에 있어서, 상기 상부전극의 단부가 상기 피처리기판의 반송로보다 아래로 연재되고, 또는 이 기판반송로 부근에서 수평으로 분할되어 있는 것을 특징으로 하는 플라즈마 화학증착 장치.
  10. 제 1항에 있어서, 상기 상부전극이 1개소이상으로 수평으로 분할되고, 각 분할영역마다 독립적으로 급전되게 되어 있는 것을 특징으로 하는 플라즈마 화학증착 장치.
  11. 제 1항에 있어서, 성막용 진공용기가 내조와 외조가 구비된 2조 구조의 용기이고, 상기 상부전극과 상기 하부전극이 상기 내조의 중앙에 구성된 것을 특징으로 하는 플라즈마 화학증착 장치.
KR1019990000874A 1998-01-05 1999-01-05 플라즈마 화학증착(cvd) 장치 KR19990067900A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP00048798A JP3314151B2 (ja) 1998-01-05 1998-01-05 プラズマcvd装置及び半導体装置の製造方法
JP10-487 1998-01-05

Publications (1)

Publication Number Publication Date
KR19990067900A true KR19990067900A (ko) 1999-08-25

Family

ID=11475135

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019990000874A KR19990067900A (ko) 1998-01-05 1999-01-05 플라즈마 화학증착(cvd) 장치
KR1019990000108A KR19990067742A (ko) 1998-01-05 1999-01-06 플라즈마화학증착(cvd)장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1019990000108A KR19990067742A (ko) 1998-01-05 1999-01-06 플라즈마화학증착(cvd)장치

Country Status (3)

Country Link
US (1) US20030205202A1 (ko)
JP (1) JP3314151B2 (ko)
KR (2) KR19990067900A (ko)

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
JP4354908B2 (ja) * 2002-06-10 2009-10-28 東京エレクトロン株式会社 処理装置
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
JP4961948B2 (ja) * 2006-10-27 2012-06-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法並びに記憶媒体
KR100956352B1 (ko) * 2007-09-06 2010-05-07 세메스 주식회사 탄소나노튜브 제조장치 및 그 방법
JP2009164365A (ja) * 2008-01-08 2009-07-23 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2008115473A (ja) * 2008-02-05 2008-05-22 Canon Anelva Corp シリコン含有膜の製造装置及び製造法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101118477B1 (ko) * 2009-11-26 2012-03-12 주식회사 테스 가스 분산판 및 이를 갖는 공정 챔버
JP5948040B2 (ja) * 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法及び半導体装置の作製方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN109156074B (zh) * 2016-03-03 2021-12-28 核心技术株式会社 等离子体处理装置及等离子处理用反应容器的结构
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
KR102578539B1 (ko) * 2017-07-28 2023-09-13 스미토모덴키고교가부시키가이샤 샤워 헤드 및 그 제조 방법
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
TW202338150A (zh) * 2018-07-27 2023-10-01 美商應用材料股份有限公司 用於熱沉積的氣體分配板
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142407A (ja) * 1993-11-12 1995-06-02 Nippon Soken Inc プラズマ発生装置
JPH08321493A (ja) * 1996-06-18 1996-12-03 Hitachi Ltd プラズマ処理装置
JPH08339991A (ja) * 1996-06-18 1996-12-24 Hitachi Ltd プラズマ処理装置
JPH0955374A (ja) * 1995-06-08 1997-02-25 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970071945A (ko) * 1996-02-20 1997-11-07 가나이 쯔도무 플라즈마처리방법 및 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142407A (ja) * 1993-11-12 1995-06-02 Nippon Soken Inc プラズマ発生装置
JPH0955374A (ja) * 1995-06-08 1997-02-25 Tokyo Electron Ltd プラズマ処理装置
JPH08321493A (ja) * 1996-06-18 1996-12-03 Hitachi Ltd プラズマ処理装置
JPH08339991A (ja) * 1996-06-18 1996-12-24 Hitachi Ltd プラズマ処理装置

Also Published As

Publication number Publication date
KR19990067742A (ko) 1999-08-25
US20030205202A1 (en) 2003-11-06
JPH11193471A (ja) 1999-07-21
JP3314151B2 (ja) 2002-08-12

Similar Documents

Publication Publication Date Title
KR19990067742A (ko) 플라즈마화학증착(cvd)장치
CN102017122B (zh) 低轮廓性的工艺套组
US6387208B2 (en) Inductive coupling plasma processing apparatus
US6727654B2 (en) Plasma processing apparatus
KR102049146B1 (ko) 플라즈마 에칭 방법, 플라즈마 에칭 장치, 및 기판 탑재대
US11784085B2 (en) Plasma processing apparatus
US10553409B2 (en) Method of cleaning plasma processing apparatus
JPH10330944A (ja) 基板処理装置
KR100897176B1 (ko) 유도 결합형 플라즈마 처리 장치
CN107680896B (zh) 基板处理装置以及基板处理方法
US20090194237A1 (en) Plasma processing system
KR20200103556A (ko) 거치대 및 기판 처리 장치
JP2000091247A (ja) プラズマ処理装置
JP2021034515A (ja) クリーニング方法及びマイクロ波プラズマ処理装置
JP2001020076A (ja) 反応室のクリーニング方法及び装置
CN111755312B (zh) 等离子体处理装置
KR20210114865A (ko) 에지링의 지지 방법, 플라즈마 처리 장치, 및 기판 처리 시스템
US20240105470A1 (en) Substrate processing apparatus and semiconductor device manufacturing method using the same
JP4190949B2 (ja) プラズマ処理装置
JP2004047500A (ja) プラズマ処理装置およびその初期化方法
CN111725062B (zh) 膜的蚀刻方法和等离子体处理装置
US20220037124A1 (en) Plasma processing apparatus and plasma processing method
JP4355490B2 (ja) 堆積膜形成装置
KR20210122084A (ko) 에지 링 및 플라즈마 처리 장치
CN113410161A (zh) 基片处理装置和基片处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20020530

Effective date: 20031229