JP7249744B2 - 成膜装置及び成膜方法 - Google Patents

成膜装置及び成膜方法 Download PDF

Info

Publication number
JP7249744B2
JP7249744B2 JP2018146227A JP2018146227A JP7249744B2 JP 7249744 B2 JP7249744 B2 JP 7249744B2 JP 2018146227 A JP2018146227 A JP 2018146227A JP 2018146227 A JP2018146227 A JP 2018146227A JP 7249744 B2 JP7249744 B2 JP 7249744B2
Authority
JP
Japan
Prior art keywords
film forming
plate
forming apparatus
ozone gas
supply unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018146227A
Other languages
English (en)
Other versions
JP2020021888A (ja
Inventor
寿 加藤
智也 長谷川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2018146227A priority Critical patent/JP7249744B2/ja
Priority to US16/520,955 priority patent/US11655539B2/en
Priority to KR1020190092111A priority patent/KR102583455B1/ko
Publication of JP2020021888A publication Critical patent/JP2020021888A/ja
Application granted granted Critical
Publication of JP7249744B2 publication Critical patent/JP7249744B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本開示は、成膜装置及び成膜方法に関する。
従来から、基板に酸化膜を成膜するにあたり、基板を加熱する加熱機構を用いずに良好な性質の酸化膜を得ることを目的とした成膜装置が知られている(例えば、特許文献1参照)。かかる特許文献1に記載の成膜装置では、第1の領域及び第2の領域に対してテーブルが相対的に回転することで、基板が第1の領域と第2の領域とに交互に繰り返し位置されるように装置を構成し、第1の領域には原料ガスを供給し、第2の領域においてはテーブルに対して相対的に処理空間形成部材を昇降させる。処理空間形成部により構成される処理空間には、オゾンを含む雰囲気ガスとNOガスが供給され、NOとオゾンとが化学反応を起こしてオゾンにエネルギーが供給され、オゾンが強制的に分解されてこのオゾンの分解により原料の酸化を行う。さらに、処理空間を不活性ガスが供給されるバッファ領域に連通した状態と、バッファ領域から区画された状態とで切り替える区画機構が設けられ、分解時の処理空間の圧力上昇を抑える。
特開2016-4866号公報
本開示は、ウェーハの温度を上げずにオゾンの分解効率を上げて酸化力を向上させることができる成膜装置及び成膜方法を提供する。
本開示の一態様に係る成膜装置は、処理室と、
該処理室内に設けられ、基板を周方向に沿って載置可能な回転テーブルと、
該回転テーブル上にオゾンガスを供給可能なオゾンガス供給部と、
該オゾンガス供給部の直上を含めて覆うように設けられた板状部材と、
該板状部材の上面に設けられたオゾン活性化手段と、を有し、
前記板状部材は、
該板状部材の上面を構成する上面板と、
前記上面板を前記処理室の天井面に固定し、かつ前記上面板と前記天井面との間にスペースを形成する上部固定部と、
を有し、
前記オゾン活性化手段は、前記スペースにおいて前記上面板の上面に載置される
本発明によれば、オゾンの分解効率を向上させて酸化力を向上させることができる。
本開示の実施形態に係る成膜装置を示す断面図である。 本開示の実施形態に係る成膜装置の真空容器内の構造を示す斜視図である。 本開示の実施形態に係る成膜装置の真空容器内の構造を示す概略上面である。 本開示の実施形態に係る成膜装置の一部断面である。 本開示の実施形態に係る成膜装置の他の一部断面である。 成膜装置の真空容器内におけるオゾンが分解する温度を調べる実験の結果を示した図である。 本実施形態に係る成膜装置の活性化プレート及びヒータの一例を示した図である。 第2の実施形態に係る成膜装置の一例を示した図である。 第3の実施形態に係る成膜装置の一例を示した図である。
以下、図面を参照して、本発明を実施するための形態の説明を行う。
[成膜装置]
まず、本開示の第1の実施形態に係る成膜装置について説明する。
図1は、本開示の実施形態に係る成膜装置の縦断面図である。図2は、本開示の実施形態に係る成膜装置の真空容器の容器本体の斜視図である。図2は、本開示の実施形態に係る成膜装置の真空容器の容器本体の平面図である。
図1から図3までを参照すると、本発明の実施形態による成膜装置は、ほぼ円形の平面形状を有する扁平な真空容器1と、この真空容器1内に設けられ、真空容器1の中心に回転中心を有する回転テーブル2と、を備えている。真空容器1は、基板を内部に収容して成膜処理を施すための処理室である。真空容器1は、有底の円筒形状を有する容器本体12と、容器本体12の上面に対して、例えばOリングなどのシール部材13(図1)を介して気密に着脱可能に配置される天板11とを有している。
回転テーブル2は、中心部にて円筒形状のコア部21に固定され、このコア部21は、鉛直方向に伸びる回転軸22の上端に固定されている。回転軸22は真空容器1の底部14を貫通し、その下端が回転軸22(図1)を鉛直軸回りに回転させる駆動部23に取り付けられている。回転軸22及び駆動部23は、上面が開口した筒状のケース体20内に収納されている。このケース体20はその上面に設けられたフランジ部分が真空容器1の底部14の下面に気密に取り付けられており、ケース体20の内部雰囲気が外部雰囲気から隔離される。
回転テーブル2の表面には、図2及び図3に示すように回転方向(周方向)に沿って複数(図示の例では5枚)半導体ウェーハ(以下「ウェーハ」という)Wを載置するための円形状の凹部24が設けられている。なお図3には便宜上1個の凹部24だけにウェーハWを示す。この凹部24は、ウェーハWの直径(例えば300mm)よりも僅かに例えば4mm大きい内径と、ウェーハWの厚さにほぼ等しい深さとを有している。したがって、ウェーハWを凹部24に載置すると、ウェーハWの表面と回転テーブル2の表面(ウェーハWが載置されない領域)とが同じ高さになる。
図2及び図3は、真空容器1内の構造を説明する図であり、説明の便宜上、天板11の図示を省略している。図2及び図3に示すように、回転テーブル2の上方には、各々例えば石英からなる反応ガスノズル31、反応ガスノズル32、及び分離ガスノズル41,42が配置されている。図示の例では、真空容器1の周方向に間隔をおいて、搬送口15(後述)から時計回り(回転テーブル2の回転方向)に分離ガスノズル41、反応ガスノズル31、分離ガスノズル42、及び反応ガスノズル32の順に配列されている。これらのノズル31、32、41、及び42は、それぞれの基端部であるガス導入ポート31a、32a、41a、及び42a(図3)を容器本体12の外周壁に固定することにより、真空容器1の外周壁から真空容器1内に導入され、容器本体12の半径方向に沿って回転テーブル2に対して平行に伸びるように取り付けられている。
反応ガスノズル31には、第1の反応ガスが貯留される第1の反応ガス供給源が開閉バルブや流量調整器(ともに不図示)を介して接続され、反応ガスノズル32には、第1の反応ガスと反応する第2の反応ガスが貯留される第2の反応ガス供給源が開閉バルブや流量調整器(ともに不図示)を介して接続されている。
ここで、第1の反応ガスは、金属元素(又は半導体元素)を含むガスが選択される。具体的には、第1の反応ガスは、High-k(高誘電体)膜を成膜する原料ガスが選択され、金属元素(又は半導体元素)を含む有機金属(又は半導体)ガスであってもよい。また、第1の反応ガスは、ウェーハWの表面に対して吸着性を有するガスであることが好ましい。
第2の反応ガスは、ウェーハWの表面に吸着する第1の反応ガスと反応して酸化物を生成する酸化ガスであり、具体的には、オゾンガスが選択される。よって、第2の反応ガスノズル32は、真空容器1の外部に設けられたオゾナイザ60に接続される。オゾナイザ60で生成されたオゾンガスが、第2の反応ガスノズル32から供給される。
第2の反応ガスノズル32の上方には、活性化プレート80が設けられる。活性化プレートは、第2の反応ガスノズル32から供給されるオゾンガスの分解を促進し、オゾンガスを活性化させるための板状部材である。具体的には、活性化プレート80には、オゾンガスを活性化させるオゾン活性化手段(図示せず)が搭載され、第2の反応ガスノズル32から供給されるオゾンガスを活性化する。オゾン活性化手段は、オゾンを活性化できる種々の手段を用いることができ、光触媒、レーザ、ヒータ等を用いることができる。オゾン活性化手段の詳細については後述する。
活性化プレート80は、第2の反応ガスノズル32から供給されたオゾンを活性化すべく、第2の反応ガスノズル32の下流側の広い範囲を覆う。活性化プレート80は、図2、3に示される通り、例えば、略扇形の形状を有してもよい。活性化プレート80は、例えば、石英で構成されてもよい。なお、活性化プレート80の詳細についても後述する。
また、分離ガスノズル41、42には、ArやHeなどの希ガスや窒素ガスなどの不活性ガスの供給源が開閉バルブや流量調整器(ともに不図示)を介して接続されている。本実施形態においては、不活性ガスとしてNガスが使用される。
反応ガスノズル31、32には、回転テーブル2に向かって下方に開口する複数のガス吐出孔33(図4参照)が、反応ガスノズル31、32の長さ方向に沿って、例えば10mmの間隔で配列されている。反応ガスノズル31の下方領域は、第1の反応ガスをウェーハWに吸着させるための第1の処理領域P1となる。反応ガスノズル32の下方領域は、第1の処理領域P1においてウェーハWに吸着された第1の反応ガスを酸化させる第2の処理領域P2となる。
図2及び図3を参照すると、真空容器1内には2つの凸状部4が設けられている。凸状部4は、頂部が円弧状に切断された略扇型の平面形状を有し、本実施形態においては、内円弧が突出部5(後述)に連結し、外円弧が、真空容器1の容器本体12の内周面に沿うように配置されている。図4は、反応ガスノズル31から反応ガスノズル32まで回転テーブル2の同心円に沿った真空容器1の断面を示している。図示のとおり、凸状部4は、天板11の裏面に取り付けられている。このため、真空容器1内には、凸状部4の下面である平坦な低い天井面44(第1の天井面)と、この天井面44の周方向両側に位置する、天井面44よりも高い天井面45(第2の天井面)とが存在している。
また、図4に示すとおり、凸状部4には周方向中央において溝部43が形成されており、溝部43は、回転テーブル2の半径方向に沿って延びている。溝部43には、分離ガスノズル42が収容されている。もう一つの凸状部4にも同様に溝部43が形成され、ここに分離ガスノズル41が収容されている。なお、図4において、分離ガスノズル42の下面にはガス吐出孔42hが設けられている。ガス吐出孔42hは、分離ガスノズル42の長手方向に沿って所定の間隔(例えば10mm)をあけて複数個形成されている。また、ガス吐出孔の開口径は例えば0.3から1.0mmである。図示を省略するが、分離ガスノズル41にも同様にガス吐出孔が形成されている。
高い天井面45の下方の空間には、反応ガスノズル31、32がそれぞれ設けられている。これらの反応ガスノズル31、32は、天井面45から離間してウェーハWの近傍に設けられている。なお、説明の便宜上、図4に示すように、高い天井面45の下方の空間481に反応ガスノズル31が設けられ、高い天井面45の下方の空間482に反応ガスノズル32が設けられる。
反応ガスノズル32の上方には、活性化プレート80が設けられている。活性化プレート80は、反応ガスノズル32を覆うように設けられる。活性化プレート80は、反応ガスノズル32の直上に接近して設けられるので、オゾンガスに直接的に分解及び活性化を働きかけることができる。また、活性化プレート80は、高い天井面45と回転テーブル2との間の距離を狭くすることができるので、活性化プレート80の下方の空間を高圧にすることができ、オゾンガスとウェーハWとの接触を促進することができる。かかる観点からも、活性化プレート80はオゾンガスの酸化力を向上させる機能を有する。
低い天井面44は、狭隘な空間である分離空間Hを回転テーブル2に対して形成している。分離ガスノズル42からNガスが供給されると、このNガスは、分離空間Hを通して空間481及び空間482へ向かって流れる。このとき、分離空間Hの容積は空間481及び482の容積よりも小さいため、Nガスにより分離空間Hの圧力を空間481及び482の圧力に比べて高くすることができる。すなわち、空間481及び482の間において、分離空間Hは圧力障壁を提供する。しかも、分離空間Hから空間481及び482へ流れ出るNガスは、第1の処理領域P1からの第1の反応ガスと、第2の処理領域P2からの第2の反応ガスとに対するカウンターフローとして働く。したがって、第1の処理領域P1からの第1の反応ガスと、第2の処理領域P2からの第2の反応ガスとが分離空間Hにより分離される。よって、真空容器1内において第1の反応ガスと第2の反応ガスとが混合して反応することが抑制される。
なお、回転テーブル2の上面に対する天井面44の高さh1は、成膜時の真空容器1内の圧力、回転テーブル2の回転速度、供給する分離ガス(Nガス)の供給量などを考慮し、分離空間Hの圧力を空間481及び482の圧力に比べて高くするのに適した高さに設定することが好ましい。
再び図2及び図3を参照すると、天板11の下面には、回転テーブル2を固定するコア部21の外周を囲むように突出部5が設けられている。この突出部5は、本実施形態においては、凸状部4における回転中心側の部位と連続しており、その下面が天井面44と同じ高さに形成されている。
先に参照した図1は、図3のI-I'線に沿った断面図であり、天井面45が設けられている領域を示している一方、図5は、天井面44が設けられている領域を示す一部断面図である。図5に示すように、略扇型の凸状部4の周縁部(真空容器1の外縁側の部位)には、回転テーブル2の外端面に対向するようにL字型に屈曲する屈曲部46が形成されている。この屈曲部46は、回転テーブル2と容器本体12の内周面との間の空間を通して、空間481及び空間482の間でガスが流通するのを抑制する。扇型の凸状部4は、天板11に設けられ、天板11が容器本体12から取り外せるようになっていることから、屈曲部46の外周面と容器本体12との間には僅かに隙間がある。屈曲部46の内周面と回転テーブル2の外端面との隙間、及び屈曲部46の外周面と容器本体12との隙間は、例えば回転テーブル2の上面に対する天井面44の高さと同様の寸法に設定されている。
再び図3を参照すると、回転テーブル2と容器本体の内周面との間において、空間481と連通する第1の排気口610と、空間482と連通する第2の排気口620とが形成されている。第1の排気口610及び第2の排気口620は、図1に示すように各々排気管630を介して真空排気手段である例えば真空ポンプ640に接続されている。なお図1中、参照符号650は圧力調整器である。
回転テーブル2と真空容器1の底部14との間の空間には、図1及び図5に示すように加熱手段であるヒータユニット7が設けられ、回転テーブル2を介して回転テーブル2上のウェーハWが、プロセスレシピで決められた温度(例えば300℃)に加熱される。回転テーブル2の周縁付近の下方側には、回転テーブル2の下方の空間へガスが侵入するのを抑えるために、リング状のカバー部材71が設けられている。図5に示すように、このカバー部材71は、回転テーブル2の外縁部及び外縁部よりも外周側を下方側から臨むように設けられた内側部材71aと、この内側部材71aと真空容器1の内壁面との間に設けられた外側部材71bと、を備えている。外側部材71bは、凸状部4の外縁部に形成された屈曲部46の下方にて、屈曲部46と近接して設けられ、内側部材71aは、回転テーブル2の外縁部下方(及び外縁部よりも僅かに外側の部分の下方)において、ヒータユニット7を全周に亘って取り囲んでいる。
図1に示すように、ヒータユニット7が配置されている空間よりも回転中心寄りの部位における底部14は、回転テーブル2の下面の中心部付近におけるコア部21に接近するように上方側に突出して突出部12aをなしている。この突出部12aとコア部21との間は狭い空間になっている。また、底部14を貫通する回転軸22の貫通孔の内周面と回転軸22との隙間が狭くなっていて、これら狭い空間はケース体20に連通している。そしてケース体20にはパージガスであるNガスを狭い空間内に供給してパージするためのパージガス供給管72が設けられている。さらに、真空容器1の底部14には、ヒータユニット7の下方において周方向に所定の角度間隔で、ヒータユニット7の配置空間をパージするための複数のパージガス供給管73が設けられている(図5には一つのパージガス供給管73を示す)。さらにまた、ヒータユニット7と回転テーブル2との間には、ヒータユニット7が設けられた領域へのガスの侵入を抑えるために、外側部材71bの内周壁(内側部材71aの上面)から突出部12aの上端部との間を周方向に亘って覆う蓋部材7aが設けられている。蓋部材7aは例えば石英で作製することができる。
パージガス供給管72からNガスを供給すると、このNガスは、回転軸22の貫通孔の内周面と回転軸22との隙間と、突出部12aとコア部21との間の隙間とを通して、回転テーブル2と蓋部材7aとの間の空間を流れ、第1の排気口610又は第2の排気口620(図3)から排気される。また、パージガス供給管73からNガスを供給すると、このNガスは、ヒータユニット7が収容される空間から、蓋部材7aと内側部材71aとの間の隙間(不図示)を通して流出し、第1の排気口610又は第2の排気口620(図3)から排気される。これらNガスの流れにより、真空容器1の中央下方の空間と、回転テーブル2の下方の空間とを通して、空間481及び空間482内のガスが混合するのを抑制することができる。
また、真空容器1の天板11の中心部には分離ガス供給管51が接続されていて、天板11とコア部21との間の空間52に分離ガスであるNガスを供給するように構成されている。この空間52に供給された分離ガスは、突出部5と回転テーブル2との狭い空間50を介して回転テーブル2のウェーハ載置領域側の表面に沿って周縁に向けて吐出される。空間50は分離ガスにより空間481及び空間482よりも高い圧力に維持され得る。したがって、空間50により、第1の処理領域P1に供給される第1の反応ガスと、第2の処理領域P2に供給される第2の反応ガスとが、中心領域Cを通って混合することが抑制される。すなわち、空間50(又は中心領域C)は分離空間H(又は分離領域D)と同様に機能することができる。
さらに、真空容器1の側壁には、図2、図3に示すように、外部の搬送アーム10と回転テーブル2との間で基板であるウェーハWの受け渡しを行うための搬送口15が形成されている。この搬送口15は図示しないゲートバルブにより開閉される。また回転テーブル2におけるウェーハ載置領域である凹部24はこの搬送口15に対向する位置にて搬送アーム10との間でウェーハWの受け渡しが行われることから、回転テーブル2の下方側において受け渡し位置に対応する部位に、凹部24を貫通してウェーハWを裏面から持ち上げるための受け渡し用の昇降ピン及びその昇降機構(いずれも図示せず)が設けられている。
また、本実施形態による成膜装置には、図1に示すように、装置全体の動作のコントロールを行うためのコンピュータからなる制御部100が設けられており、この制御部100のメモリ内には、制御部100の制御の下に、後述する成膜方法を成膜装置に実施させるプログラムが格納されている。このプログラムは後述の成膜方法を実行するようにステップ群が組まれており、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの媒体102に記憶されており、所定の読み取り装置により記憶部101へ読み込まれ、制御部100内にインストールされる。
次に、活性化プレート80及びオゾン活性手段をヒータとした場合について説明する。
図6は、図1乃至図5において説明した成膜装置において、真空容器1内の温度が何度のときにオゾンが分解するかの実験を行った結果を示した図である。
オゾンは、下記(1)式のように加熱分解する。
2O→3O+286kJ (1)
(1)式に示されるように、オゾンが酸素に分解すると、286kLもの発熱反応をし、これにより、強いエネルギーが発生し、オゾンの酸化力が高まる。よって、オゾンを分解すると、強い酸化力が得られる。
そこで、酸化の観点からは、オゾンが熱分解するような温度設定が好ましいが、有機金属ガスを原料ガスとするHigh-k(高誘電体)膜の成膜の場合、成膜条件として、ヒータユニット7の温度は300℃前後に設定される場合が多い。ヒータユニット7の設定温度が300℃前後であると、第2の反応ガスノズル32から供給されたオゾンガスが必ずしも熱分解していないおそれもある。
そこで、真空容器1内の温度を何度にすればオゾンガスが分解するかについて、実験を行った。その結果を図6に示す。
図6において、真空容器1内の温度が250℃以上300℃未満のとき(約50~60秒の期間)には、僅かしか分解反応は起こっていないが、300℃以上では(約70~80秒の期間)、分解反応が多く発生し、350℃以上では(約90~100秒の期間)、非常に短い期間にオゾンの熱分解が発生していることが分かる。
なお、オゾンは275℃以上で分解が進み、酸化力が増す。回転テーブル2内のヒータユニット7を300℃に設定しても、石英の回転テーブル2を経ての加熱であるため、真空容器1内は必ずしも275℃以上とはなっていない場合がある。しかしながら、オゾンを供給する箇所で直接的にオゾンを加熱すれば、オゾンを275℃以上とする条件は確実に満たすことができる。
また、ウェーハWの温度設定は、プロセス上の制約があるが、オゾンガスの方には、特にこのような制約は無い。そこで、本実施形態では、活性化プレート80にヒータユニット7とは別個のヒータを設け、ヒータユニット7と独立して温度を制御できる構成を採用することとする。
図7は、本実施形態に係る成膜装置の活性化プレート80及びヒータ90の一例を示した図である。図7において、活性化プレート80の上面の斜視図が示されており、活性化プレート80の上面の略全体をヒータ90が覆うように設けられている。
図7に示されるように、活性化プレート80は、底面板81と、上面板82と、側面板83と、切り欠き部84と、上部固定部85と、下部固定部86とを有する。
底面板81は、活性化プレート80の最下面を構成し、回転テーブル2と対向する部分である。上面板82は、活性化プレート80の上面を構成し、ヒータ90を載置するための載置面を構成する。側面板83は、底面板81と上面板82との段差を繋ぐ部分であり、底面板81及び上面板82の双方に交わるように設けられ、例えば、底面板81及び上面板82に垂直に設けられる。なお、底面板81と上面板82とを設けて段差を形成しているのは、反応ガスノズル32を収納するスペースを確保するためである。なお、反応ガスノズル32を収容する上面板82及び側面板83で形成されたスペースを収容部87と呼ぶこととする。図7に示されるように、収容部84は広い領域を有し、複数本の反応ガスノズル32が収容可能に構成されている。
切り欠き部84は、反応ガスノズル32を通過させるために設けられた貫通穴である。なお、図7においては、切り欠き部84が3個設けられた活性化プレート80が示されている。このように、酸化力を強化したい場合には、活性化プレート80内に複数本の反応ガスノズル32を設ける構成としてもよい。なお、図7においては、3本の反応ガスノズル32を設けることが可能な構成を示しているが、用途に応じて反応ガスノズル32の本数は適宜変更することができる。また、図2、3に示したように、反応ガスノズル32を1本だけ設ける構成としてもよい。
上部固定部85は、活性化プレート80の上面板82を天井面45に固定するための部分であり、ヒータ90を載置するスペースを確保するためのスペーサとしての役割も果たす。下部固定部86は、活性化プレート80の底面板81を固定するための部分である。
ヒータ90は、反応ガスノズル32内及び反応ガスノズル32外のオゾンガスを加熱分解し、活性化するための加熱手段である。反応ガスノズル32の近傍にヒータ90を設けることにより、ウェーハW付近の温度には影響を与えず、オゾンガスのみを効率的に加熱することを意図している。かかるヒータ90を設けることにより、オゾンガスを供給時に加熱分解し、活性化させた状態で供給することができ、オゾンの酸化力を向上させることができる。
ヒータ90は、必要に応じて、内部に温度検出器91を備える。温度検出器91を設けることにより、活性化プレート80内の温度をリアルタイムで検出し、オゾンガスが確実に分解するようにヒータ90の温度を設定することができる。
このように、フィードバック制御を取り入れ、オゾンガスが確実に分解するようにヒータ90の温度を制御してもよい。制御は、例えば、制御部100が行う。予めヒータ90の目標温度を、反応ガスノズル32から供給されるオゾンガスが確実に分解するような温度に設定しておき、制御部100は、温度検出器91からの検出温度を取得し、それに応じてヒータ90の温度を制御し、目標温度に近付くように制御すれば、確実にオゾンガスを分解し、酸化力を向上させることができる。
なお、温度検出器91は、例えば、回転テーブル2の半径方向の中心側の温度検出器91a、外周側の温度検出器91b、その間の半径方向の真中付近の温度検出器91cというように、回転テーブル2の半径方向に沿って異なる位置に複数の温度検出器91a~91cを設けてもよい。これにより、半径方向における複数の位置について各々フィードバック制御を行うことができ、より正確な温度制御を行うことができる。また、図7においては、3個の温度検出器91a~91cを設けている例を挙げているが、それらの個数及び配置は、用途に応じて種々変更することができる。
また、温度検出器91は、活性化プレート80内の温度を適切に検出できれば、種々の温度検出器91を用いてよいが、例えば、熱電対を用いるようにしてもよい。
また、温度検出器91は、必ずしも活性化プレート80内に設ける必要は無く、活性化プレート80の表面や、活性化プレート付近の空間に設けるようにしてもよい。温度検出器91は、温度調整の対象に応じて、種々の位置に設置することができる。
このとき、制御部100は、回転テーブル2内に設けられたヒータユニット7の温度を独立して制御することができ、ヒータユニット7とヒータ90とを別個独立して制御することができる。
以上説明したように、第1の実施形態に係る成膜装置によれば、活性化プレート80を設け、活性化プレート80の下方に高圧領域を形成しつつ、上面にオゾンガスを加熱分解するヒータ90を設けることにより、オゾンの酸化力を著しく向上させることができる。更に、活性化プレート80内又は活性化プレート80付近に温度検出器91を設けることにより、種々の状況下でも適切に温度制御を行い、確実にオゾンの酸化力を向上させることができる。
図8は、第2の実施形態に係る成膜装置の一例を示した図である。第2の実施形態に係る成膜装置においては、活性化プレート80aの底面板81a、上面板82a及び側面板83aで囲む領域が第2の反応ガスノズル32の1本分の大きさとなっている点で、第1の実施形態に係る成膜装置と異なっている。このように、活性化プレート80aの回転テーブル2の回転方向における上流側の位置に1本分の反応ガスノズル32の収容部87a形成する構成としてもよい。
ヒータ90aは、反応ガスノズル32の収容部87aの下流側の略全面を覆うように設けられている。ヒータ90よりもやや幅が小さいが、構成自体はヒータ90と同様である。
このように、反応ガスノズル32の収容部87aを小さくして底面板81aの面積を増加させ、活性化プレート80aの下方の高圧領域を広く設ける構成としてもよい。高圧領域を広く形成することができる。
なお、必要に応じて、第1の実施形態に係る成膜装置と同様に、活性化プレート80a内又は活性化プレート80aの近傍に温度検出器91を設け、制御部100によるフィードバック制御を実行してもよく、その詳細は第1の実施形態で説明したのと同様である。
また、他の構成要素は、第1の実施形態に係る成膜装置と同様であるので、その説明を省略する。
図9は、第3の実施形態に係る成膜装置の一例を示した図である。第3の実施形態に係る成膜装置においては、活性化プレート80aの底面板81b、上面板82b及び側面板83bで囲む領域が第2の反応ガスノズル32の1本分の大きさとなっている点は、第2の実施形態に係る成膜装置と同様であるが、収容部87bが活性化プレート80bの略中央部に設けられている点で、第2の実施形態に係る成膜装置と異なっている。これにより、収容部87bの上流側にヒータ90b、下流側にヒータ90cが各々設けられ、ヒータ90b、90cが2個に分割された構成となっている。このように、反応ガスノズル32を活性化プレート80bの中央部に設け、回転テーブル2の回転方向における両側にヒータ90b、90cを各々配置する構成としてもよい。
ヒータ90bは、反応ガスノズル32の収容部87bの上流側の略全面を覆うように設けられており、ヒータ90cは、反応ガスノズル32の収容部87bの下流側の略全面を覆うように設けられている。そして、2個のヒータ90b、90cで活性化プレート80bの略全面を覆う構成となっている。
このように、反応ガスノズル32の収容部87bを活性化プレート80bの中心付近に設け、両側にヒータ90b、90cを配置する構成としてもよい。
なお、必要に応じて、第1の実施形態に係る成膜装置と同様に、活性化プレート80a内又は活性化プレート80aの近傍に温度検出器91を設け、制御部100によるフィードバック制御を実行してもよく、その詳細は第1の実施形態で説明したのと同様である。
また、他の構成要素は、第1及び第2の実施形態に係る成膜装置と同様であるので、その説明を省略する。
[成膜方法]
次に、本開示の実施形態に係る成膜方法について、上述の成膜装置を用いて実施される場合を例にとり説明する。なお、成膜装置は、第1の実施形態に係る成膜装置を用い、反応ガスノズル32は1本のみ設けられている例を挙げて説明する。
まず、図示しないゲートバルブを開き、搬送アーム10により搬送口15(図3)を介してウェーハWを回転テーブル2の凹部24内に受け渡す。この受け渡しは、凹部24が搬送口15に臨む位置に停止したときに凹部24の底面の貫通孔を介して真空容器1の底部側から不図示の昇降ピンが昇降することにより行われる。このようなウェーハWの受け渡しを、回転テーブル2を間欠的に回転させて行い、回転テーブル2の5つの凹部24内に夫々ウェーハWを載置する。
続いてゲートバルブを閉じ、真空ポンプ640により真空容器1を最低到達真空度まで排気した後、分離ガスノズル41、42から分離ガスであるNガスを所定の流量で吐出し、分離ガス供給管51及びパージガス供給管72からもNガスを所定の流量で吐出する。これに伴い、圧力調整器650により真空容器1内を予め設定した処理圧力に調整する。次いで、回転テーブル2を時計回りに例えば最大で240rpmの回転速度で回転させながら、ヒータユニット7によりウェーハWを例えば250℃から300℃までの範囲の温度に加熱する。
この後、真空容器1に対し、反応ガスノズル31から原料ガスを供給するとともに、反応ガスノズル32からオゾンガスを供給する。すなわち、原料ガスとオゾンガスとが同時に供給される。ただし、これらのガスは、分離空間H(図4)により分離され、真空容器1内で互いに混合することは殆ど無い。原料ガスは、例えば、High-k膜を成膜するのに用いられる有機金属ガスを用いてもよい。
原料ガスとオゾンガスとが同時に供給されるときに、回転テーブル2の回転によりウェーハWが第1の処理領域P1を通過すると、ウェーハWの表面に原料ガスが吸着し、第2の処理領域Pを通過すると、ウェーハWの表面に吸着した原料ガスをオゾンガスが酸化し、ウェーハWの表面に反応生成物、即ち原料ガスの酸化物による薄膜が成膜される。
この時、オゾンガスは、活性化プレート80のヒータ90により加熱され、熱分解が発生し、酸化力が増加した状態で原料ガスを酸化する。よって、非常に高い酸化力で原料ガスが酸化され、高品質の成膜を行うことができる。
回転テーブル2の回転を繰り返すことにより、回転テーブル2上のウェーハWは、第1の処理領域P1、分離領域D、第2の処理領域P2、分離領域Dを通過するサイクルを繰り返す。分離領域Dでは、Nガス等のパージガスが供給され、ウェーハWの表面がパージされる。
即ち、回転テーブル2の回転により、ウェーハW上には、原料ガスの吸着、パージ、オゾンによる酸化、パージのサイクルが繰り返される。そして、オゾンによる酸化のときに、酸化膜の分子層がウェーハWの表面上に堆積し、徐々に酸化膜が堆積する。
かかる成膜プロセス中、必要に応じて、温度検出器91の検出した温度に応じて、制御部100がヒータ90の温度を制御し、活性化プレート80の温度がオゾンガスを分解して活性化するのに適切な温度となるようにフィードバック制御を行ってもよい。その際、温度検出器91が複数の位置に設置されている場合には、局所的な温度制御を行うようにしてもよい。
このような成膜プロセスを継続し、所定の膜厚に到達したら、真空容器1へのガスの供給を停止し、回転テーブル2の回転を停止し、真空容器1内にウェーハWを搬入したときの手順と逆の手順により、真空容器1内からウェーハWを搬出する。これにより成膜工程が終了する。
以上のとおり、本実施形態に係る成膜方法によれば、ウェーハWの温度を高くすることなく、オゾンガスを加熱分解して酸化力を向上させることにより、薄膜の高品質化を図ることができる。
以上、本発明の好ましい実施形態について詳説したが、本発明は、上述した実施形態に制限されることはなく、本発明の範囲を逸脱することなく、上述した実施形態に種々の変形及び置換を加えることができる。
1 真空容器
2 回転テーブル
7 ヒータユニット
31、32 反応ガスノズル
41、42 分離ガスノズル
60 オゾナイザ
80 活性化プレート
90 ヒータ
91、91a、91b、91c 温度検出器

Claims (19)

  1. 処理室と、
    該処理室内に設けられ、基板を周方向に沿って載置可能な回転テーブルと、
    該回転テーブル上にオゾンガスを供給可能なオゾンガス供給部と、
    該オゾンガス供給部の直上を含めて覆うように設けられた板状部材と、
    該板状部材の上面に設けられたオゾン活性化手段と、を有し、
    前記板状部材は、
    該板状部材の上面を構成する上面板と、
    前記上面板を前記処理室の天井面に固定し、かつ前記上面板と前記天井面との間にスペースを形成する上部固定部と、
    を有し、
    前記オゾン活性化手段は、前記スペースにおいて前記上面板の上面に載置される、
    成膜装置。
  2. 前記オゾン活性化手段は、前記オゾンガスを加熱可能な第1のヒータであり、
    前記回転テーブル内に設けられ、前記基板を加熱可能な第2のヒータと、
    前記第1のヒータの加熱温度と前記第2のヒータの加熱温度とを独立して制御可能な制御部と、を更に有する請求項1に記載の成膜装置。
  3. 前記制御部は、前記第1のヒータの加熱温度が前記第2のヒータの加熱温度よりも高くなるように制御する請求項2に記載の成膜装置。
  4. 前記制御部は、前記第1のヒータの加熱温度を前記オゾンガスの分解温度よりも高い温度に制御する請求項3に記載の成膜装置。
  5. 前記板状部材は、前記処理室の天井面と前記回転テーブルとの間の空間に略水平に設けられ、前記板状部材と前記回転テーブルとの間の圧力を前記天井面と前記回転テーブルとの間の空間よりも高くするように設けられる請求項2乃至4のいずれか一項に記載の成膜装置。
  6. 前記第1のヒータは、前記板状部材の略全面を覆うように設けられている請求項2乃至5のいずれか一項に記載の成膜装置。
  7. 前記板状部材は石英からなる請求項2乃至6のいずれか一項に記載の成膜装置。
  8. 前記オゾンガス供給部は、前記回転テーブルの半径方向に沿って延びる形状を有しており、
    前記板状部材は、前記回転テーブルの外周に沿った円弧形状を有する略扇形の形状を有する請求項2乃至7のいずれか一項に記載も成膜装置。
  9. 前記オゾンガス供給部は、前記板状部材における前記回転テーブルの回転方向の上流側に設けられ、
    前記第1のヒータは、前記オゾンガス供給部よりも下流側に設けられる請求項2乃至8のいずれか一項に記載の成膜装置。
  10. 前記板状部材内に設けられた温度検出器を更に有し、
    前記制御部は、前記温度検出器で検出された温度に基づいて前記第1のヒータの加熱温度を制御する請求項2乃至9のいずれか一項に記載の成膜装置。
  11. 前記温度検出器は、前記回転テーブルの半径方向において複数個設けられている請求項10に記載の成膜装置。
  12. 前記温度検出器は、熱電対である請求項10又は11に記載の成膜装置。
  13. 前記回転テーブルの回転方向における前記オゾンガス供給部の上流側に設けられた原料ガスを前記回転テーブルに供給可能な原料ガス供給部と、
    該原料ガス供給部よりも前記回転テーブルの回転方向における下流側であって前記オゾンガス供給部よりも上流側に設けられた第1のパージガス供給部と、
    前記オゾンガス供給部よりも前記回転テーブルの回転方向における下流側であって前記原料ガス供給部よりも上流側に設けられた第2のパージガス供給部と、を更に有する請求項1乃至10のいずれか一項に記載の成膜装置。
  14. 前記原料ガス供給部は、High-k膜の成膜に用いられる原料ガスを供給する請求項13に記載の成膜装置。
  15. 前記オゾンガス供給部は、1つの前記板状部材に対して複数個設けられている請求項1乃至14のいずれか一項に記載の成膜装置。
  16. 基板を載置した回転テーブル内に設けられた第1のヒータで前記基板を第1の加熱温度で加熱する工程と、
    前記回転テーブル上にオゾンガスを供給可能なオゾンガス供給部の直上を含めて覆う板状部材の上面に設けた第2のヒータで、前記オゾンガスを第2の加熱温度で加熱する工程と、
    前記基板を前記第1の加熱温度で加熱した状態で前記回転テーブルを回転させ、前記オゾンガス供給部より前記回転テーブルの回転方向における上流側に設けられた原料ガス供給部から前記基板上に原料ガスを吸着させる工程と、
    前記基板を前記第1の加熱温度で加熱した状態で前記回転テーブルを回転させ、前記オゾンガス供給部から前記第2の加熱温度で加熱された前記オゾンガスを前記基板に供給し、前記基板上に吸着した前記原料ガスを酸化して酸化膜を前記基板上に堆積させる工程と、を有し、
    前記回転テーブルは、処理室内に設けられ、
    前記板状部材は、
    該板状部材の上面を構成する上面板と、
    前記上面板を前記処理室の天井面に固定し、かつ前記上面板と前記天井面との間にスペースを形成する上部固定部と、
    を有し、
    前記第2のヒータは、前記スペースにおいて前記上面板の上面に載置される、
    成膜方法。
  17. 前記第2の加熱温度を前記第1の加熱温度よりも高く設定して前記オゾンガスを加熱する請求項16に記載の成膜方法。
  18. 前記第2の加熱温度を前記オゾンガスの分解温度よりも高く設定して前記オゾンガスを加熱分解する請求項17に記載の成膜方法。
  19. 前記オゾンガスの温度を検出する工程と、
    検出した前記オゾンガスの温度に基づいて前記第2の加熱温度を制御する工程と、を更に有する請求項16乃至18のいずれか一項に記載の成膜方法。
JP2018146227A 2018-08-02 2018-08-02 成膜装置及び成膜方法 Active JP7249744B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2018146227A JP7249744B2 (ja) 2018-08-02 2018-08-02 成膜装置及び成膜方法
US16/520,955 US11655539B2 (en) 2018-08-02 2019-07-24 Film deposition apparatus and film deposition method
KR1020190092111A KR102583455B1 (ko) 2018-08-02 2019-07-30 성막 장치 및 성막 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018146227A JP7249744B2 (ja) 2018-08-02 2018-08-02 成膜装置及び成膜方法

Publications (2)

Publication Number Publication Date
JP2020021888A JP2020021888A (ja) 2020-02-06
JP7249744B2 true JP7249744B2 (ja) 2023-03-31

Family

ID=69227396

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018146227A Active JP7249744B2 (ja) 2018-08-02 2018-08-02 成膜装置及び成膜方法

Country Status (3)

Country Link
US (1) US11655539B2 (ja)
JP (1) JP7249744B2 (ja)
KR (1) KR102583455B1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
CN113066904A (zh) * 2021-03-31 2021-07-02 上海钧乾智造科技有限公司 臭氧氧化工艺及臭氧氧化***
CN115418628B (zh) * 2022-08-29 2023-08-18 拓荆科技股份有限公司 加热盘的气路***、控制方法及存储介质
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009224775A (ja) 2008-02-20 2009-10-01 Tokyo Electron Ltd ガス供給装置、成膜装置及び成膜方法
JP2010239103A (ja) 2008-08-29 2010-10-21 Tokyo Electron Ltd 活性化ガスインジェクター、成膜装置及び成膜方法
JP2013225684A (ja) 2013-06-11 2013-10-31 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
JP2014017331A (ja) 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2014022653A (ja) 2012-07-20 2014-02-03 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2016136601A (ja) 2015-01-23 2016-07-28 東京エレクトロン株式会社 基板処理装置
JP2016157724A (ja) 2015-02-23 2016-09-01 東京エレクトロン株式会社 成膜装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP2003133299A (ja) * 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd 半導体製造装置および半導体製造方法
JP2006165516A (ja) * 2004-11-12 2006-06-22 Tokyo Electron Ltd 熱処理板の付着物検出方法,熱処理装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP5954202B2 (ja) * 2013-01-29 2016-07-20 東京エレクトロン株式会社 成膜装置
JP6225842B2 (ja) 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009224775A (ja) 2008-02-20 2009-10-01 Tokyo Electron Ltd ガス供給装置、成膜装置及び成膜方法
JP2010239103A (ja) 2008-08-29 2010-10-21 Tokyo Electron Ltd 活性化ガスインジェクター、成膜装置及び成膜方法
JP2014017331A (ja) 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2014022653A (ja) 2012-07-20 2014-02-03 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2013225684A (ja) 2013-06-11 2013-10-31 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
JP2016136601A (ja) 2015-01-23 2016-07-28 東京エレクトロン株式会社 基板処理装置
JP2016157724A (ja) 2015-02-23 2016-09-01 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
JP2020021888A (ja) 2020-02-06
KR20200015393A (ko) 2020-02-12
US20200040456A1 (en) 2020-02-06
US11655539B2 (en) 2023-05-23
KR102583455B1 (ko) 2023-09-27

Similar Documents

Publication Publication Date Title
JP7249744B2 (ja) 成膜装置及び成膜方法
US9267204B2 (en) Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
US9748104B2 (en) Method of depositing film
JP5434484B2 (ja) 成膜装置、成膜方法及び記憶媒体
US20180076021A1 (en) Substrate processing apparatus
TWI494459B (zh) 成膜裝置、成膜方法及記憶媒體
KR101588083B1 (ko) 성막 방법
JP5276387B2 (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP6150506B2 (ja) 成膜方法
JP2010073823A (ja) 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
JP6747220B2 (ja) 基板処理装置及び基板処理方法
KR101829951B1 (ko) 실리콘 산화막의 제조 방법
US10053776B2 (en) Method of detoxifying exhaust pipe and film forming apparatus
JP5913079B2 (ja) 成膜方法
JP2018152427A (ja) 成膜装置
JP6096955B2 (ja) 成膜方法
JP6441050B2 (ja) 成膜方法
JP7325350B2 (ja) 成膜装置
US20230407466A1 (en) Deposition method and deposition apparatus
US20230068938A1 (en) Film forming apparatus and film forming method
JP2024027409A (ja) 成膜装置及び成膜方法
JP2023032743A (ja) 基板に成膜処理を行う装置、及び基板に成膜処理を行う装置から処理ガスを排気する方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220329

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221021

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230221

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230320

R150 Certificate of patent or registration of utility model

Ref document number: 7249744

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150