KR102585068B1 - 척킹력 제어를 이용한 esc 기판 지지 - Google Patents

척킹력 제어를 이용한 esc 기판 지지 Download PDF

Info

Publication number
KR102585068B1
KR102585068B1 KR1020227029512A KR20227029512A KR102585068B1 KR 102585068 B1 KR102585068 B1 KR 102585068B1 KR 1020227029512 A KR1020227029512 A KR 1020227029512A KR 20227029512 A KR20227029512 A KR 20227029512A KR 102585068 B1 KR102585068 B1 KR 102585068B1
Authority
KR
South Korea
Prior art keywords
substrate
chucking
substrate support
sensor
support
Prior art date
Application number
KR1020227029512A
Other languages
English (en)
Other versions
KR20220123483A (ko
Inventor
웬델 글렌 주니어 보이드
짐 종이 히
젠웬 딩
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237032987A priority Critical patent/KR20230141943A/ko
Publication of KR20220123483A publication Critical patent/KR20220123483A/ko
Application granted granted Critical
Publication of KR102585068B1 publication Critical patent/KR102585068B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24507Intensity, dose or other characteristics of particle beams or electromagnetic radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)
  • Drying Of Semiconductors (AREA)
  • Mechanical Engineering (AREA)

Abstract

본 명세서에 설명된 실시예들은, 기판 프로세싱 동안 기판의 편향, 및 그에 따른 기판과 기판 지지부 사이의 접촉력을 모니터링 및 제어함으로써 기판의 비활성 표면에 대한 바람직하지 않은 스크래치들을 감소시키거나 실질적으로 제거하는 데 사용되는 방법들 및 장치를 제공한다. 일 실시예에서, 기판을 프로세싱하기 위한 방법은, 기판 지지부의 패터닝된 표면 상에 기판을 포지셔닝시키는 단계 ― 기판 지지부는 프로세싱 챔버의 프로세싱 볼륨에 배치됨 ―, 기판 지지부에 배치된 척킹 전극에 척킹 전압을 인가하는 단계, 기판과 기판 지지부 사이에 배치된 후면측 볼륨으로 가스를 유동시키는 단계, 기판의 편향을 모니터링하는 단계, 및 기판의 편향에 기반하여 척킹 파라미터를 변화시키는 단계를 포함한다.

Description

척킹력 제어를 이용한 ESC 기판 지지{ESC SUBSTRATE SUPPORT WITH CHUCKING FORCE CONTROL}
[0001] 본 명세서에 설명되는 실시예들은 일반적으로 반도체 디바이스 제조에 관한 것으로, 더 상세하게는 정전식 척킹(ESC) 기판 지지부와 그 상에 배치된 기판 사이의 접촉력을 제어하는 데 사용되는 방법들 및 장치에 관한 것이다.
[0002] 정전 척들로서 일반적으로 알려진 정전식 척킹(ESC) 기판 지지부들은 정전식 척킹(ESC)력에 의해 프로세싱 챔버의 프로세싱 볼륨 내의 프로세싱 포지션에 기판을 안전하게 유지하도록 반도체 디바이스 제조에서 사용된다. 척킹력은 기판 지지부의 유전체 재료에 매립된 척킹 전극에 제공되는 DC 전압과 유전체 재료의 표면 상에 배치된 기판 사이의 전위의 함수이다.
[0003] 프로세싱 챔버의 프로세싱 볼륨에 존재하는 저압력 대기는 기판 지지부의 유전체 재료와 기판 사이에서 불량한 열 전도를 초래한다. 불량한 열 전도는, 원하는 온도로 또는 원하는 범위의 온도들 내로 기판을 유지하기 위해 기판을 가열 또는 냉각시킬 시에 기판 지지부의 효과를 감소시킨다. 따라서, 일부 프로세스들에서, 열 전도성 비활성 가스, 예컨대 헬륨은 기판의 비활성 표면과 기판 지지부 사이에 배치된 후면측 볼륨으로 도입되어, 기판의 비활성 표면과 기판 지지부 사이의 열 전달을 개선시킨다. 후면측 볼륨의 더 높은 압력(후면측 압력)은, 프로세싱 볼륨 내의 압력(프로세싱 압력)과 비교할 경우, 척킹 전극에 의해 가해지는 척킹력의 반대인 후면측 힘을 기판에 가한다. 척킹력과 후면측 힘 사이의 차이는 기판과 기판 지지부 표면 사이의 접촉력을 포함한다.
[0004] 유감스럽게도, 기판과 기판 지지부 표면 사이의 과도한 접촉력은 기판의 비-활성 표면 상의 바람직하지 않은 스크래치들 또는 그 비-활성 표면의 피팅(pitting), 기판 지지부의 유전체 재료의 바람직하지 않은 마모, 또는 둘 모두를 초래한다. 스크래치들로부터 또는 기판 지지부의 마모된 유전체 재료로부터 생성된 미립자 재료들은 결국 기판 지지부 또는 기판의 비활성 표면으로부터 기판의 활성 표면 또는 다른 기판들로 전달된다. 챔버에서 또는 후속 처리 및 프로세싱 동작들에서 발생할 수 있는 이러한 미립자 전달은 궁극적으로, 미립자들이 전달된 기판들로부터의 디바이스 수율을 억제한다.
[0005] 따라서, 정전식 척킹(ESC) 기판 지지부와 그 상에 배치된 기판 사이의 접촉력을 제어하는 데 사용되는 개선된 방법들 및 장치에 대한 필요성이 당업계에 존재한다.
[0006] 본 명세서에 설명되는 실시예들은 기판과 직접 접촉하는 기판 지지부의 융기된 표면들과 기판 사이의 접촉력을 모니터링하고 제어하는 데 사용되는 방법들 및 장치에 관한 것이다.
[0007] 일 실시예에서, 기판을 프로세싱하기 위한 방법은, 기판 지지부의 패터닝된 표면 상에 기판을 포지셔닝시키는 단계 ― 기판 지지부는 프로세싱 챔버의 프로세싱 볼륨에 배치됨 ―, 기판 지지부에 배치된 척킹 전극에 척킹 전압을 인가하는 단계, 기판과 기판 지지부 사이에 배치된 후면측 볼륨으로 가스를 유동시키는 단계, 기판의 편향을 모니터링하는 단계, 및 기판의 편향에 기반하여 척킹 파라미터를 변화시키는 단계를 포함한다.
[0008] 다른 실시예에서, 컴퓨터 판독가능 매체에는, 기판을 프로세싱하기 위한 방법에 대한 명령들이 저장되어 있다. 방법은, 기판 지지부의 패터닝된 표면 상에 기판을 포지셔닝시키는 단계 ― 기판 지지부는 프로세싱 챔버의 프로세싱 볼륨에 배치됨 ―, 기판 지지부에 배치된 척킹 전극에 척킹 전압을 인가하는 단계, 기판과 기판 지지부 사이에 배치된 후면측 볼륨으로 가스를 유동시키는 단계, 기판의 편향을 모니터링하는 단계, 및 기판의 편향에 기반하여 척킹 파라미터를 변화시키는 단계를 포함한다.
[0009] 다른 실시예에서, 기판을 프로세싱하기 위한 장치는 기판 지지부, 및 기판 지지부에 적어도 부분적으로 배치된 센서를 특징으로 한다. 기판 지지부는 기판 지지부의 리세스된 표면(recessed surface)으로부터 연장되는 융기된 피쳐들을 갖는 패터닝된 표면을 포함한다. 융기된 피쳐들의 기판 접촉 표면 영역은 프로세싱될 기판(to be processed substrate)의 비-디바이스 측 표면 영역의 약 30% 미만이다. 센서는 척킹력이 가해진 프로세싱될 기판의 편향을 모니터링하도록 구성된다.
[0010] 본 개시내용의 위에서 언급된 특성들이 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있는데, 이러한 실시예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들이 예시적인 실시예들만을 예시하는 것이므로, 그 실시예들의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 상기 실시예들이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1a는 일 실시예에 따른, 본 명세서에 기재되는 방법들을 실시하도록 구성된 기판 프로세싱 챔버의 개략적인 단면도이다.
[0012] 도 1b는 도 1a에 도시된 프로세싱 챔버에 배치된 기판 지지부의 일부의 확대도이다.
[0013] 도 1c는 기판이 기판 지지부 상에 배치되지 않은, 도 1a에 도시된 기판 지지부의 개략적인 평면도이다.
[0014] 도 2는 일 실시예에 따른, 기판을 프로세싱하는 방법을 기재하는 흐름도이다.
[0015] 도 3a 및 도 3b는 일 실시예에 따른, 도 2에 기재된 방법의 엘리먼트들을 예시한다.
[0016] 이해를 용이하게 하기 위하여, 도면들에 공통적인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예에 개시된 엘리먼트들 및 특징들이 그들의 특정 인용 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0100] 본 명세서에 설명되는 실시예들은 기판과 직접 접촉하는 기판 지지부의 융기된 표면들과 기판 사이의 접촉력을 모니터링하고 제어하는 데 사용되는 방법들 및 장치에 관한 것이다. 특히, 본 명세서의 실시예들은, 척킹된 기판의 척킹되지 않은 기판 평면으로부터, 척킹된 기판의 편향을 모니터링함으로써 접촉력을 바람직하게 제어하기 위해 기판 지지부에 배치된 센서 및 본 명세서에 설명되는 방법들을 사용한다.
[0101] 통상적으로, 플라즈마 프로세싱 챔버의 프로세싱 볼륨 내의 저압력 대기는, 프로세싱 동안 기판이 배치되는 ESC 기판 지지부의 유전체 재료와 기판 사이에서 불량한 열 전도를 초래한다. 기판과 ESC 기판 지지부 사이의 열 전달을 개선시키기 위해, 열 전도성 비활성 가스, 이를테면 헬륨이 기판과 ESC 기판 지지부 사이에 배치된 기판 후면측 볼륨으로 도입된다. 종종, 후면측 볼륨 내의 가스의 압력은 프로세싱 볼륨의 가스 압력을 초과할 것이다. 따라서, 척킹 전극에 의해 기판에 인가된 척킹력은, 기판이 척 상에서 이동되는 것을 방지하기 위해, 후면측 볼륨 내의 가스의 압력에 의해 기판에 가해지는 힘을 초과해야 한다. 기판 지지부를 향해 기판을 끌어당기는 척킹력과 기판 지지부로부터 멀리 기판을 밀어내는 후면측 힘(후면측 볼륨 내의 가스 압력에 의해 기판에 가해지는 힘) 사이의 차이는 기판과 직접 접촉하는 기판 지지부 표면들과 기판 사이의 접촉력을 산출한다.
[0102] 기판과 기판 지지부 사이의 과도한 접촉력은, 접촉력이 기판의 프로세싱을 위한 포지션에 기판을 안전하게 유지하는 데 요구되는 최소 접촉력을 상당히 초과할 경우 초래된다. 기판과 기판 지지부 사이의 과도한 접촉력은 기판의 비-활성 표면의 바람직하지 않은 스크래치들 또는 피팅, 및 기판 지지부의 기판 지지부 표면들의 바람직하지 않은 마모 중 하나 또는 둘 모두를 초래한다. 기판을 스크래칭하는 것으로부터 생성되는 재료들은 기판의 비-활성 표면 상의 그리고 기판 지지부 상의 느슨한 입자들이 된다. 느슨한 입자들은 결국, 처리 또는 후속 프로세싱 동안 기판 또는 다른 기판의 활성 표면으로 전달된다. 기판의 활성 표면 상의 이러한 증가된 결함은 기판으로부터의 디바이스 수율에 부정적인 영향을 준다. 추가로, 기판 지지부의 표면들의 바람직하지 않은 마모는 정전 척의 유용 수명을 감소시킨다. 따라서, 본 명세서에 제공되는 장치 및 방법들은 기판 지지부와 기판 지지부 상에 배치된 기판 사이의 접촉력의 인-시츄 모니터링 및 제어를 용이하게 한다.
[0103] 도 1a는 일 실시예에 따른, 본 명세서에 기재되는 방법들을 실시하도록 구성된 플라즈마 프로세싱 챔버의 개략적인 단면도이다. 도 1b는 도 1a에 도시된 기판 지지부의 일부 및 기판 지지부 상에 배치된 기판의 확대도이다. 도 1c는 기판이 기판 지지부 상에 배치되지 않은, 도 1a에 도시된 기판 지지부의 개략적인 평면도이다.
[0104] 이러한 실시예에서, 프로세싱 챔버는 플라즈마 에칭 프로세싱 챔버, 이를테면 반응성 이온 에칭(RIE) 플라즈마 챔버이다. 다른 실시예들에서, 프로세싱 챔버는 플라즈마-강화 증착 챔버, 예컨대 플라즈마-강화 화학 기상 증착(PECVD) 챔버, 플라즈마 강화 물리적 기상 증착(PEPVD) 챔버, 또는 플라즈마-강화 원자 층 증착(PEALD) 챔버이다. 다른 실시예들에서, 프로세싱 챔버는 플라즈마 처리 챔버, 또는 플라즈마 기반 이온 주입 챔버, 예컨대 플라즈마 도핑(PLAD) 챔버, 또는 물리적 기상 증착 챔버이다. 본 명세서에서, 프로세싱 챔버는 라디오 주파수(RF) 전력 공급부에 전기적으로 결합된 유도성 결합 플라즈마(ICP) 소스를 포함한다. 다른 실시예들에서, 플라즈마 소스는 용량성 결합 플라즈마(CCP) 소스, 이를테면 기판 지지부에 대면하는 프로세싱 볼륨에 배치된 플라즈마 전극이며, 여기서 플라즈마 전극은 RF 전력 공급부에 전기적으로 결합된다.
[0017] 프로세싱 챔버(100)는, 챔버 덮개(104), 하나 이상의 측벽들(106), 및 챔버 베이스(108)를 포함하는 챔버 몸체(102)를 특징으로 하며, 이들은 집합적으로 프로세싱 볼륨(110)을 정의한다. 프로세싱 볼륨(110)은 프로세싱 가스들을 프로세싱 볼륨(110)으로 전달하는 프로세싱 가스 소스(112)에 유체 결합된다. 프로세싱 챔버(100)는 프로세싱 가스들로부터 플라즈마(116)를 점화시켜 유지하도록 구성된 플라즈마 생성기(114)를 더 포함한다. 플라즈마 생성기(114)는 프로세싱 볼륨(110)의 외부의 챔버 덮개(104)에 근접하게 배치된 하나 이상의 유도 코일들(118)을 포함한다. 하나 이상의 유도 코일들(118)은 RF 매칭 회로(122)를 통해 RF 전력 공급부(120)에 전기적으로 결합된다. 플라즈마 생성기(114)는 RF 전력 공급부(120)에 의해 전력공급된 유도 코일들(118)에 의해 생성된 유도성 에너지 및 프로세싱 가스들을 사용하여 플라즈마(116)를 점화시켜 유지하는 데 사용된다. 본 명세서에서, 프로세싱 볼륨(110)은, 대기압 이하로 프로세싱 볼륨(110)을 유지하고 프로세싱 볼륨(110)으로부터 프로세싱 및 다른 가스들을 비우기 위해 진공 소스, 이를테면 하나 이상의 전용 진공 펌프들에 유체 결합된다.
[0105] 본 명세서의 실시예들에서, 프로세싱 챔버(100)는 프로세싱 볼륨(110)에 배치된 기판 지지 어셈블리(124)를 더 포함한다. 기판 지지 어셈블리(124)는 챔버 베이스(108)를 통해 밀봉식으로 연장되는 이동가능 지지 샤프트(126) 상에 배치되고, 이를테면, 챔버 베이스(108) 아래의 구역에서 또는 기판 지지 어셈블리(124)와 챔버 베이스(108) 사이에 배치된 구역에서 벨로우즈(bellows)(도시되지 않음)에 의해 둘러싸인다.
[0106] 기판 지지 어셈블리(124)는 냉각 베이스(128), 및 냉각 베이스(128)에 열적으로 결합되고 그 상에 배치된 기판 지지부(130)를 포함한다. 냉각 베이스(128)는 기판 프로세싱 동안 기판 지지부(130)의 온도 및 그에 따라 기판 지지부(130) 상에 배치된 기판(132)의 온도를 조절하는 데 사용된다. 일부 실시예들에서, 냉각 베이스(128)는, 냉각제 소스(도시되지 않음), 이를테면 상대적으로 높은 전기 저항을 갖는 냉매 소스 또는 수정된 워터(water) 소스에 유체 결합되고 그와 유체 연통하는, 내부에 배치된 하나 이상의 냉각 채널들(134)을 포함한다. 통상적으로, 냉각 베이스(128)는 내부식성 열 전도성 재료, 이를테면 내부식성 금속, 예컨대 알루미늄, 알루미늄 합금, 또는 스테인리스 스틸로 형성된다. 기판 지지부(130)는 유전체 재료, 이를테면 벌크 소결된 세라믹 재료, 이를테면 실리콘 카바이드(SiC) 또는 금속 산화물 또는 금속 질화물 세라믹 재료, 예컨대 알루미늄 산화물(Al2O3), 알루미늄 질화물(AIN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물들, 또는 이들의 조합들로부터 형성된다. 기판 지지부(130)는 접착제를 이용하여 또는 기계식 수단에 의해 냉각 베이스에 열적으로 결합된다.
[0107] 일부 실시예들에서, 기판 지지부(130)는 그의 유전체 재료에 매립된 가열 엘리먼트(136)를 포함한다. 가열 엘리먼트(136)는, 프로세싱 전에 기판 지지부(130) 및 그에 따라 기판(132)을 원하는 온도로 가열시키고 프로세싱 동안 원하는 온도로 기판(132)을 유지하는 데 사용된다. 일부 실시예들에서, 기판 지지 어셈블리(124)는 기판 지지부 온도의 정밀한 제어를 용이하게 하기 위해 가열 엘리먼트(136) 및 냉각 채널들(134) 둘 모두를 포함한다.
[0108] 본 명세서에서, 기판 지지부(130)는 그의 유전체 재료에 매립된 하나 이상의 척킹 전극들(138a 및 138b)을 포함한다. 하나 이상의 척킹 전극들(138a 및 138b)은 기판(132)과 척킹 전극(138) 사이에 전위를 제공함으로써 기판(132)을 기판 지지부(130)에 고정시키는 데 사용된다. 기판(132)과 하나 이상의 척킹 전극들(138a 및 138b) 사이의 전위는 그들 사이에서 정전식 척킹(ESC) 인력을 초래한다. 본 명세서에서, 하나 이상의 척킹 전극들(138a 및 138b)은 약 -5000V 내지 약 +5000V의 척킹 전압을 하나 이상의 척킹 전극들(138a 및 138b)에 제공하는 개개의 척킹 전력 공급부(140a 및 140b), 이를테면 DC 전력 공급부에 전기적으로 결합된다.
[0109] 일부 실시예들에서, 기판 지지부(130)는 기판 지지부의 유전체 재료에 매립된 적어도 2개의 전극들, 이를테면 제1 전극(138a) 및 제2 전극(138b)을 포함한다. 이들 실시예들에서, 적어도 2개의 전극들(138a 및 138b)은 그들 사이에 배치된 기판 지지부(130)의 유전체 재료에 의해 서로 전기적으로 절연된다. 이들 실시예들 중 일부에서, 전극들 각각은 대응하는 DC 전력 공급부(140a 및 140b)에 결합되며, 여기서 대응하는 DC 전력 공급부들(140a 및 140b) 각각은 다른 DC 전력 공급부와 반대 극성의 전압을 DC 전력 공급부들(140a 및 140b)에 각각 결합된 제1 및 제2 전극(138a 및 138b)에 제공한다.
[0110] 통상적으로, 기판(132)은, 통상적으로 기판 프로세싱 동안 도어(door) 또는 밸브(도시되지 않음)를 이용하여 밀봉되어 있는, 하나 이상의 측벽들(106) 중 하나의 측벽 내의 개구(도시되지 않음)를 통해 프로세싱 볼륨(110)으로 로딩된다. 복수의 리프트 핀들(142)은 기판 지지 어셈블리(124)로의 그리고 기판 지지 어셈블리(124)로부터의 기판(132)의 이송을 용이하게 하도록 기판 지지 어셈블리(124)를 통해 이동가능하게 배치된다. 복수의 리프트 핀들(142)이 상승 포지션에 있는 경우, 리프트 핀들(142)은 기판 지지부(130)의 패터닝된 표면(144) 위로 연장되어, 기판 지지부(130)로부터 기판(132)을 리프팅하고 로봇 처리기(도시되지 않음)에 의한 기판(132)으로의 액세스를 가능하게 한다. 복수의 리프트 핀들(142)이 하강 포지션에 있는 경우, 리프트 핀들(142)의 상부 표면들은 기판 지지부(130)의 패터닝된 표면(144)과 동일 평면에 있거나 그 아래에 배치되며, 기판(132)은 패터닝된 표면(144) 상에 놓인다.
[0111] (도 1c에서 개략적인 평면도로 도시된) 기판 지지부(130)의 패터닝된 표면(144)은 기판 지지부(130)의 리세스된 표면(146)으로부터 연장되는 복수의 융기된 피쳐들을 포함한다. 융기된 피쳐들은 기판이 융기된 피쳐들 상에 배치될 경우 기판 접촉 표면들을 형성한다. 본 명세서에서, 융기된 피쳐들은 복수의 돌출부들(148), 기판 지지부(130)의 둘레에 근접하게 배치된 하나 이상의 밀봉 밴드들(150a 및 150b), 및 복수의 개개의 리프트 핀 개구들(154)(도 1c에 도시됨)에 대해 둘러싸게 동축으로 배치된 복수의 밀봉 립(lip)들(152)을 포함한다. 복수의 돌출부들(148)은 적어도, 기판(132)이 기판 지지부(130)에 척킹될 경우, 리세스된 표면(146)으로부터 이격되게 기판(132)을 유지한다. 복수의 융기된 피쳐들, 리세스된 표면(146), 및 기판(132)의 비-디바이스 측 표면은 집합적으로, 기판(132)이 기판 지지부(130)에 척킹될 경우 후면측 볼륨(156)의 경계 표면들을 정의한다. 기판 프로세싱 동안, 열 전달 가스, 즉 본 명세서에서는 열 전도성 비활성 가스, 예컨대 헬륨 또는 아르곤은 기판(132)과 기판 지지부(130) 사이의 열 전달을 용이하게 함으로써 기판(132)을 기판 지지부(130)에 열적으로 결합시키는 데 사용된다. 일부 실시예들에서, 열 전달 가스는, 후면측 가스 공급부(160)와 유체 연통하는, 기판 지지부(130)에 형성된 복수의 가스 포트들(158)(도 1c에 도시됨)을 통해 후면측 볼륨(156)에 전달된다.
[0112] 본 명세서에서, 복수의 돌출부들(148)은 약 500μm 내지 약 5mm의 평균 직경 D1을 갖는 원통형 형상 메사들, 약 1mm 내지 약 40mm, 이를테면 약 5mm 내지 약 20mm의 CTC(center to center) 간격 D2, 및 약 3μm 내지 약 700μm, 이를테면 약 3μm 내지 약 100μm, 이를테면 약 3μm 내지 약 50μm, 이를테면 약 3μm 내지 20μm, 또는 약 3μm 초과의 높이 H(도 1a에 도시됨)를 포함한다. 다른 실시예들에서, 복수의 돌출부들(148)은 기판(132)을 지지하기 위해, 리세스된 표면(146)을 넘어 연장되는 임의의 다른 적합한 형상, 이를테면 정사각형 또는 직사각형 블록들, 원뿔들, 웨지(wedge)들, 피라미드들, 기둥들, 원통형 마운드(mound)들, 또는 다양한 사이즈들의 다른 돌출부들, 또는 이들의 조합들을 포함한다.
[0113] 하나 이상의 밀봉 밴드들(150a 및 150b) 및 복수의 밀봉 립들(152)은, 기판(132)이 기판 지지부(130)에 척킹될 경우, 가스가 기판(132)과 기판 지지부(130) 사이의 후면측 볼륨(156)으로부터 그리고 프로세싱 볼륨(110)으로 유동하는 것을 방지하거나 상당히 축소시킨다. 하나 이상의 밀봉 밴드들(150a 및 150b)은 제1 밀봉 밴드(150a) 및 제2 밀봉 밴드(150b)를 포함한다. 제1 밀봉 밴드(150a)는 패터닝된 표면(144)의 중심에 대해 동심적으로 그리고 패터닝된 표면(144)의 외측 둘레에 근접하게 배치된다. 제2 밀봉 밴드(150b)는 제1 밀봉 밴드(150a)로부터 방사상 안쪽으로 동심적으로 그리고 제1 밀봉 밴드(150a)에 근접하게 배치된다. 통상적으로, 하나 이상의 밀봉 밴드들(150a 및 150b)은 실질적으로 직사각형 단면 프로파일을 가지며, 높이 H 및 약 500μm 내지 약 5mm의 폭을 갖는다.
[0114] 본 명세서에서, 복수의 밀봉 립들(152)은 기판 지지부(130)의 유전체 재료를 통해 형성되는 개개의 리프트 핀 개구들(154)(도 1c에 도시됨)의 중심 축에 대해 동축으로 배치된 환상형 링들을 포함한다. 통상적으로, 복수의 밀봉 립들(152)은 환상형 링의 내경 및 외경에 걸쳐 실질적으로 직사각형 형상의 단면 프로파일을 가지며, 높이 H 및 약 500μm 내지 약 5mm의 폭을 갖는다.
[0115] 일부 실시예들에서, 기판 지지부(130)는 낮은 접촉 표면 영역 기판 지지부이다. 예컨대, 일부 실시예들에서, 복수의 융기된 피쳐들의 기판 접촉 표면들은 집합적으로, 기판 접촉 표면들 상에 배치된 프로세싱될 기판의 비-디바이스 측 표면 영역의 약 30% 미만의 접촉 표면 영역을 포함한다. 일부 실시예들에서, 접촉 표면 영역은 프로세싱될 기판의 비-디바이스 측 표면 영역의 약 20% 미만, 이를테면 약 15% 미만, 약 10% 미만, 약 5% 미만, 또는 예컨대 약 3% 미만이다.
[0116] 기판(132)의 비-디바이스 측 표면과 패터닝된 표면(144) 사이의 접촉 영역을 감소시키는 것은, 이를테면 기판의 비-디바이스 측 표면과 패터닝된 표면(144) 사이의 물리적 접촉으로 인해 기판(132)의 척킹 및 디-척킹 동안 스크래칭을 야기할 수 있는 조건들에 노출된 비-디바이스 측 기판 표면의 영역을 감소시키는 바람직한 효과를 갖는다. 그러나, 기판(132)의 비-디바이스 측 표면과 패터닝된 표면(144) 사이의 접촉 영역을 감소시키는 것은, 동일한 또는 실질적으로 동일한 척킹력 조건들 하에서 기판(132)의 기판 접촉 표면들에서 기판(132)의 비-디바이스 측 표면과 패터닝된 표면(144) 사이의 접촉력을 증가시킨다. 증가된 접촉력은, 기판(132)과 패터닝된 표면(144) 사이의 더 큰 접촉 영역에 대해 구성된 기판 지지부보다, 기판(132)의 비-디바이스 측 표면에서 바람직하지 않은 더 깊은 스크래치들 또는 피트들 및/또는 패터닝된 표면(144)의 융기된 피쳐들의 바람직하지 않은 증가된 마모를 초래한다. 종종, 기판 지지부의 유용 수명 내에서의 기판 지지부 간의 제조 변동성 또는 변동들은 주어진 척킹 전압으로부터 실현되는 척킹력의 변동들을 야기한다. 따라서, 본 명세서의 실시예들에서, 기판 지지부(130)는, 척킹 전압이 기판(132)에 인가될 경우 기판(132)의 편향의 변화들을 측정하는 데 사용되는 하나 이상의 센서들, 이를테면 센서(162)를 더 포함한다. 센서(162)는 기판 지지부(130)의 리세스된 표면(146)에 대한 관계에서 기판의 비-디바이스 측 표면의 근접도의 변화들을 검출하는 데 적합한 임의의 센서일 수 있다. 일부 실시예들에서, 센서(162)는 광섬유 센서, 이를테면 복수의 광섬유들을 포함하는 광섬유 세기 센서 또는 하나 이상의 광섬유들을 포함하는 광섬유 간섭계이다.
[0117] 본 명세서에서, 센서(162)는 방사선 소스(164), 방사선 검출기(166), 이를테면 세기 검출기, 센서 단자(168), 및 방사선 소스(164) 및 방사선 검출기(166)를 센서 단자(168)에 결합시키는 광섬유 케이블(172)을 포함한다. 일부 실시예들에서, 방사선 소스(164)는 약 600nm 내지 약 1700nm의 파장을 갖는 적외선 방사선을 제공한다. 통상적으로, 센서 단자(168)는 기판 지지부(130)의 리세스된 표면(146)에 형성된 개구(170)(도 1c에 도시됨)에 배치된다. 일부 실시예들에서, 개구(170)는 기판 지지부(130)를 통해 연장되며, 약 1mm 내지 약 10mm의 폭 W(도 1c에 도시됨)를 갖는다. 일부 실시예들에서, 개구(170)는 후면측 가스 공급부(160)에 유체 결합되고, 후면측 가스는 개구(170)를 통해 후면측 볼륨(156)으로 전달된다.
[0118] 본 명세서에서, 광섬유 케이블(172)은 방사선 소스(164)로부터 센서 단자(168)로 방사선, 예컨대 광을 송신하기 위한 하나 이상의 송신 섬유들(174) 및 센서 단자(168)로부터 방사선 검출기(166)로 방사선을 송신하기 위한 하나 이상의 수신 섬유들(176)을 포함한다. 일부 실시예들에서, 기판 지지부(130)는 패터닝된 표면(144)과 센서(162) 사이에 배치된 투명 삽입물(178), 이를테면 석영 또는 사파이어 윈도우(도 1b에 도시됨)를 더 포함한다. 여기서, 투명 삽입물(178)은 기판 지지부(130)의 리세스된 표면(146)과 동일 평면에 있거나 그 아래에 배치된다. 통상적으로, 센서(162)는 하나 이상의 장착 부재들, 이를테면 복수의 장착 스프링들(179)(도 1b에 도시됨)을 사용하여 개구(170)의 벽들에 안전하게 포지셔닝된다. 일부 실시예들에서, 센서 단자(168)는 자신의 말단에 근접하게 배치된 하나 이상의 투명 부재들(301)(도 3a 및 도 3b에 도시됨)을 더 포함한다. 일부 실시예들에서, 하나 이상의 투명 부재들(301)은 광학 필터, 이를테면 대역통과 필터 또는 파장통과 필터, 예컨대 다이크로익(dichroic) 필터 또는 다이크로익 미러, 투명 부재들(301)을 통해 투과된 방사선을 분산 및/또는 포커싱하기 위한 렌즈, 또는 투명 부재들(301)을 통해 투과된 광의 편광 상태를 변화시키기 위한 파장판 또는 리타더(retarder) 중 하나, 그들 중 다수, 또는 그들의 조합을 포함한다.
[0119] 본 명세서에서, 센서(162)는 패터닝된 표면(144)에 근접하게 배치된 하나 이상의 송신 섬유들(174)의 말단으로부터 방사선을 방출한다. 이어서, 센서(162)는 기판(132)의 비-디바이스 측 표면에 의해 반사된 방사선의 속성을 측정한다. 통상적으로, 반사된 방사선은 패터닝된 표면(144)에 근접하게 배치된 하나 이상의 수신 섬유들(176)의 말단(들)에 의해 수신된다. 이어서, 반사된 방사선은 하나 이상의 수신 섬유들(176)을 통해 방사선 검출기(166)로 송신되며, 방사선 검출기(166)는 반사된 방사선의 속성을 측정한다. 예컨대, 일부 실시예들에서, 방사선 검출기(166)는 자신에게 수신된 방사선의 파장, 위상, 세기, 주파수, 대역폭, 또는 간섭 패턴 중 임의의 하나 또는 조합을 측정하도록 구성된다. 일부 실시예들에서, 센서(162)는 기판 지지부 상에 배치된 기판에 의해 반사된 방사선의 세기를 측정하도록 구성된 광섬유 세기 센서이다. 다른 실시예들에서, 센서(162)는, 기판 지지부(130)에 형성된 개구(170) 내에 완전하게 또는 부분적으로 배치된 간섭계, 이를테면 광섬유 간섭계 또는 자체-혼합 간섭계이다.
[0120] 통상적으로, 기판(132)에 의해 반사되고 방사선 검출기(166)에 의해 측정된 방사선의 속성 또는 속성들은 시스템 제어기(180)로 통신된다. 시스템 제어기(180)는, 기판(132)과 패터닝된 표면(144)의 융기된 피쳐들 사이의 원하는 접촉력을 유지하기 위해, 척킹 파라미터, 이를테면 척킹 전압, 후면측 볼륨(156) 내의 가스 압력, 또는 이들의 조합을 조정하는 데 사용된다. 기판(132)과 패터닝된 표면(144)의 융기된 피쳐들 사이의 원하는 접촉력을 유지하기 위한 방법은 도 2에 기재되어 있다.
[0121] 일부 실시예들, 예컨대 기판 지지부(130)가 적어도 2개의 전극들(138a 및 138b)을 특징으로 하는 실시예들에서, 기판 지지부(130)는 복수의 센서들(162)을 포함한다. 이들 실시예들 중 일부에서, 각각의 센서(162)의 대응하는 센서 단자들(168)은 각각, 리세스된 표면(146)의 대응하는 구역을 향한 기판(132)의 편향을 측정하도록 포지셔닝된다. 이들 실시예들 중 일부에서, 시스템 제어기(180)는 척킹 전극들(138a 및 138b) 각각에 제공되는 척킹 전압을 독립적으로 조정하는 데 사용될 수 있다.
[0122] 본 명세서에서, 시스템 제어기(180)는 메모리(184)(예컨대, 비-휘발성 메모리) 및 지지 회로들(186)과 함께 동작가능한 프로그래밍가능 중앙 프로세싱 유닛(CPU)(182)을 포함한다. 지지 회로들(186)은 통상적으로 CPU(182)에 결합되며, 프로세싱 챔버(100)의 다양한 컴포넌트들의 제어를 용이하게 하기 위해 프로세싱 챔버(100)의 다양한 컴포넌트들에 결합된 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등, 및 이들의 조합들을 포함한다. CPU(182)는 프로세싱 챔버(100)의 다양한 컴포넌트들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용되는 범용 컴퓨터 프로세서의 임의의 형태 중 하나, 이를테면 프로그래밍가능 로직 제어기(PLC)이다. CPU(182)에 결합된 메모리(184)는 비-일시적이며, 통상적으로는, 용이하게 이용가능한 메모리들, 이를테면 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격의 디지털 저장부 중 하나 이상이다.
[0123] 통상적으로, 메모리(184)는, CPU(182)에 의해 실행될 경우 프로세싱 챔버(100)의 동작을 용이하게 하는 명령들을 포함하는 컴퓨터-판독가능 저장 매체들(예컨대, 비-휘발성 메모리)의 형태이다. 메모리(184) 내의 명령들은 본 개시내용의 방법들을 구현하는 프로그램과 같은 프로그램 제품의 형태이다. 프로그램 코드는 다수의 상이한 프로그래밍 언어들 중 임의의 하나를 따를 수 있다. 일 예에서, 본 개시내용은 컴퓨터 시스템에 사용하기 위해 컴퓨터-판독가능 저장 매체들에 저장된 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 프로그램(들)은 (본 명세서에 설명된 방법들을 포함하는) 실시예들의 기능들을 정의한다.
[0124] 예시적인 컴퓨터-판독가능 저장 매체들은: (i) 정보가 영구적으로 저장되는 비-기입가능 저장 매체들(예컨대, 컴퓨터 내의 판독-전용 메모리 디바이스들, 이를테면 CD-ROM 드라이브에 의해 판독가능한 CD-ROM 디스크들, 플래시 메모리, ROM 칩들 또는 임의의 타입의 솔리드-스테이트 비-휘발성 반도체 메모리); 및 (ii) 변경가능한 정보가 저장되는 기입가능 저장 매체들(예컨대, 디스켓 드라이브 내의 플로피 디스크들 또는 하드 디스크 드라이브 또는 임의의 타입의 솔리드-스테이트 랜덤-액세스 반도체 메모리)을 포함한다(그러나 이에 제한되지 않는다). 그러한 컴퓨터-판독가능 저장 매체들은, 본 명세서에 설명된 방법들의 기능들을 지시하는 컴퓨터-판독가능 명령들을 보유할 경우 본 개시내용의 실시예들이다. 일부 실시예들에서, 본 명세서에 설명된 방법들 또는 그들의 일부들은 하나 이상의 주문형 집적 회로(ASIC)들, 필드-프로그래밍가능 게이트 어레이(FPGA)들, 또는 다른 타입들의 하드웨어 구현들에 의해 수행된다. 일부 다른 실시예들에서, 본 명세서에 설명된 프로세스들은 소프트웨어 루틴들, ASIC(들), FPGA들 및/또는 다른 타입들의 하드웨어 구현들의 조합에 의해 수행된다. 본 명세서에서, 시스템 제어기(180)는 도 2에 기재된 방법을 사용하여 기판의 비-디바이스 측 표면과 기판 지지부의 패터닝된 표면 사이의 접촉력을 제어하는 데 사용된다.
[0125] 도 2는 일 실시예에 따른, 기판을 프로세싱하는 방법을 기재하는 흐름도이다. 도 3a 및 도 3b는 도 2에 기재된 방법의 엘리먼트들을 예시한다.
[0126] 활동(201)에서, 방법(200)은 기판 지지부의 패터닝된 표면 상에 기판을 포지셔닝시키는 단계를 포함한다. 여기서, 기판 지지부는 프로세싱 챔버의 프로세싱 볼륨에 배치되며, 예컨대 기판 지지부 및 프로세싱 챔버는 도 1a에 설명되어 있다. 활동들(202 및 203)에서, 방법(200)은, 프로세싱 볼륨으로 하나 이상의 프로세싱 가스들을 각각 유동시키는 단계, 및 하나 이상의 프로세싱 가스들의 프로세싱 플라즈마를 형성하는 단계를 포함한다. 도 3a에 도시된 바와 같이, 척킹되지 않은 기판, 즉 여기에서는 척킹력이 기판(132)에 가해지기 전 또는 그 이후에 기판 지지부(130) 상에 포지셔닝된 기판(132)은 인접한 돌출부들(148) 사이의 범위에 걸쳐 실질적으로 더 평평한 표면을 가지므로, 리세스된 표면(146)과 기판(132)의 비-디바이스 측 표면 사이의 척킹되지 않은 거리(Z1)는 리세스된 표면으로부터 연장되는 돌출부들(148)의 높이 H(도 1a에 도시됨)와 거의 동일하다.
[0127] 활동들(204 및 205)은 기판을 기판 지지부에 척킹시키는 것을 포함한다. 활동(205)에서, 방법(200)은 척킹력을 기판에 가하기 위해, 기판 지지부에 배치된 척킹 전극에 척킹 전압을 인가하는 단계를 포함한다. 활동(206)에서, 방법(200)은 기판(132)과 기판 지지부(130) 사이에 배치된 후면측 볼륨(156)으로 후면측 가스, 통상적으로는 열 전도성 비활성 가스, 이를테면 헬륨 또는 아르곤을 유동시키는 단계를 포함한다.
[0128] 여기서, 척킹 전극에 척킹 전압을 인가하는 것은 기판 지지부의 리세스된 표면들을 향해 기판을 끌어당기고, 후면측 볼륨 내의 가스의 압력은 리세스된 표면으로부터 멀리 기판을 밀어낸다. 기판이 기판 지지부 상에서 이동하는 것을 방지하기 위해 그리고 일부 실시예들에서는 프로세싱 볼륨으로부터 후면측 볼륨을 유체적으로 격리시키기 위해, 기판 영역 당 척킹력은 후면측 볼륨 내의 가스 압력을 초과해야 한다. 이는, 기판이 편향 거리(ΔZ)(도 3b에 도시됨)만큼, 척킹되지 않은 기판 평면(도 3a에 도시됨)으로부터 편향되는 것을 초래한다.
[0018] 활동(207)에서, 방법(200)은 기판 지지부(130) 상에 배치된 기판(132)의 편향(ΔZ)을 모니터링하는 단계를 포함한다. 통상적으로, 기판의 편향(ΔZ)을 모니터링하는 단계는, 도 1a 내지 도 1c에 설명된 바와 같이, 기판 지지부(130)에 배치된 센서 또는 센서의 일부, 예컨대 센서 단자(168)에 의해 수신된 방사선의 파장, 위상, 세기, 주파수, 대역폭, 간섭 패턴, 또는 이들의 조합의 변화들을 측정하는 단계를 포함한다.
[0019] 활동(208)에서, 방법(200)은 기판(132)의 편향(ΔZ)에 기반하여 척킹 파라미터를 변화시키는 단계를 포함한다. 일부 실시예들에서, 척킹 파라미터를 변화시키는 단계는, 척킹 전압을 변화시키는 단계, 후면측 볼륨 내의 제2 가스의 압력을 변화시키는 단계, 척킹 전압 및 후면측 볼륨 내의 제2 가스의 압력을 동시에 변화시키는 단계, 척킹 전압 및 후면측 볼륨 내의 제2 가스의 압력을 순차적으로 변화시키는 단계, 또는 이들의 조합을 포함한다. 일부 실시예들에서, 척킹 파라미터를 변화시키는 단계는, 기판의 비-디바이스 측 표면의 단위 면적 당 척킹력과 후면측 볼륨 내의 가스의 압력 사이의 차이를 약 1 Torr 미만으로 또는 약 0.25 Torr 내지 약 1 Torr로 유지하는 단계를 포함한다.
[0020] 본 명세서에 설명된 실시예들은, 기판 프로세싱 동안 기판의 편향, 및 그에 따른 기판과 기판 지지부 사이의 접촉력을 모니터링 및 제어함으로써 기판의 비활성 표면에 대한 바람직하지 않은 스크래치들을 감소시키거나 실질적으로 제거하는 데 사용되는 방법들 및 장치를 제공한다.
[0021] 전술한 것이 본 개시내용의 특정한 실시예들에 관한 것이지만, 이들 실시예들이 본 발명의 원리들 및 애플리케이션들을 단지 예시할 뿐이라는 것이 이해될 것이다. 따라서, 첨부된 청구항들에서 정의된 바와 같은 본 발명들의 사상 및 범위를 벗어나지 않으면서, 다른 실시예들에 도달하도록 다수의 수정들이 예시적인 실시예들에 대해 이루어질 수 있다는 것이 이해될 것이다.

Claims (20)

  1. 기판을 프로세싱하기 위한 장치로서, 상기 장치는 기판 지지 어셈블리를 포함하고, 상기 기판 지지 어셈블리는:
    관통 형성된 센서 개구를 갖는 유전체 재료를 포함하는 기판 지지부 ― 상기 유전체 재료의 표면은 패터닝되어 리세스된 표면(recessed surface) 및 상기 리세스된 표면으로부터 상향으로 연장되는 복수의 융기된 피쳐들을 형성하고, 상기 복수의 융기된 피쳐들의 각각의 기판-접촉 표면들은 서로 실질적으로 동일 평면에 있음 ―; 및
    센서를 포함하고, 상기 센서는:
    상기 센서 개구 내에 배치되는 센서 단자 ― 상기 센서 단자는 상기 리세스된 표면에 인접한 상기 센서 단자의 말단 상에 배치된 투명 부재를 포함하고,
    상기 센서 단자는 상기 센서 개구의 벽으로부터 이격되어 그 사이에 갭을 정의하고,
    상기 센서 단자는 하나 또는 그 초과의 장착 부재들을 사용하여 상기 센서 개구의 벽에 고정되고, 그리고
    상기 하나 또는 그 초과의 장착 부재들은 상기 센서 개구에 전달되는 가스가 상기 센서 단자 주위에서 상기 리세스된 표면을 향해 유동할 수 있게 하도록 구성됨 ―;
    방사선 소스;
    방사선 검출기; 및
    상기 방사선 소스 및 방사선 검출기를 상기 센서 단자에 결합시키는 복수의 광섬유들
    을 포함하고,
    상기 장치는 기판을 프로세싱하는 방법에 대한 명령들이 저장된 컴퓨터 판독가능 매체를 더 포함하고, 상기 방법은:
    (a) 상기 기판 지지부 상에 상기 기판을 포지셔닝시키는 단계 ― 상기 기판은 지지부 대향 표면을 갖고, 상기 복수의 융기된 피쳐들은 상기 기판 지지부의 상기 리세스된 표면으로부터 이격된 상기 지지부 대향 표면을 지지하여 그 사이에 배치되는 후면측 가스 볼륨을 정의함 ―;
    (b) 상기 기판 지지부의 상기 유전체 재료 내에 배치된 척킹 전극에 척킹 전압을 인가하는 단계;
    (c) 상기 센서 개구에 유체 결합된 후면측 가스 공급부를 사용하여, 후면측 가스를 상기 후면측 가스 볼륨에 전달하는 단계;
    (d) 상기 센서의 사용에 의해, 상기 복수의 융기된 피쳐들의 상기 기판-접촉 표면들에 의해 정의되는 평면으로부터의 상기 기판의 편향을 결정하는 단계; 및
    (e) (d) 단계에서 결정되는 상기 기판의 편향에 기초하여 하나 또는 그 초과의 척킹 파라미터들을 변경하는 단계 ― 상기 하나 또는 그 초과의 척킹 파라미터들을 변경하는 단계는 상기 척킹 전압 및 상기 후면측 가스 볼륨 내의 상기 후면측 가스의 압력을 동시에 또는 순차적으로 변경하는 단계를 포함함 ―
    를 포함하는,
    기판을 프로세싱하기 위한 장치.
  2. 제1항에 있어서,
    상기 센서는 상기 기판 지지부 상에 배치된 기판에 의해 반사되는 방사선의 파장, 위상, 세기, 주파수, 대역폭, 간섭 패턴 또는 이들의 조합을 측정하도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  3. 제1항에 있어서,
    프로세싱 챔버를 더 포함하고, 상기 기판 지지부는 상기 프로세싱 챔버의 프로세싱 볼륨 내에 배치되는,
    기판을 프로세싱하기 위한 장치.
  4. 제1항에 있어서,
    상기 투명 부재는 대역통과 필터, 파장통과 필터, 파장판, 및 리타더(retarder) 또는 이들의 조합을 포함하는,
    기판을 프로세싱하기 위한 장치.
  5. 삭제
  6. 제1항에 있어서,
    상기 방법은:
    (f) 상기 기판의 편향에 기초하여, 후면측 가스 볼륨 압력과 척킹 압력 사이의 차이를 결정하는 단계 ― 상기 척킹 압력은 상기 기판의 기판 대향 표면의 단위 면적 당 척킹력을 포함함 ―; 및
    (g) 상기 후면측 가스 볼륨 압력과 상기 척킹 압력 사이의 차이를 1 Torr 또는 그 미만으로 유지하기 위해 하나 또는 그 초과의 척킹 파라미터들을 변경하는 단계
    를 더 포함하는,
    기판을 프로세싱하기 위한 장치.
  7. 제1항에 있어서,
    복수의 가스 포트들이 상기 기판 지지부 내에 형성되는,
    기판을 프로세싱하기 위한 장치.
  8. 제1항에 있어서,
    상기 융기된 피쳐들은 복수의 돌출부들을 포함하고, 상기 복수의 돌출부들은:
    500μm 내지 5mm의 평균 직경;
    1mm 내지 40mm의 CTC(center to center) 간격; 및
    3μm 내지 700μm의 높이
    를 갖는,
    기판을 프로세싱하기 위한 장치.
  9. 기판을 프로세싱하기 위한 장치로서,
    리세스된 표면을 갖는 유전체 재료, 서로 이격된 복수의 돌출부들, 및 상기 리세스된 표면의 중심 주위에 동심으로 배치된 하나 또는 그 초과의 밀봉 밴드들을 포함하는 기판 지지부 ― 상기 복수의 돌출부들 및 상기 하나 또는 그 초과의 밀봉 밴드들은 상기 리세스된 표면으로부터 상향으로 연장되고, 그리고
    상기 복수의 돌출부들 및 상기 하나 또는 그 초과의 밀봉 밴드들 각각은 기판 지지 평면을 집합적으로 정의하는 기판 접촉 표면을 가짐 ―;
    상기 유전체 재료 내에 매립된 척킹 전극; 및
    상기 기판 지지부의 유전체 재료를 통해 형성된 개구 내에 배치된 센서 단자를 포함하는 센서 어셈블리 ― 상기 센서 단자는, 상기 리세스된 표면과 상기 센서 단자 사이에 배치되는 투명 부재를 포함함 ―; 및
    기판을 프로세싱하는 방법에 대한 명령들이 저장된 컴퓨터 판독가능 매체
    를 포함하고, 상기 방법은:
    상기 기판 지지부 상에 상기 기판을 포지셔닝시키는 단계 ― 상기 기판의 지지부 대향 표면, 상기 리세스된 표면, 및 상기 하나 또는 그 초과의 밀봉 밴드들은 집합적으로 후면측 볼륨을 정의함 ―;
    상기 척킹 전극에 척킹 전압을 인가하는 단계;
    상기 개구에 유체 결합된 가스 공급부를 사용하여, 가스를 상기 후면측 볼륨에 전달하는 단계;
    상기 센서 어셈블리의 사용에 의해, 상기 기판 지지 평면으로부터의 상기 기판의 편향을 결정하는 단계; 및
    상기 기판의 결정된 편향에 기초하여 하나 또는 그 초과의 척킹 파라미터들을 조정하는 단계 ― 상기 하나 또는 그 초과의 척킹 파라미터들을 조정하는 단계는 상기 척킹 전압 및 상기 후면측 볼륨 내의 상기 가스의 압력을 동시에 또는 순차적으로 변경하는 단계를 포함함 ―
    를 포함하는,
    기판을 프로세싱하기 위한 장치.
  10. 제9항에 있어서,
    상기 기판의 지지부 대향 표면은 제1 표면 영역을 갖고, 상기 기판 지지부의 집합적 기판 접촉 표면들은 제2 표면 영역을 갖고, 상기 제2 표면 영역은 상기 제1 표면 영역의 30% 미만인,
    기판을 프로세싱하기 위한 장치.
  11. 제10항에 있어서,
    상기 제2 표면 영역은 상기 제1 표면 영역의 10% 미만인,
    기판을 프로세싱하기 위한 장치.
  12. 제9항에 있어서,
    상기 센서 어셈블리는 상기 기판의 지지부 대향 표면에 의해 반사되는 방사선의 파장, 위상, 세기, 주파수, 대역폭, 간섭 패턴 또는 이들의 조합을 측정하도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  13. 제9항에 있어서,
    상기 센서 어셈블리는 방사선 소스, 방사선 검출기, 및 복수의 광섬유들을 더 포함하고, 상기 복수의 광섬유들의 말단들은 상기 투명 부재에 인접한 상기 센서 단자 내에 배치되는,
    기판을 프로세싱하기 위한 장치.
  14. 제9항에 있어서,
    상기 투명 부재는 대역통과 필터, 파장통과 필터, 파장판, 또는 리타더(retarder) 중 하나 또는 조합을 포함하는,
    기판을 프로세싱하기 위한 장치.
  15. 제9항에 있어서,
    복수의 가스 포트들이 상기 기판 지지부 내에 형성되는,
    기판을 프로세싱하기 위한 장치.
  16. 제9항에 있어서,
    상기 방법은:
    상기 기판의 편향에 기초하여, 후면측 가스 볼륨 압력과 척킹 압력 사이의 차이를 결정하는 단계 ― 상기 척킹 압력은 상기 기판의 기판 대향 표면의 단위 면적 당 척킹력을 포함함 ―; 및
    상기 후면측 가스 볼륨 압력과 상기 척킹 압력 사이의 차이를 1 Torr 또는 그 미만으로 유지하기 위해 하나 또는 그 초과의 척킹 파라미터들을 조정하는 단계
    를 더 포함하는,
    기판을 프로세싱하기 위한 장치.
  17. 제9항에 있어서,
    상기 복수의 돌출부들은:
    500μm 내지 5mm의 평균 직경;
    1mm 내지 40mm의, 인접한 돌출부들 사이의 CTC(center to center) 간격; 및
    3μm 내지 700μm의 높이
    를 갖는,
    기판을 프로세싱하기 위한 장치.
  18. 제9항에 있어서,
    상기 복수의 돌출부들은, 상기 기판을 지지하기 위해 상기 리세스된 표면을 넘어 연장되는 직사각형 블록들, 원뿔들, 웨지(wedge)들, 피라미드들, 기둥들, 원통형 마운드(mound)들, 또는 이들의 조합들을 포함하는,
    기판을 프로세싱하기 위한 장치.
  19. 제9항에 있어서,
    상기 척킹 전극은 복수의 척킹 전극들 중 하나이고, 상기 방법은 상기 복수의 척킹 전극들 각각에 개별적인 척킹 전압들을 독립적으로 인가하는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 장치.
  20. 제1 표면 영역을 갖는 기판을 지지하기 위한 크기의 기판 지지 어셈블리로서,
    유전체 재료 층 및 상기 유전체 재료 층 내에 매립된 척킹 전극을 포함하는 기판 지지부 ― 상기 유전체 재료 층은 리세스된 표면, 및 상기 리세스된 표면으로부터 상향으로 연장되는 복수의 돌출부들 및 하나 또는 그 초과의 밀봉 밴드들을 가지고,
    상기 복수의 돌출부들은 서로 이격되고 그리고 상기 하나 또는 그 초과의 밀봉 밴드들은 상기 리세스된 표면의 중심 주위에 동심으로 배치되고, 그리고
    상기 복수의 돌출부들의 상부 표면들 및 상기 하나 또는 그 초과의 밀봉 밴드들은 기판 지지 평면을 정의하기 위해 서로 동일 평면에 있고, 상기 상부 표면들은 상기 제1 표면 영역의 10% 미만인 집합적 제2 표면 영역을 가짐 ―;
    상기 기판 지지 평면으로부터의 기판의 편향을 결정하도록 구성되는 센서 어셈블리 ― 상기 센서 어셈블리는:
    상기 유전체 재료 층을 통해 형성된 개구 내에 배치된 센서 단자 ― 상기 센서 단자는 상기 리세스된 표면에 인접하여 배치됨 ―; 및
    복수의 광섬유들을 포함하고,
    상기 복수의 광섬유들의 말단들은 상기 센서 단자 내에 배치되고, 그리고
    상기 센서 단자는 상기 개구의 일 측벽과 이격되어 그 사이에서 가스를 유동하게 함 ―; 및
    기판을 프로세싱하는 방법에 대한 명령들이 저장된 컴퓨터 판독가능 매체를 포함하고, 상기 방법은:
    상기 기판 지지부 상에 상기 기판을 포지셔닝시키는 단계 ― 상기 기판의 지지부 대향 표면, 상기 리세스된 표면, 및 상기 하나 또는 그 초과의 밀봉 밴드들은 집합적으로 후면측 볼륨을 정의함 ―;
    상기 척킹 전극에 척킹 전압을 인가하는 단계;
    상기 개구에 유체 결합된 가스 공급부를 사용하여, 가스를 상기 후면측 볼륨에 전달하는 단계;
    상기 센서 어셈블리의 사용에 의해, 상기 기판 지지 평면으로부터의 상기 기판의 편향을 결정하는 단계; 및
    상기 기판의 결정된 편향에 기초하여 하나 또는 그 초과의 척킹 파라미터들을 조정하는 단계 ― 상기 하나 또는 그 초과의 척킹 파라미터들을 조정하는 단계는 상기 척킹 전압 및 상기 후면측 볼륨 내의 상기 가스의 압력을 동시에 또는 순차적으로 변경하는 단계를 포함함 ―
    를 포함하는,
    제1 표면 영역을 갖는 기판을 지지하기 위한 크기의 기판 지지 어셈블리.
KR1020227029512A 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지 KR102585068B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237032987A KR20230141943A (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762551747P 2017-08-29 2017-08-29
US62/551,747 2017-08-29
PCT/US2018/047447 WO2019046054A1 (en) 2017-08-29 2018-08-22 ELECTROSTATIC SUBSTRATE HOLDER SUPPORT WITH CLAMPING FORCE CONTROL
KR1020207001061A KR102438574B1 (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207001061A Division KR102438574B1 (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237032987A Division KR20230141943A (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지

Publications (2)

Publication Number Publication Date
KR20220123483A KR20220123483A (ko) 2022-09-06
KR102585068B1 true KR102585068B1 (ko) 2023-10-04

Family

ID=65437733

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237032987A KR20230141943A (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지
KR1020207001061A KR102438574B1 (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지
KR1020227029512A KR102585068B1 (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237032987A KR20230141943A (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지
KR1020207001061A KR102438574B1 (ko) 2017-08-29 2018-08-22 척킹력 제어를 이용한 esc 기판 지지

Country Status (6)

Country Link
US (1) US11114327B2 (ko)
JP (1) JP7107972B2 (ko)
KR (3) KR20230141943A (ko)
CN (1) CN110720137B (ko)
TW (1) TWI768110B (ko)
WO (1) WO2019046054A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112437533A (zh) * 2020-12-07 2021-03-02 大连理工大学 一种提高等离子体均匀性的电源***及方法
WO2022146667A1 (en) * 2020-12-29 2022-07-07 Mattson Technology, Inc. Electrostatic chuck assembly for plasma processing apparatus
WO2022197361A1 (en) * 2021-03-19 2022-09-22 Applied Materials, Inc. Methods, systems, and apparatus for optically monitoring individual lamps

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2576711B2 (ja) * 1991-05-23 1997-01-29 富士通株式会社 半導体ウェーハ有無識別装置
JP2010147502A (ja) * 2003-12-05 2010-07-01 Tokyo Electron Ltd 静電チャック
US20170076915A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Substrate support with real time force and film stress control

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04111339A (ja) * 1990-08-30 1992-04-13 Mitsubishi Electric Corp 半導体ウエハ用ステージ
US5094536A (en) 1990-11-05 1992-03-10 Litel Instruments Deformable wafer chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
JPH05129421A (ja) 1991-11-07 1993-05-25 Fujitsu Ltd 静電チヤツク
JPH06163674A (ja) 1992-11-18 1994-06-10 Hitachi Ltd 試料保持装置のモニタ方法
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
JP3005461B2 (ja) 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
JP3245369B2 (ja) 1996-11-20 2002-01-15 東京エレクトロン株式会社 被処理体を静電チャックから離脱する方法及びプラズマ処理装置
US6075375A (en) 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6950193B1 (en) 1997-10-28 2005-09-27 Rockwell Automation Technologies, Inc. System for monitoring substrate conditions
US5872694A (en) * 1997-12-23 1999-02-16 Siemens Aktiengesellschaft Method and apparatus for determining wafer warpage for optimized electrostatic chuck clamping voltage
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2000031252A (ja) * 1998-07-08 2000-01-28 Mitsubishi Electric Corp 静電チャックを備えた半導体製造装置および静電チャックからのウエハ離脱方法
US6215640B1 (en) 1998-12-10 2001-04-10 Applied Materials, Inc. Apparatus and method for actively controlling surface potential of an electrostatic chuck
KR20010052595A (ko) * 1999-04-05 2001-06-25 조셉 제이. 스위니 전자 장치 제조시의 엔드포인트 검출
JP4236329B2 (ja) 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6430022B2 (en) 1999-04-19 2002-08-06 Applied Materials, Inc. Method and apparatus for controlling chucking force in an electrostatic
US6307728B1 (en) 2000-01-21 2001-10-23 Applied Materials, Inc. Method and apparatus for dechucking a workpiece from an electrostatic chuck
AU2001251216A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
JP2002009140A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 静電チャック装置
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6646857B2 (en) 2001-03-30 2003-11-11 Lam Research Corporation Semiconductor wafer lifting device and methods for implementing the same
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20020186934A1 (en) 2001-06-07 2002-12-12 Hug Norman L. Optical termination
US6632728B2 (en) 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6898064B1 (en) 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
KR20040000104A (ko) 2002-06-24 2004-01-03 삼성전자주식회사 웨이퍼 감지 센서의 정렬장치
US6938505B2 (en) 2002-08-13 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
US7110122B2 (en) 2004-07-21 2006-09-19 Hewlett-Packard Development Company, L.P. Interferometer calibration methods and apparatus
US7292428B2 (en) 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
WO2007149733A2 (en) 2006-06-19 2007-12-27 Baker Hughes Incorporated Isolated sensor housing
KR101350145B1 (ko) 2006-11-29 2014-01-09 엘아이지에이디피 주식회사 리프트 핀을 이용한 기판 유무 판별장치 및 이를 이용한기판 반입방법과 검사방법
US7576018B2 (en) * 2007-03-12 2009-08-18 Tokyo Electron Limited Method for flexing a substrate during processing
WO2008112673A2 (en) 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate processing uniformity
US20090034581A1 (en) 2007-08-02 2009-02-05 Tokyo Electron Limited Method for hot plate substrate monitoring and control
KR101514098B1 (ko) * 2009-02-02 2015-04-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 온도 측정 방법 및 장치
JP5484981B2 (ja) 2010-03-25 2014-05-07 東京エレクトロン株式会社 基板載置台及び基板処理装置
JP5871453B2 (ja) 2010-05-20 2016-03-01 東京エレクトロン株式会社 プラズマ処理装置,基板保持機構,基板位置ずれ検出方法
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US9335207B2 (en) 2011-02-18 2016-05-10 Parker-Hannifin Corporation Optical sensor and mounting interface
US8520360B2 (en) * 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
WO2013049586A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck
US9558981B2 (en) 2013-11-19 2017-01-31 Applied Materials, Inc. Control systems employing deflection sensors to control clamping forces applied by electrostatic chucks, and related methods
JP6180909B2 (ja) * 2013-12-06 2017-08-16 東京エレクトロン株式会社 距離を求める方法、静電チャックを除電する方法、及び、処理装置
US9753463B2 (en) * 2014-09-12 2017-09-05 Applied Materials, Inc. Increasing the gas efficiency for an electrostatic chuck
US10468290B2 (en) 2016-11-02 2019-11-05 Ultratech, Inc. Wafer chuck apparatus with micro-channel regions
US10879094B2 (en) 2016-11-23 2020-12-29 Applied Materials, Inc. Electrostatic chucking force measurement tool for process chamber carriers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2576711B2 (ja) * 1991-05-23 1997-01-29 富士通株式会社 半導体ウェーハ有無識別装置
JP2010147502A (ja) * 2003-12-05 2010-07-01 Tokyo Electron Ltd 静電チャック
US20170076915A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Substrate support with real time force and film stress control

Also Published As

Publication number Publication date
CN110720137A (zh) 2020-01-21
CN110720137B (zh) 2023-08-15
KR20200008033A (ko) 2020-01-22
JP2020521321A (ja) 2020-07-16
TWI768110B (zh) 2022-06-21
KR20230141943A (ko) 2023-10-10
KR102438574B1 (ko) 2022-08-30
US20190067070A1 (en) 2019-02-28
JP7107972B2 (ja) 2022-07-27
KR20220123483A (ko) 2022-09-06
WO2019046054A1 (en) 2019-03-07
US11114327B2 (en) 2021-09-07
TW201921581A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
CN110491756B (zh) 具有闭环夹持力控制的实时监测
KR102585068B1 (ko) 척킹력 제어를 이용한 esc 기판 지지
KR101995812B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
TWI651799B (zh) 具有解夾持電極之靜電夾頭及解夾持方法
JP6320505B2 (ja) 半導体ウエハをプラズマ・ダイシングするための方法及び装置
US20190206712A1 (en) Substrate chucking and dechucking methods
KR102103136B1 (ko) 온도 제어되는 정전 척
JP6450763B2 (ja) 半導体ウエハをプラズマ・ダイシングするための方法及び装置
US11302520B2 (en) Chamber apparatus for chemical etching of dielectric materials
TWI488236B (zh) Focusing ring and plasma processing device
US11508558B2 (en) Thermal repeatability and in-situ showerhead temperature monitoring
TWI584371B (zh) 用於電漿切割半導體晶圓之方法和設備
US20170178872A1 (en) Plasma processing method and plasma processing apparatus
KR20040070008A (ko) 정전척
JP2019197899A (ja) 半導体ウエハをプラズマ・ダイシングするための方法及び装置
US10714373B2 (en) Electrostatic chuck and wafer processing apparatus
US20190080949A1 (en) Soft chucking and dechucking for electrostatic chucking substrate supports
JP2015065024A (ja) プラズマ処理装置、プラズマ処理方法および環状部材
TWI585816B (zh) A plasma processing apparatus, and a plasma processing apparatus
TWI689037B (zh) 夾具總成
JP2024511441A (ja) ウエハのチャッキング及びデチャッキングを検出する静電容量方式
KR101327381B1 (ko) 실리콘 카바이드 구조물 제조용 지그
JP2022053960A (ja) 処理装置及び処理装置における基体保持方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant