KR101887723B1 - 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스 - Google Patents

다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스 Download PDF

Info

Publication number
KR101887723B1
KR101887723B1 KR1020137027624A KR20137027624A KR101887723B1 KR 101887723 B1 KR101887723 B1 KR 101887723B1 KR 1020137027624 A KR1020137027624 A KR 1020137027624A KR 20137027624 A KR20137027624 A KR 20137027624A KR 101887723 B1 KR101887723 B1 KR 101887723B1
Authority
KR
South Korea
Prior art keywords
pattern
layer
mask
initial
flow rate
Prior art date
Application number
KR1020137027624A
Other languages
English (en)
Other versions
KR20140031224A (ko
Inventor
빈 호앙 루옹
아키테루 고
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140031224A publication Critical patent/KR20140031224A/ko
Application granted granted Critical
Publication of KR101887723B1 publication Critical patent/KR101887723B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명에서는 다층 마스크(150, 150', 220)를 패터닝하는 방법을 설명한다. 이 패터닝 방법은, 기판(110, 110', 200) 상에 다층 마스크(150, 150', 220)를 마련하는 공정을 포함하며, 상기 다층 마스크(150, 150', 220)는 리소그래피층(226)과 이 리소그래피층(226)의 아래에 놓이는 중간 마스크층(222)을 포함하고, 상기 중간 마스크층(222)은 탄소-함유 화합물을 포함한다. 이 패터닝 방법은: 리소그래피층(226)에 형성되며 초기 패턴 임계 치수(CD)(152, 152', 232, 232')로 특징지어지는 패턴(230)을 중간 마스크층(222)에 전사하는 에칭 프로세스 레시피를 수립하는 공정; 상기 중간 마스크층(222)에 형성되는 중간 패턴 CD(154, 154', 252, 252', 262, 262', 272, 272')와 적어도 하나의 공정 파라미터 사이의 적어도 하나의 파라미터 관계를 수립하는 공정으로서, 상기 적어도 하나의 파라미터 관계는 상기 초기 패턴 CD(152, 152', 232, 232')를 상기 중간 패턴 CD(154, 154', 252, 252', 262, 262', 272, 272')로 증감시킬 수 있는 프로세스 조건을 제공하는 것인 파라미터 관계 수립 공정; 상기 초기 패턴 CD(152, 152', 232, 232')와 상기 중간 패턴 CD(154, 154', 252, 252', 262, 262', 272, 272') 사이에서 타겟 CD를 조정하도록 타겟 프로세스 조건을 선택하는 공정; 및 상기 타겟 프로세스 조건을 사용하여 패턴을 리소그래피층(226)으로부터 중간 마스크층(222)으로 전사하는 공정을 포함한다.

Description

다층 마스크에서의 패턴의 CD 및 무결성을 제어하기 위한 에칭 프로세스{ETCH PROCESS FOR CONTROLLING PATTERN CD AND INTEGRITY IN MULTI-LAYER MASKS}
본 발명은 다층 마스크를 패턴 에칭하는 방법에 관한 것이다.
통상적으로, 집적 회로(ICs)의 제조 과정에서, 반도체 생산 설비는, 반도체 기판 상에 패터닝된 비아 또는 컨택트 내에서 혹은 세선(細線)을 따라 재료를 제거 혹은 에칭하는 데 (드라이) 플라즈마 에칭 프로세스를 이용한다. 플라즈마 에칭 프로세스가 성공하려면, 하나의 재료를 선택적으로 에칭하면서 다른 재료를 실질적으로 에칭하지 않기에 적합한 화학 반응물을 갖는 에칭 케미스트리가 요구된다.
예를 들어, 반도체 기판에 있어서는, 다층 마스크에 형성된 패턴이, 선택된 재료의 하위층에 플라즈마 에칭 프로세스를 이용하여 전사될 수 있다. 다층 마스크는, 리소그래피 프로세스를 이용하여 패턴이 형성되어 있는 리소그래피층, 예컨대 포토레지스트층을 포함할 수 있다. 다층 마스크는, 리소그래피층의 아래에 놓이는 하나 이상의 추가적인 마스크층, 예컨대 반사 방지 코팅(ARC), 유기 평탄화층(OPL), 유기 유전체층(ODL) 등을 더 포함할 수 있는데, 이 경우 리소그래피층에 형성된 패턴은 이후에 일련의 에칭 단계를 통해 하나 이상의 추가적인 마스크층에 전사된다.
상기 리소그래피층으로부터 상기 하나 이상의 추가적인 마스크층으로 패턴을 전사하는 과정에서는, 패턴의 임계 치수(CD)를 제어할 뿐만 아니라, 패턴 무결성[예컨대, 라인 에지 거칠기(LER), 라인 폭 거칠기(LWR) 등]을 유지 및/또는 향상시키는 것이 바람직하다. 추가적으로, 기판 전체에 걸쳐 CD/패턴 무결성을 제어 가능하게 균등 분포시키는 것이 바람직하다. 또한, 조밀형(간격이 좁은) 구조와 고립형(간격이 넓은) 구조 모두에 대해 동일한 CD/패턴 무결성을 제어 가능하게 달성하는 것이 바람직하다. 에칭 케미스트리는 CD를 트리밍하기 위해 존재하지만, 다층 마스크를 패터닝하는 경우, CD를 가감할 수 있는 에칭 프로세스에 대한 요구는 여전히 존재한다.
본 발명은 다층 마스크를 패터닝하는 방법을 제공하는 것을 목적으로 한다. 또한, 본 발명은 탄소-함유층을 패턴 에칭하는 방법, 구체적으로 탄소-함유층을 포함하는 다층 마스크를 패터닝하는 경우에, 임계 치수(CD) 및 패턴 무결성을 제어하는 에칭 프로세스를 제공하는 것을 목적으로 한다.
일 실시형태에 따라, 다층 마스크를 패터닝하는 방법을 기술한다. 이 패터닝 방법은, 기판 상에 다층 마스크를 마련하는 공정을 포함하며, 상기 다층 마스크는 리소그래피층과 이 리소그래피층의 아래에 놓이는 중간 마스크층을 포함하고, 상기 중간 마스크층은 탄소-함유 화합물을 포함한다. 이 패터닝 방법은: 리소그래피층에 형성되며 초기 패턴 임계 치수(CD)로 특징지어지는 패턴을 중간 마스크층에 전사하는 에칭 프로세스 레시피를 수립하는 공정; 상기 중간 마스크층에 형성되는 중간 패턴 CD와 적어도 하나의 공정 파라미터 사이의 적어도 하나의 파라미터 관계를 수립하는 공정으로서, 상기 적어도 하나의 파라미터 관계는 상기 초기 패턴 CD를 상기 중간 패턴 CD로 증감시킬 수 있는 프로세스 조건을 제공하는 것인 파라미터 관계 수립 공정; 상기 초기 패턴 CD와 상기 중간 패턴 CD 사이에서 타겟 CD를 조정하도록 타겟 프로세스 조건을 선택하는 공정; 및 상기 타겟 프로세스 조건을 사용하여 패턴을 리소그래피층으로부터 중간 마스크층으로 전사하는 공정을 포함한다.
다른 실시형태에 따르면, 상기 에칭 프로세스 레시피는 브롬-함유 가스, 산소-함유 가스 및 선택적인 희가스를 함유하는 공정 조성물을 이용하는 플라즈마 형성을 포함한다.
첨부 도면에서,
도 1a 및 도 1b는 여러 실시형태에 따른 기판 상의 다층 마스크의 사용 예시도이다.
도 2a 내지 도 2c는 기판 상의 다층 마스크를 패터닝하는 절차를 개략적으로 나타내는 도면이다.
도 3은 일 실시형태에 따라 기판 상의 다층 마스크를 패터닝하는 방법을 보여주는 흐름도이다.
도 4는 일 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 5는 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 6은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 7은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 8은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 9는 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 10은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 11a 및 도 11b는 여러 실시형태에 따른 기판 상의 다층 마스크의 패터닝 방법에 대한 데이터의 예시도이다.
이하의 설명에서는, 설명을 목적으로 하나 제한적이지 않게, 처리 시스템의 특정 기하학적 구조와 여러 구성 요소뿐만 아니라 이에 사용된 프로세스 등의 구체적인 상세한 사항을 기술한다. 그러나, 본 발명은 이들 구체적인 상세한 사항으로부터 벗어난 다른 실시형태로 실시될 수도 있음은 물론이다.
이와 마찬가지로, 본 발명을 완전하게 이해시키기 위해, 구체적인 수, 재료 및 구성이 설명을 목적으로 기재되어 있다. 그렇지만, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 여러 실시형태는 예시적인 대표예이며 일정한 비례로 도시될 필요가 없는 것으로 이해된다.
본 발명을 이해하는 데 가장 도움이 되는 방식으로, 여러 작업을 복수의 별개의 작업으로서 차례차례 기술한다. 그러나, 이러한 기술 순서가, 이들 작업이 필연적으로 순서에 의존함을 의미하는 것으로 해석되어서는 안 된다. 특히, 이들 작업은 제시 순서대로 수행되어야 하는 것은 아니다. 기술한 작업은 기재된 실시형태와는 다른 순서로 수행될 수 있다. 추가적인 실시형태에서는, 다양한 추가 작업이 수행될 수 있거나 및/또는 기술한 작업이 생략될 수 있다.
본원에 사용되고 있는 바와 같이 "기판"은 일반적으로 본 발명에 따라 처리되는 대상물을 지칭한다. 기판은 디바이스, 특히 반도체 또는 그 밖의 전자 디바이스의 임의의 재료 부분 또는 구조를 포함할 수 있고, 예를 들어 반도체 웨이퍼 등의 베이스 기판 구조, 또는 베이스 기판 구조에 혹은 그 위에 놓이는 박막 등의 층일 수도 있다. 따라서, 기판은 임의의 특정 베이스 구조, 상위층 혹은 하위층, 패터닝된 혹은 패터닝되지 않은 구조에 국한되는 의미를 갖는 것이 아니라, 임의의 상기 층 혹은 베이스 구조와, 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 고려된다. 이하의 설명은 특정 타입의 기판을 참조로 하지만, 이는 단지 예시를 하기 위함이며 한정의 의도는 없다.
전술한 바와 같이 재료 처리 방법론에서는, 기판 상에 표면 케미스트리를 생성하고 지원하여 기판으로부터의 재료의 제거를 용이하게 하거나, 혹은 기판 상에 재료를 증착하는 성막 반응을 용이하게 하는 데, 대개 플라즈마를 이용한다. 기판을 에칭하는 과정에서는, 기판의 표면 상의 일부 재료와 반응하기에 적합한 반응 화학종을 생성하는 데, 플라즈마가 사용될 수 있다. 또한, 기판을 에칭하는 과정에서는, 기판 상에서의 표면 반응에 에너지를 전달하는 데 유용한 하전된 종을 생성하는 데, 플라즈마가 사용될 수 있다.
일례에 따르면, 패턴 에칭은, 방사선 민감성 재료(예컨대, 포토레지스트)의 박층 등의 리소그래피층을, 이후에 패터팅되는 기판의 상면에 도포하여, 에칭 과정에서 이 패턴을 기판 상의 하위 박막에 전사하기 위한 마스크를 제공하는 것을 포함한다. 방사선 민감성 재료의 패터닝은 일반적으로, 마이크로-리소그래피 시스템 등을 이용하여 전자(EM) 방사선의 기하학적 패턴에 리소그래피층을 노출시키고, 뒤이어 방사선 민감성 재료의 조사 영역을 제거하거나(포지티브톤 포토레지스트의 경우), 또는 방사선 민감성 재료의 비조사(非照射) 영역을 제거하는(네거티브톤 포토레지스트의 경우) 것을 수반한다.
종래의 리소그래피 기술을 이용하여 리소그래피층에 보다 가는 피처를 패터닝하기 위해, 다층 마스크를 실장할 수 있다. 예를 들어, 다층 마스크는 2층 마스크 또는 3층 마스크를 포함할 수 있다. 제2 또는 제3의 마스크층을 포함하는 경우, 최상위 리소그래피층은 이후의 드라이 에칭 프로세스를 견디도록 통상적으로 선택되는 두께보다 얇을 수 있으므로, 종래의 리소그래피 기술을 이용하여, 이러한 얇은 리소그래피층에 미세한 피처를 형성할 수 있다. 이후에, 리소그래피층에 형성된 상기 미세한 피처를, 드라이 에칭 프로세스 등의 건식 현상 프로세스를 이용하여 하위의 제2 또는 제3의 마스크층에 전사할 수 있다.
그러나, 다층 마스크의 상기 하위의 제2 및 제3의 마스크층에 패턴을 전사하는 과정에서, 패턴의 무결성 및 CD를 추가적으로 제어하는 것, 예컨대 CD 축소, CD 확대, 패턴 거칠기 감소 등(이에 국한되는 것은 아님)을 행하는 것에 대한 요구가 존재한다. 추가적으로, 기판 전체에 걸쳐 CD를 균등하게 혹은 차등을 두고서 유지, 확대, 또는 축소하는 것에 대한 요구가 존재한다. 또한, 조밀형(간격이 좁은) 구조와 고립형(간격이 넓은) 구조에 대해 CD 제어를 균등하게 혹은 차등을 두고서 실시하는 것에 대한 요구가 존재한다. 또한, 다층 마스크의 패턴 결함, 예컨대 라인 에지 거칠기(LER) 및 라인 폭 거칠기(LWR) 등을 교정하는 것에 대한 요구가 존재한다. 패턴 전사의 과정에서, 종래의 공정 케미스트리는 만족스러운 CD 제어를 행하지 못한다.
일 실시형태에 따르면, FEOL(front-end-of-line) 작업에서 게이트 스택을 패터닝하는 데 CD 제어를 실시한다. 여기서는, 인쇄된 다층 마스크를 에칭(또는 트리밍)하여 보다 좁은 게이트를 형성함으로써, 다층 마스크의 라인 CD를 축소시키는 것이 바람직할 수 있다. 도 1a에 도시된 바와 같이, 기판(110) 상에 형성된 게이트 스택(100)이 예시되어 있는데, 이 게이트 스택(100)은 게이트 계면층(120), 게이트 유전체(130), 게이트 전극(140) 및 다층 마스크(150)를 포함하는 것이다. 다층 마스크(150)의 적어도 일부분은, 게이트의 초기 패턴 CD(152)로 인쇄될 수 있다. 다층 마스크(150)의 노출된 측벽으로부터 재료를 제거함으로써, 중간 패턴 CD(154)가 형성될 수 있고, 이에 따라 게이트의 라인 CD가 축소된다. 그 후에, 중간 패턴 CD(154)는 하위층으로 확장되어(도 1a의 점선 참조), 축소된 라인 폭을 갖는 패터닝된 게이트 스택이 형성된다.
다른 실시형태에 따르면, BEOL(back-end-of-line) 작업에서 금속 배선용 트렌치 또는 비아를 패터닝하는 데 CD 제어를 실시한다. 여기서는, 인쇄된 다층 마스크 상에 증착을 행하여 보다 좁은 트렌치 또는 비아를 형성함으로써, 다층 마스크의 공간 CD를 축소(또는 라인 CD를 확대)시키는 것이 바람직할 수 있다. 도 1b에 도시된 바와 같이, 기판(110') 상에 형성된 인터커넥트 스택(100')이 예시되어 있는데, 이 인터커넥트 스택(100')은 제1 캡층(120'), 인터커넥트 유전체(130'), 제2 캡층(140') 및 다층 마스크(150')를 포함하는 것이다. 다층 마스크(150')의 적어도 일부분은, 트렌치 또는 비아의 초기 패턴 CD(152')로 인쇄될 수 있다. 다층 마스크(150')의 노출된 측벽에 재료를 덧붙임으로써, 중간 패턴 CD(154')가 형성될 수 있고, 이에 따라 트렌치 또는 비아의 공간 CD가 축소된다. 그 후에, 중간 패턴 CD(154')는 하위층으로 확장되어(도 1b의 점선 참조), 축소된 공간 폭을 갖는 패터닝된 인터커넥트가 형성된다.
따라서, 일 실시형태에 따라 기판 상의 다층 마스크를 패터닝하는 방법이 도 2a 내지 도 2c에 개략적으로 도시되어 있고, 도 3의 흐름도(300)에 나타내어져 있다. 종래의 다층 마스크 패터닝 방법과는 달리, 여기에 기재된 방법은 CD 축소와 CD 확대가 모두 가능하다. 이 방법은, 패턴이 전사될 기판(200) 상의 박막(210) 상에 다층 마스크(220)를 형성하는 공정 310으로 시작된다. 다층 마스크(220)는 리소그래피층(226), 선택적인 반사 방지 코팅(ARC)층(224) 및 중간 마스크층(222)을 포함한다.
기판(200)은 반도체 기판, SOI(silicon-on-insulator) 기판, 평판 디스플레이, 또는 액정 디스플레이를 포함할 수 있다.
박막(210)은 도전성 층, 비도전성 층, 또는 반도전성 층을 포함할 수 있다. 예를 들어, 박막(210)은, 금속, 금속 산화물, 금속 질화물, 금속 산질화물, 금속 실리케이트, 금속 실리사이드, 실리콘, 다결정 실리콘(폴리실리콘), 도핑 실리콘, 이산화규소, 질화규소, 탄화규소, 산질화규소 등을 포함하는 재료층을 포함할 수 있다. 추가적으로, 예를 들어, 박막(210)은, 약 4인 SiO2의 유전상수(예컨대, 열 이산화규소에 대한 유전상수는 3.8 내지 3.9 임)보다 낮은 공칭 유전상수 값을 갖는 저 유전상수(즉, low-k) 또는 초저 유전상수(즉, ultra-low-k) 유전체층을 포함할 수 있다. 보다 구체적으로, 박막(210)은 3.7 미만의 유전상수, 또는 1.6 내지 3.7 범위의 유전상수를 가질 수 있다. 박막(210)은 다공성 또는 비다공성일 수도 있다.
일 실시형태에 따르면, 박막(210)은 질화규소(SiN, 또는 보다 일반적으로는 SixNy)를 포함한다. 예를 들어, 질화규소로 이루어진 박막은, 폴리실리콘 또는 금속 게이트에 게이트 패턴을 마련하는 경우에, 하드 마스크층으로서 사용될 수 있다. 후술하는 바와 같이, 패턴을 질화규소에, 궁극적으로는 하위층에 에칭한다.
박막(210)은, Tokyo Electron Limited(TEL)에서 시판하고 있는 Clean Track ACT 8 SOD(spin-on dielectric), ACT 12 SOD 및 Lithius 코팅 시스템에서 제공되는 것과 같은, 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD), 물리적 기상 증착(PVD), 이온화 PVD(iPVD), 또는 스핀-온 기술 등의 증착 기술을 사용하여 형성될 수 있다. Clean Track ACT 8(200 ㎜), ACT 12(300 ㎜) 및 Lithius(300 ㎜) 코팅 시스템은 SOD 재료에 대한 코팅, 베이킹, 및 경화 툴을 제공한다. 상기 Clean Track 코팅 시스템은 100 ㎜, 200 ㎜, 300 ㎜ 및 그 이상의 기판 사이즈를 처리하도록 구성될 수 있다. 기판 상에 박막을 형성하기 위한 다른 시스템 및 방법은, 스핀 온 기술 및 증착 기술 분야의 당업자에게 잘 알려져 있다.
리소그래피층(226)은 포토레지스트 등의 방사선 민감성 재료의 층을 포함할 수 있다. 포토레지스트층은 248 ㎚(나노미터) 레지스트, 193 ㎚ 레지스트, 157 ㎚ 레지스트, EUV(초자외선) 레지스트, 또는 전자빔 민감성 레지스트를 포함할 수 있다. 포토레지스트층은 트랙 시스템을 이용하여 형성될 수 있다. 예를 들어, 트랙 시스템은 Tokyo Electron Limited(TEL)에서 시판하고 있는 Clean Track ACT 8, ACT 12, 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트층을 형성하기 위한 다른 시스템 및 방법은, 스핀 온 레지스트 기술 분야의 당업자에게 잘 알려져 있다.
선택적인 ARC층(224)은 실리콘-함유 ARC층을 포함할 수 있다. 예를 들어, 선택적인 ARC층(224)은 Shin Etsu Chemical Co., Ltd.에서 Sepr-Shb Aseries SiARC로 시판하고 있는 실리콘-함유 ARC를 포함할 수 있다. 선택적인 ARC층(224)은, 예를 들어 스핀 코팅 기술, 또는 증착 프로세스를 이용하여 도포될 수 있다.
중간 마스크층(222)은 무기층 또는 유기층을 포함할 수 있다. 예를 들어, 중간 마스크층(222)은 탄소-함유 화합물을 포함할 수 있다. 추가적으로, 예컨대 중간 마스크층(222)은 비결정성 탄소를 포함할 수 있다. 또한 예를 들어, 중간 마스크층(222)은 유기 유전체층(ODL) 또는 유기 평탄화층(OPL)을 포함할 수 있다. ODL 또는 OPL은 감광성 유기 폴리머 또는 에칭 타입 유기 화합물을 포함할 수 있다. 예를 들어, 감광성 유기 폴리머는 폴리아크릴레이트 수지, 에폭시 수지, 페놀 수지, 폴리아미드 수지, 폴리이미드 수지, 불포화 폴리에스테르 수지, 폴리페닐렌에테르 수지, 폴리페닐렌설파이드 수지, 또는 벤조시클로부텐(BCB)일 수 있다. 이러한 재료는 스핀 온 기술 또는 증착 기술을 이용하여 형성될 수 있다.
공정 320에서는, 리소그래피층(226)에 패턴(230)을 형성하는데, 여기서 패턴(230)은 초기 패턴 CD(232, 232')로 특징지어진다. 도 2a에 도시된 바와 같이, 리소그래피층(226)에는 이미지 패턴이 결상되어 있다. EM 방사선에 대한 노출은 드라이 또는 웨트 포토-리소그래피 시스템에서 행해진다. 이미지 패턴은 임의의 적절한 종래의 스테핑 리소그래픽 시스템 또는 스캐닝 리소그래픽 시스템을 이용하여 형성될 수 있다. 예를 들어, 포토-리소그래피 시스템은 ASML Netherlands B.V.(네덜란드 5504 데에르 벨트호벤 드 런 6501 소재) 또는 Canon USA, Inc., Semiconductor Equipment Division(미국 캘리포니아주 95134 산 호세 3300 노쓰 퍼스트 스트리트 소재)에서 시판하고 있다. 그 후에, 리소그래피층(226)에서 상기 이미지 패턴을 현상하여, 초기 패턴 CD(232)를 갖는 고립형 구조(231)와 초기 패턴 CD(232')를 갖는 조밀형 구조(231')를 포함하는 패턴(230)을 형성한다. 현상 프로세스는 트랙 시스템 등의 현상 시스템에서 기판을 현상액에 노출시키는 것을 포함할 수 있다. 예를 들어, 트랙 시스템은 Tokyo Electron Limited(TEL)에서 시판하고 있는 Clean Track ACT 8, ACT 12, 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다.
리소그래피층(226)의 패터닝 이후에는, 이하에 보다 상세히 기술하는 플라즈마 처리 시스템 내에, 기판(200)을 이동 및 배치할 수 있다.
도 2b에 도시된 바와 같이, 고립형 구조(231) 및 조밀형 구조(231')를 포함하는 패턴(230)은, 드라이 플라즈마 에칭 프로세스를 이용하여, 리소그래피층(226)으로부터 실리콘-함유 ARC층 등의 선택적인 ARC층(224)에 전사된다. 에칭 프로세스는, 프로세스 레시피를 수립하는 단계와, 상기 프로세스 레시피에 따라, 불화탄소 화합물, 불화탄화수소 화합물, 및/또는 SF6 등의 할로겐-함유 화합물을 갖는 프로세스 가스와, 산소-함유 가스, 수소-함유 가스, 질소-함유 가스, 탄소-함유 가스, 및/또는 탄화수소 가스를 갖는 선택적인 첨가 가스를 포함하는 공정 조성물을 플라즈마 처리 시스템에 도입하는 단계와, 상기 프로세스 레시피에 따라, 플라즈마 처리 시스템에서 상기 공정 조성물로부터 플라즈마를 형성하는 단계, 그리고 리소그래피층(226)의 패턴(230)을 하위 선택적인 ARC층(224)에 전사하도록 기판(200)을 상기 플라즈마에 노출시키는 단계를 포함할 수 있다.
상기 탄화수소 가스는 CxHy-함유 가스를 포함할 수 있으며, 여기서 x와 y는 0 이상의 정수이다. 예를 들어, 상기 탄화수소 가스는 무할로겐 CxHy-함유 가스를 포함할 수 있다. 추가적으로, 예를 들어 상기 탄화수소 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12를 포함할 수 있다. 예를 들어, 상기 공정 조성물은 SF6 및 C2H4를 포함할 수 있다. 별법으로서, 예를 들어, 상기 공정 조성물은 SF6 및 C2H4로 이루어질 수 있다.
상기 공정 조성물은 CF4, C3F6, C4F6, C4F8, C5F8, CHF3, 또는 CH2F2, 또는 이들 중 2 이상의 조합을 더 포함할 수 있다. 추가적으로, 상기 공정 조성물은 불활성 가스, 즉 플라즈마가 존재할 때에 기판 표면에서 화학적으로 불활성인 가스를 더 포함할 수 있다. 예를 들어, 상기 프로세스 가스는 희가스를 포함할 수 있다. 또한 별법으로서, 상기 프로세스 가스는 아르곤(Ar)을 포함할 수 있다.
도 2b에 도시된 바와 같이, 패턴 전사 과정에서는, 리소그래피층(226)의 패턴(230) 중 고립형 구조(231)에 대한 초기 패턴 CD(232)를 유지하거나, 선택적인 ARC층(224)의 ARC 패턴 CD(242)로 축소시키거나, 또는 확대시킨다. 추가적으로, 패턴 전사 과정에서는, 리소그래피층(226)의 패턴(230) 중 조밀형 구조(231')에 대한 초기 패턴 CD(232')를 유지하거나, 선택적인 ARC층(224)의 ARC 패턴 CD(242')로 축소시키거나, 또는 확대시킨다.
도 2c에 도시된 바와 같이, 고립형 구조(231) 및 조밀형 구조(231')를 포함하는 패턴(230)은, 드라이 플라즈마 에칭 프로세스를 이용하여, 리소그래피층(226) 또는 선택적인 ARC층(224)으로부터 중간 마스크층(222)에 전사된다. 여기서, 패턴 전사 과정에서는, 리소그래피층(226)의 패턴(230) 중 고립형 구조(231)에 대한 초기 패턴 CD(232)를 유지하거나, 중간 마스크층(222)의 중간 패턴 CD(252, 262, 272)로 각각 축소시키거나, 또는 확대시킨다. 추가적으로, 패턴 전사 과정에서는, 리소그래피층(226)의 패턴(230) 중 조밀형 구조(231')에 대한 초기 패턴 CD(232')를 유지하거나, 중간 마스크층(222)의 중간 패턴 CD(252', 262', 272')로 각각 축소시키거나, 또는 확대시킨다.
공정 330에서는, 패턴(230)을 중간 마스크층(222)에 전사하기 위한 에칭 프로세스 레시피를 수립하는데, 상기 에칭 프로세스 레시피는 하나 이상의 공정 파라미터에 의해 정해지는 하나 이상의 프로세스 조건을 포함하는 것이다. 상기 에칭 프로세스 레시피는 브롬-함유 가스, 산소-함유 가스 및 선택적인 희가스를 함유하는 공정 조성물을 이용하는 플라즈마 형성을 포함한다.
상기 브롬-함유 가스는 HBr, Br2, 또는 C2H4Br2, 또는 이들 중 2 이상의 임의의 조합을 포함할 수 있다. 예를 들어, 상기 브롬-함유 가스는 HBr을 포함할 수 있다. 추가적으로 예를 들어, 상기 브롬-함유 가스는 HBr로 이루어질 수 있다. 상기 산소-함유 가스는 O2, CO, CO2, NO, 또는 NO2, 또는 이들 중 2 이상의 임의의 조합을 포함할 수 있다. 예를 들어, 상기 산소-함유 가스는 O2를 포함할 수 있다. 추가적으로 예를 들어, 상기 산소-함유 가스는 O2와 CO2를 포함할 수 있다. 일 실시형태에서, 상기 공정 조성물은 HBr과, O2 및 CO2로 이루어진 군에서 선택된 하나 이상의 산소-함유 가스, 그리고 선택적인 희가스로 구성된다. 대안적인 실시형태에서, 상기 공정 조성물은 HBr, O2, CO2 및 He로 구성된다.
상기 하나 이상의 프로세스 조건은, 하나 이상의 공정 파라미터를 설정함으로써, 예를 들어 브롬-함유 가스의 유량을 설정하는 것; 산소-함유 가스의 유량을 설정하는 것; 산소-함유 가스의 양과 브롬-함유 가스의 양 사이의 비를 설정하는 것; 산소-함유 가스의 유량과 브롬-함유 가스의 유량 사이의 비를 설정하는 것; 플라즈마 처리 시스템의 압력을 설정하는 것; 기판을 지지하는 기판 홀더 내의 하부 전극에 인가되는 제1 RF(radio frequency) 신호에 대한 제1 파워 레벨을 설정하는 것; 기판 위에서 상기 하부 전극에 대향해 있는 상부 전극에 인가되는 제2 RF 신호에 대한 제2 파워 레벨을 설정하는 것; 플라즈마 처리 시스템에 대한 온도 조건을 설정하는 것; 기판 혹은 기판 홀더에 대한 온도 조건을 설정하는 것; 에칭 시간을 설정하는 것; 및/또는 오버-에칭 시간을 설정하는 것 등에 의해 수립될 수 있다.
공정 340에서는, 에칭 프로세스 레시피를 이용하여 중간 마스크층(222)에 형성되는 중간 패턴 CD(252, 262, 272, 252', 262', 272')와 하나 이상의 공정 파라미터 중 적어도 하나의 사이에, 적어도 하나의 파라미터 관계를 수립하는데, 상기 적어도 하나의 파라미터 관계는, 초기 패턴 CD(232, 232')를 중간 패턴 CD(272, 272')로 증가시키는 제1 프로세스 조건과, 초기 패턴 CD(232, 232')를 중간 패턴 CD(262, 262')로 감소시키는 제2 프로세스 조건을 제공하는 것이다.
상기 적어도 하나의 파라미터 관계는 앞서 알아본 공정 파라미터 중 어느 하나 이상을 사용하는 것을 포함할 수 있다. 예를 들어, 상기 적어도 하나의 파라미터 관계는, 중간 패턴 CD(252, 262, 272, 252', 262', 272')와, 산소-함유 가스의 양과 브롬-함유 가스의 양 사이의 비 간의 관계를 수립할 수 있다. 이 비는 O2의 유량을 HBr의 유량으로 나눈 값으로서 평가될 수 있다. 도 11a에서 보다 상세히 기술하는 바와 같이, 산소-함유 가스의 유량에 비해 브롬-함유 가스의 유량을 줄임으로써, 즉 에칭 조건을 형성함으로써, 초기 패턴 CD(232, 232')는 중간 패턴 CD(262, 262')로 감소될 수 있다. 별법으로서, 산소-함유 가스의 유량에 관하여 브롬-함유 가스의 유량을 늘림으로써, 즉 증착 조건을 형성함으로써, 초기 패턴 CD(232, 272')는 중간 패턴 CD(272, 272')로 증대될 수 있다. 본 출원의 발명자는, 에칭 조건 동안에는, CO2 및 H2O 등의 휘발성 부산물이 생성되는 것이 좋지만, 증착 조건 동안에는, SiBrxOy 등의 비휘발성 부산물이 생성되는 것이 좋다.
추가적으로 예를 들어, 상기 적어도 하나의 파라미터 관계는, 중간 패턴 CD(252, 262, 272, 252', 262', 272')와 오버 에칭 시간 사이의 관계를 수립할 수 있다. 도 11b에서 보다 상세히 기술하는 바와 같이, 오버 에칭 시간을 늘림으로써, 초기 패턴 CD(232, 232')는 중간 패턴 CD(262, 262')로 감소되거나, 혹은 중간 패턴 CD(262, 262')에서 더 감소될 수 있다. 상기 오버 에칭 시간은 에칭 시간의 분수일 수 있다.
공정 350에서는, 초기 패턴 CD(232, 232')와 중간 패턴 CD(252, 262, 272, 252', 262', 272') 사이에서 타겟 CD를 조정하도록, 상기 적어도 하나의 파라미터 관계를 이용하는 하나 이상의 프로세스 조건으로부터 타겟 프로세스 조건을 선택한다.
공정 360에서는, 상기 에칭 프로세스 레시피와 함께 상기 타겟 프로세스 조건을 사용하여, 패턴(230)을 리소그래피층(226)으로부터 중간 마스크층(222)에 전사한다.
상기 다층 마스크 패터닝 방법은, 중간 마스크층(222)에서의 패턴(230)의 패턴 거칠기와 하나 이상의 공정 파라미터 중 적어도 하나의 사이에, 적어도 하나의 제2 파라미터 관계를 수립하는 공정을 더 포함할 수 있는데, 상기 적어도 하나의 파라미터 관계는, 패턴 거칠기를 감소시키는 프로세스 조건을 제공하는 것이다. 상기 패턴 거칠기는 라인 에지 거칠기(LER), 라인 폭 거칠기(LWR), 또는 이들의 조합을 포함할 수 있다.
일 실시형태에 따르면, 앞서 알아본 프로세스 조건을 이행하도록 구성되어 있고, 플라즈마 처리 챔버(10)와, 처리 대상인 기판(25)이 부착되는 기판 홀더(20), 그리고 진공 펌핑 시스템(50)을 포함하는 것인 플라즈마 처리 시스템(1a)이 도 4에 도시되어 있다. 기판(25)은 반도체 기판, 웨이퍼, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는, 기판(25)의 표면 부근의 처리 영역(45)에 플라즈마를 형성할 수 있게 하도록 구성될 수 있다. 프로세스 가스의 이온화 가스 또는 혼합물이 가스 분배 시스템(40)을 통해 도입된다. 프로세스 가스의 흐름이 정해진 경우, 진공 펌핑 시스템(50)을 이용하여 공정 압력을 조정한다. 플라즈마는 소정 재료 프로세스에 특화된 재료를 만들어내는 데에, 및/또는 기판(25)의 노출면으로부터 재료를 제거하는 것을 돕는 데에 사용될 수 있다. 플라즈마 처리 시스템(1a)은 임의의 원하는 크기의 기판, 예를 들어 200 ㎜ 기판, 300 ㎜ 기판, 또는 그보다 큰 기판 등을 처리하도록 구성될 수 있다.
기판(25)은 기계식 클램핑 시스템 또는 전기식 클램핑 시스템(예컨대, 정전 클램핑 시스템)과 같은 클램핑 시스템(28)을 통해 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는, 기판 홀더(20) 및 기판(25)의 온도를 조정 및/또는 제어하도록 구성되어 있는 가열 시스템(도시 생략) 또는 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은, 냉각시에는 기판 홀더(20)로부터 열을 받아서 열을 열교환기 시스템(도시 생략)에 전달하고, 또는 가열시에는 열을 열교환기 시스템으로부터 기판 홀더(20)에 전달하는 열교환 유체의 재순환 흐름을 포함할 수 있다. 다른 실시형태에서는, 저항 가열 요소와 같은 가열/냉각 요소, 또는 열전 히터/쿨러가, 기판 홀더(20)뿐만 아니라 플라즈마 처리 챔버(10)의 챔버 벽과 플라즈마 처리 시스템(1a) 내의 임의의 다른 구성 요소 내에 포함될 수 있다.
또한, 열전달 가스를 이면 가스 공급 시스템(26)을 통해 기판(25)의 이면으로 이송하여 기판(25)과 기판 홀더(20) 사이의 가스 갭 열전도도를 향상시킬 수 있다. 이러한 시스템은, 고온 또는 저온에서 기판의 온도 제어가 요구될 때 사용될 수 있다. 예컨대, 이면 가스 공급 시스템은 2구역 가스 분배 시스템을 포함할 수 있고, 이 경우 헬륨 가스-갭 압력을 기판(25)의 중앙과 가장자리에서 독립적으로 변경할 수 있다.
도 4에 도시된 실시형태에서는, 기판 홀더(20)가 전극(22)을 포함할 수 있고, 이 전극을 통해 RF 파워가 처리 영역(45)의 처리 플라즈마에 결합된다. 예를 들어, 기판 홀더(20)는, RF 파워를 RF 발생기(30)로부터 선택적 임피던스 매칭 네트워크(32)를 통하여 기판 홀더(20)에 전송하는 것을 통해, RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 전자를 가열하여 플라즈마를 형성 및 유지하는 역할을 할 수 있다. 이러한 구성에서, 상기 시스템은 반응성 이온 에칭(RIE) 반응기로서 작용할 수 있고, 이 경우 챔버와 상부 가스 주입 전극은 접지면의 역할을 한다. 대표적인 RF 바이어스용 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 플라즈마 처리용 RF 시스템은 당업자에게 잘 알려져 있다.
별법으로서, RF 파워는 다수의 주파수로 기판 홀더의 전극에 인가된다. 또한, 임피던스 매칭 네트워크(32)는, 반사 파워를 감소시킴으로써 플라즈마 처리 챔버(10) 내의 플라즈마에 대한 RF 파워의 전달을 향상시킬 수 있다. 매칭 네트워크 형태(예컨대, L형, π형, T형 등)와 자동 제어 방법이 당업자에게 잘 알려져 있다.
가스 분배 시스템(40)은, 프로세스 가스의 혼합물을 도입하기 위한 샤워헤드 디자인을 가질 수 있다. 별법으로서, 가스 분배 시스템(40)은, 프로세스 가스의 혼합물을 도입하고 프로세스 가스의 혼합물의 기판(25) 상에서의 분포를 조정하기 위한 다구역 샤워헤드 디자인을 가질 수 있다. 예를 들어, 다구역 샤워헤드 디자인은, 기판(25) 상에서 실질적으로 주변 영역에 이르는 프로세스 가스의 흐름 또는 조성을, 기판(25) 상에서 실질적인 중앙 영역에 이르는 프로세스 가스의 흐름 또는 조성의 양에 관하여 조정하도록 구성될 수 있다.
진공 펌핑 시스템(50)은, 펌핑 속도를 약 초당 5,000 리터(또는 그 이상)까지 올릴 수 있는 터보 분자 진공 펌프(TMP)와, 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 드라이 플라즈마 에칭에 사용되는 종래의 플라즈마 처리 장치에는, 초당 1,000 리터 내지 3,000 리터의 TMP가 채용될 수 있다. TMP는 통상 약 50 mTorr 미만의 저압 처리에 유용하다. 고압(즉, 약 100 mTorr 초과) 처리의 경우, 기계식 부스터 펌프 및 건식 러핑 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시 생략)가 플라즈마 처리 챔버(10)에 연결될 수 있다.
제어기(55)는 마이크로프로세서와, 메모리, 그리고 플라즈마 처리 시스템(1a)과의 통신 및 입력 기동을 행할 뿐만 아니라 플라즈마 처리 시스템(1a)으로부터의 출력을 모니터링하기에 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(55)는 RF 발생기(30), 임피던스 매칭 네트워크(32), 가스 분배 시스템(40), 진공 펌핑 시스템(50)뿐만 아니라 기판 가열/냉각 시스템(도시 생략), 이면 가스 공급 시스템(26), 및/또는 정전 클램핑 시스템(28)에 연결될 수 있고, 이들 구성 요소와 정보를 교환할 수 있다. 예컨대, 기판(25) 상에 플라즈마의 보조를 받는 처리를 실시하기 위해 프로세스 레시피에 따라 전술한 플라즈마 처리 시스템(1a)의 구성 요소들에 대한 입력을 기동하는 데에, 메모리에 기억된 프로그램을 사용할 수 있다.
제어기(55)는 플라즈마 처리 시스템(1a)에 대해 가까이 위치할 수 있고, 또는 플라즈마 처리 시스템(1a)에 대해 원거리에 위치할 수 있다. 예를 들어, 제어기(55)는 직접 접속, 인트라넷, 및/또는 인터넷을 이용하여 플라즈마 처리 시스템(1a)과 데이터를 교환할 수 있다. 제어기(55)는, 예를 들어 고객 사이트(즉, 디바이스 생산 회사 등)에 있는 인트라넷에 연결될 수 있고, 또는 예를 들어 판매자 사이트(즉, 장비 제조자)에 있는 인트라넷에 연결될 수 있다. 별법으로서 또는 추가적으로, 제어기(55)는 인터넷에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가, 직접 접속, 인트라넷, 및/또는 인터넷을 통하여 데이터를 교환하도록 제어기(55)에 액세스할 수 있다.
도 5에 도시된 실시형태에서, 플라즈마 처리 시스템(1b)은 도 4의 실시형태와 유사할 수 있고, 도 4를 참조하여 설명한 구성 요소 이외에도, 플라즈마 밀도를 증대시키고 및/또는 플라즈마 처리의 균일성을 향상시키는 능력을 갖기 위해, 고정식 자기장 시스템(60) 또는 기계적으로 혹은 전기적으로 회전하는 자기장 시스템(60)을 더 포함한다. 또한, 제어기(55)는 회전 속도와 자기장 강도를 조절하기 위해 자기장 시스템(60)에 연결될 수 있다. 회전 자기장의 디자인 및 구현은 당업자에게 잘 알려져 있다.
도 6에 도시된 실시형태에서, 플라즈마 처리 시스템(1c)은 도 4 또는 도 5의 실시형태와 유사할 수 있으며, 상부 전극(70)을 더 포함할 수 있고, 이 상부 전극에는 RF 파워가 RF 발생기(72)로부터 선택적 임피던스 매칭 네트워크(74)를 통해 결합될 수 있다. 상부 전극에 대한 RF 파워 인가용 주파수는 약 0.1 ㎒ 내지 약 200 ㎒의 범위일 수 있다. 또한, 하부 전극에 대한 파워 인가용 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위 수 있다. 또한, 제어기(55)는 상부 전극(70)에 대한 RF 파워의 인가를 제어하기 위해 RF 발생기(72) 및 임피던스 매칭 네트워크(74)에 연결되어 있다. 상부 전극의 디자인 및 구현은 당업자에게 잘 알려져 있다. 상부 전극(70)과 가스 분배 시스템(40)은 도시된 바와 같이 동일한 챔버 조립체 내에 있도록 디자인될 수 있다.
도 7에 도시된 실시형태에서, 플라즈마 처리 시스템(1c')은 도 6의 실시형태와 유사할 수 있으며, 기판(25)에 대향해 있는 상부 전극(70)에 연결된 직류(DC) 전원(90)을 더 포함할 수 있다. 상부 전극(70)은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수 있다. DC 전원(90)은 가변 DC 전원을 포함할 수 있다. 또한, DC 전원은 바이폴라 DC 전원을 포함할 수 있다. DC 전원(90)은 DC 전원(90)의 극성, 전류, 전압, 또는 온/오프 상태에 대한 모니터링, 조정, 또는 제어 중 적어도 하나를 행하도록 구성된 시스템을 더 포함할 수 있다. 일단 플라즈마가 형성되면, DC 전원(90)은 탄도 전자빔의 형성을 가능하게 한다. RF 파워를 DC 전원(90)으로부터 분리시키는 데 전기 필터(도시 생략)를 이용할 수 있다.
예를 들어, DC 전원(90)에 의해 상부 전극(70)에 인가된 DC 전압은, 약 -2,000 V 내지 약 1,000 V의 범위일 수 있다. 바람직하게는, DC 전압의 절대값이 약 100 V 이상의 값을 갖고, 더 바람직하게는, DC 전압의 절대값이 약 500 V 이상의 값을 갖는다. 또한, DC 전압은 음극성을 갖는 것이 바람직하다. 또한, DC 전압은 상부 전극(70)의 표면에 발생된 자기(自己) 바이어스 전압보다 큰 절대값을 갖는 음의 전압인 것이 바람직하다. 기판 홀더(20)에 면해 있는 상부 전극(70)의 표면은 실리콘 함유 재료로 구성될 수 있다.
도 8에 도시된 실시형태에서, 플라즈마 처리 시스템(1d)은 도 4 및 도 5의 실시형태와 유사할 수 있으며, 유도 코일(80)을 더 포함할 수 있고, 이 유도 코일에는 RF 파워가 RF 발생기(82)를 거쳐 선택적 임피던스 매칭 네트워크(84)를 통해 결합된다. RF 파워는 유도 코일(80)로부터 유전체창(도시 생략)을 통해 플라즈마 처리 영역(45)에 유도 결합된다. 유도 코일(80)에 대한 RF 파워 인가용 주파수는 약 10 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 마찬가지로, 척 전극에 대한 파워 인가용 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 또한, 슬롯이 형성된 패러데이 실드(도시 생략)가, 유도 코일(80)과 처리 영역(45)의 플라즈마 간의 유도 결합을 감소시키기 위해 채용될 수 있다. 또한, 제어기(55)는 유도 코일(80)에 대한 파워의 인가를 제어하기 위해 RF 발생기(82) 및 임피던스 매칭 네트워크(84)에 연결될 수 있다.
도 9에 도시된 바와 같은 대안적인 실시형태에서, 플라즈마 처리 시스템(1e)은 도 8의 실시형태와 유사할 수 있으며, 변압기형 결합 플라즈마(TCP) 반응기에서와 같이, 위에서부터 플라즈마 처리 영역(45)과 통해 있는 "나선형" 코일 또는 "팬케이크형" 코일인 유도 코일(80)을 더 포함할 수 있다. 유도 결합 플라즈마(ICP) 소스, 또는 변압기형 결합 플라즈마(TCP) 소스의 디자인 및 구현은 당업자에게 잘 알려져 있다.
별법으로서, 전자 사이클로트론 공명(ECR)을 이용하여 플라즈마를 형성할 수 있다. 또 다른 실시형태에서는, 헬리콘파를 일으킴으로써 플라즈마가 형성된다. 또 다른 실시형태에서는, 전파형 표면파를 통해 플라즈마가 형성된다. 전술한 플라즈마 소스 각각은 당업자에게 잘 알려져 있다.
도 10에 도시된 실시형태에서, 플라즈마 처리 시스템(1f)은 도 4의 실시형태와 유사할 수 있으며, 표면파 플라즈마(SWP) 소스(80")를 더 포함할 수 있다. SWP 소스(80")는 레이디얼 라인 슬롯 안테나(RLSA) 등과 같은 슬롯 안테나를 포함할 수 있고, 마이크로파 파워가 마이크로파 발생기(82')를 거쳐 선택적 임피던스 매칭 네트워크(84')를 통해 슬롯 안테나에 결합된다.
일 실시형태에서, 다층 마스크의 중간 마스크층을 패턴 에칭하는 레시피는 적어도 하나의 프로세스 조건을 포함할 수 있고, 이 적어도 하나의 프로세스 조건은, 약 1000 mtorr(밀리토르) 이하의 범위(예컨대, 약 100 mtorr 이하, 또는 약 5 mtorr 내지 약 30 mtorr 범위, 또는 약 10 mtorr)의 챔버 압력, 약 2000 sccm(standard cubic centimeters per minutes) 이하의 범위(예컨대, 약 1000 sccm 이하, 또는 약 1 sccm 내지 약 100 sccm의 범위)의 브롬-함유 가스 프로세스 가스 유량, 약 2000 sccm(standard cubic centimeters per minutes) 이하의 범위(예컨대, 약 1000 sccm 이하, 또는 약 1 sccm 내지 약 100 sccm의 범위)의 산소-함유 가스 프로세스 가스의 유량, 약 2000 sccm 이하의 범위(예컨대, 약 1000 sccm 이하)의 선택적인 희가스 프로세스 가스의 유량, 약 2000 W(와트) 이하의 범위(예컨대, 약 1000 W 이하, 또는 약 700 W 이하의 범위)의 상부 전극(예컨대, 도 6의 구성요소 70) RF 바이어스, 및 약 1000 W(와트) 이하의 범위(예컨대, 약 600 W 이하, 또는 약 300 W 이하의 범위)의 하부 전극(예컨대, 도 6의 구성요소 22) RF 바이어스를 포함하는 것이다. 또한, 상부 전극 바이어스 주파수는 약 0.1 ㎒ 내지 약 200 ㎒의 범위, 예컨대 약 60 ㎒일 수 있다. 또한, 하부 전극 바이어스 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위, 예컨대 약 2 ㎒일 수 있다.
일례에서, 도 11a에 도시된 바와 같이, HBr/O2/CO2/He를 함유하는 공정 조성물을 이용하여 OPL을 패터닝한다. CO2/He의 유량을 일정하게 유지하면서, O2와 HBr 간의 유량비와 중간 패턴 CD(nm, 나노미터) 사이에 파라미터 관계를 수립한다. 초기 패턴 CD와 중간 패턴 CD는 공간 CD에 대응한다. 이 파라미터 관계는 데이터 곡선의 그룹을 포함하는데, 각 데이터 곡선은 서로 다른 초기 패턴 CD, 즉 44 ㎚(실선), 40 ㎚(일점쇄선), 37 ㎚(이점쇄선) 및 32 ㎚(쇄선)에 대해 작성된 것이다. 파라미터 관계는, 초기 패턴 CD를 중간 패턴 CD로 축소시키는 적어도 하나의 프로세스 조건(1101)과, 초기 패턴 CD를 중간 패턴 CD로 확대시키는 적어도 다른 하나의 프로세스 조건(1102)을 포함한다. 또한, 파라미터 관계는, 초기 패턴 CD를 중간 패턴 CD와 실질적으로 동일하게 유지하는 적어도 또 다른 하나의 프로세스 조건(1103)을 포함한다. 브롬-함유 가스의 양에 비해 산소-함유 가스의 양을 증가시킴으로써, 프로세스 조건은 공간 CD의 CD 축소에서 CD 확대로 변경된다.
다른예에서, 도 11b에 도시된 바와 같이, HBr/O2/CO2/He를 함유하는 공정 조성물을 이용하여 OPL을 패터닝한다. O2/CO2/He의 유량을 일정하게 유지하면서, 오버 에칭 시간[에칭 시간의 백분율(%)로 나타내어짐]과 중간 패턴 CD(nm, 나노미터) 사이에 파라미터 관계를 수립한다. 초기 패턴 CD와 중간 패턴 CD는 라인 CD에 대응한다. 이 파라미터 관계는 40 ㎚의 초기 패턴 CD(라인 CD)에 대해 작성된 데이터 곡선의 그룹을 포함하는데, 각 데이터 곡선은 서로 다른 HBr의 유량, 즉 >110 sccm(실선), 110 sccm(일점쇄선), 70 sccm(이점쇄선) 및 30 sccm(쇄선)에 대해 작성된 것이다. 파라미터 관계는, 초기 패턴 CD를 중간 패턴 CD로 축소시키는 적어도 하나의 프로세스 조건(1111)과, 초기 패턴 CD를 중간 패턴 CD로 확대시키는 적어도 다른 하나의 프로세스 조건(1112)을 포함한다. 또한, 파라미터 관계는, 초기 패턴 CD를 중간 패턴 CD와 실질적으로 동일하게 유지하는 적어도 또 다른 하나의 프로세스 조건(1113)을 포함한다. 오버 에칭의 양을 증대시킴으로써, 프로세스 조건은 라인 CD의 CD 확대에서 CD 축소로 변경된다.
대안적인 실시형태에서는, 하부 전극이 아닌 상부 전극에 RF 파워를 공급할 수 있다. 다른 대안적인 실시형태에서는, 상부 전극이 아닌 하부 전극에 RF 파워를 공급할 수 있다. 또 다른 대안적인 실시형태에서는, 도 4 내지 도 10을 통해 기술한 방식 중 임의의 방식으로 RF 파워 및/또는 DC 파워를 결합할 수 있다.
특정 에칭 프로세스를 행하는 지속 시간은, 실험 계획(DOE) 기술 또는 종래의 경험을 이용하여 결정될 수 있지만; 종점 검출을 이용하여 결정될 수도 있다. 종점 검출의 한 가지 가능한 방법은, 플라즈마 영역으로부터 발광된 빛의 스펙트럼에 있어서, 하위 박막과 접해 있는 특정 재료층을 기판으로부터 제거하는 것이 완료되거나 혹은 실질적으로 완료에 가까워지는 것으로 인해, 플라즈마 케미스트리의 변화가 일어나는 때를 나타내는 부분을 모니터링하는 것이다. 모니터링된 파장에 대응하는 발광 레벨이 지정해 놓은 임계값을 지나간 후에(예컨대, 실질적으로 0까지 떨어지거나, 특정 레벨 밑으로 떨어지거나, 또는 특정 레벨 위로 올라간 후), 종점에 도달한 것으로 고려될 수 있다. 사용되는 에칭 케미스트리와 에칭되는 재료층에 대해 특정되어 있는 여러 파장을 사용할 수 있다. 또한, 에칭 시간은 오버 에칭 기간을 포함하도록 연장될 수 있는데, 이 오버 에칭 시간은 에칭 프로세스의 시작과 종점 검출에 관련된 시간과의 사이의 시간의 분율(즉, 1%~100%)이 된다.
전술한 에칭 프로세스 중 하나 이상은 도 6에 기술된 것과 같은 플라즈마 처리 시스템을 이용하여 수행될 수 있다. 그러나, 이러한 예시적인 제시에 의해, 앞서 거론한 방법의 범위가 제한되지는 않는다.
본 발명의 특정 실시형태만을 상세히 전술하였지만, 당업자라면 본 발명의 신규한 교시 및 이점에서 실질적으로 벗어나지 않으면서, 상기 특정 실시형태에 다양한 변형을 실시할 수 있음을 쉽게 이해할 것이다. 예를 들어, 금속 게이트 구조를 마련하는 것에 관하여 한 가지 예시적인 공정 흐름이 제공되어 있지만, 그 밖의 공정 흐름도 고려된다. 따라서, 이러한 모든 변경은 본 발명의 범위 내에 포함되는 것이다.

Claims (20)

  1. 다층 마스크 패터닝 방법으로서,
    기판 상에 다층 마스크를 마련하는 공정으로서, 상기 다층 마스크는 리소그래피층과 이 리소그래피층의 아래에 놓이는 중간 마스크층을 포함하고, 상기 중간 마스크층은 탄소-함유 화합물을 포함하는 것인 다층 마스크 마련 공정;
    상기 리소그래피층에 패턴을 형성하는 공정으로서, 상기 패턴은 초기 패턴 임계 치수(CD)로 특징지어지는 것인 패턴 형성 공정;
    상기 패턴을 상기 중간 마스크층에 전사하기 위한 에칭 프로세스 레시피를 수립하는 공정으로서, 상기 에칭 프로세스 레시피는 하나 이상의 공정 파라미터에 의해 정해지는 하나 이상의 프로세스 조건을 포함하는 것인 에칭 프로세스 레시피 수립 공정;
    상기 에칭 프로세스 레시피를 이용하여 상기 중간 마스크층에 형성되는 중간 패턴 CD와 상기 하나 이상의 공정 파라미터 중 적어도 하나의 사이에, 적어도 하나의 파라미터 관계를 수립하는 공정으로서, 상기 적어도 하나의 파라미터 관계는, 상기 초기 패턴 CD를 상기 중간 패턴 CD로 증가시키는 제1 프로세스 조건과, 상기 초기 패턴 CD를 상기 중간 패턴 CD로 감소시키는 제2 프로세스 조건을 제공하는 것인 파라미터 관계 수립 공정;
    상기 초기 패턴 CD와 상기 중간 패턴 CD 사이에서 타겟 CD를 조정하도록, 상기 적어도 하나의 파라미터 관계를 이용하는 상기 하나 이상의 프로세스 조건으로부터 타겟 프로세스 조건을 선택하는 공정; 및
    상기 에칭 프로세스 레시피와 함께 상기 타겟 프로세스 조건을 사용하여 상기 패턴을 상기 리소그래피층으로부터 상기 중간 마스크층으로 전사하는 공정으로서, 상기 에칭 프로세스 레시피는 HBr 가스와, O2 및 CO2로 이루어진 군에서 선택된 하나 이상의 산소-함유 가스, 그리고 선택적인 희가스(noble gas)로 구성되는 공정 조성물을 이용하는 플라즈마 형성을 포함하는 것인 패턴 전사 공정
    을 포함하는 다층 마스크 패터닝 방법.
  2. 제1항에 있어서, 상기 중간 마스크층은 유기 평탄화층(OPL) 또는 유기 유전체층(ODL)을 포함하는 것인 다층 마스크 패터닝 방법.
  3. 제1항에 있어서, 상기 중간 마스크층은 비결정성 탄소를 포함하는 것인 다층 마스크 패터닝 방법.
  4. 삭제
  5. 삭제
  6. 제1항에 있어서, 상기 공정 조성물은 HBr, O2, CO2 및 He로 구성되는 것인 다층 마스크 패터닝 방법.
  7. 제1항에 있어서, 상기 적어도 하나의 파라미터 관계는, 상기 중간 패턴 CD와, 상기 산소-함유 가스의 양과 상기 HBr 가스의 양 사이의 유량비 간의 관계를 수립하는 것인 다층 마스크 패터닝 방법.
  8. 제7항에 있어서, 상기 유량비는 O2의 유량을 HBr의 유량으로 나눈 값으로서 평가되는 것인 다층 마스크 패터닝 방법.
  9. 제1항에 있어서, 상기 산소-함유 가스의 유량에 비해 상기 HBr 가스의 유량을 늘림으로써, 상기 초기 패턴 CD는 상기 중간 패턴 CD로 감소되거나, 또는 상기 산소-함유 가스의 유량에 비해 상기 HBr 가스의 유량을 줄임으로써, 상기 초기 패턴 CD는 상기 중간 패턴 CD로 증가되는 것인 다층 마스크 패터닝 방법.
  10. 제1항에 있어서, 상기 중간 마스크층에서의 상기 패턴의 패턴 거칠기와 상기 하나 이상의 공정 파라미터 중 적어도 하나의 사이에, 적어도 하나의 제2 파라미터 관계를 수립하는 공정을 더 포함하고, 상기 적어도 하나의 제2 파라미터 관계는, 상기 패턴 거칠기를 감소시키는 프로세스 조건을 제공하는 것인 다층 마스크 패터닝 방법.
  11. 제10항에 있어서, 상기 패턴 거칠기는 라인 에지 거칠기(LER), 라인 폭 거칠기(LWR), 또는 이들의 조합을 포함하는 것인 다층 마스크 패터닝 방법.
  12. 제1항에 있어서, 상기 초기 패턴 CD 및 중간 패턴 CD는 라인 CD 또는 공간 CD에 대한 척도를 제공하는 것인 다층 마스크 패터닝 방법.
  13. 제11항에 있어서, 상기 초기 패턴 CD는 FEOL(front-end-of-line) 작업에서의 게이트 패터닝용의 라인 CD를 포함하거나, 또는 상기 초기 패턴 CD는 BEOL(back-end-of-line) 작업에서의 금속 배선 패터닝용의 비아 또는 트렌치 CD를 포함하는 것인 다층 마스크 패터닝 방법.
  14. 제1항에 있어서, 상기 다층 마스크는 상기 리소그래피층과 상기 중간 마스크층의 사이에 삽입된 실리콘-함유 반사 방지 코팅(ARC)층을 더 포함하는 것인 다층 마스크 패터닝 방법.
  15. 제1항에 있어서, 상기 중간 패턴 CD를 수정된 중간 CD로 추가적으로 조정하도록, 상기 중간 마스크층의 상기 패턴을 오버 에칭하는 공정을 더 포함하는 다층 마스크 패터닝 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020137027624A 2011-03-22 2012-03-20 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스 KR101887723B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/053,215 US8334083B2 (en) 2011-03-22 2011-03-22 Etch process for controlling pattern CD and integrity in multi-layer masks
US13/053,215 2011-03-22
PCT/US2012/029767 WO2012129209A2 (en) 2011-03-22 2012-03-20 Etch process for controlling pattern cd and integrity in multi-layer masks

Publications (2)

Publication Number Publication Date
KR20140031224A KR20140031224A (ko) 2014-03-12
KR101887723B1 true KR101887723B1 (ko) 2018-08-10

Family

ID=45992834

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137027624A KR101887723B1 (ko) 2011-03-22 2012-03-20 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스

Country Status (5)

Country Link
US (1) US8334083B2 (ko)
JP (1) JP6280030B2 (ko)
KR (1) KR101887723B1 (ko)
TW (1) TWI458014B (ko)
WO (1) WO2012129209A2 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6140412B2 (ja) * 2012-09-21 2017-05-31 東京エレクトロン株式会社 ガス供給方法及びプラズマ処理装置
US8853085B1 (en) 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US9105478B2 (en) * 2013-10-28 2015-08-11 Globalfoundries Inc. Devices and methods of forming fins at tight fin pitches
US9425094B2 (en) * 2014-12-26 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming semiconductor device structure with feature opening
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
US9748110B2 (en) * 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9899219B2 (en) * 2016-02-19 2018-02-20 Tokyo Electron Limited Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
JP6587580B2 (ja) * 2016-06-10 2019-10-09 東京エレクトロン株式会社 エッチング処理方法
US9673199B1 (en) 2016-06-21 2017-06-06 International Business Machines Corporation Gate cutting for a vertical transistor device
US10707139B2 (en) * 2016-11-28 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for adjusting etching parameters
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
KR102310079B1 (ko) 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
KR102594444B1 (ko) * 2017-06-08 2023-10-25 도쿄엘렉트론가부시키가이샤 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
KR102375887B1 (ko) 2017-06-13 2022-03-18 삼성전자주식회사 반도체 장치 및 이미지 센서
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11227767B2 (en) 2018-05-03 2022-01-18 Tokyo Electron Limited Critical dimension trimming method designed to minimize line width roughness and line edge roughness
WO2019217584A1 (en) * 2018-05-09 2019-11-14 Tokyo Electron Limited Methods and systems for patterning of low aspect ratio stacks
US10748823B2 (en) * 2018-09-27 2020-08-18 International Business Machines Corporation Embedded etch rate reference layer for enhanced etch time precision
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
CN112851131A (zh) * 2019-11-26 2021-05-28 惠州市清洋实业有限公司 一种用于处理摄像头镜片cd纹蚀刻液及其使用方法
WO2022252707A1 (zh) * 2022-02-24 2022-12-08 袁元 半导体器件的加工控制方法、装置及高能粒子束光刻设备
WO2024077586A1 (zh) * 2022-10-14 2024-04-18 袁元 半导体器件的加工控制方法及高能粒子束光刻设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080014533A1 (en) * 2006-07-14 2008-01-17 Keller David J Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
JP2010098176A (ja) * 2008-10-17 2010-04-30 Fujitsu Microelectronics Ltd 半導体装置の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980064754A (ko) * 1996-12-23 1998-10-07 윌리엄비.켐플러 무반사 코팅을 플라즈마 에칭하기 위한 공정
JP3331979B2 (ja) * 1997-08-29 2002-10-07 株式会社デンソー 半導体のエッチング方法
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US6350390B1 (en) 2000-02-22 2002-02-26 Taiwan Semiconductor Manufacturing Company, Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
JP4455936B2 (ja) * 2003-07-09 2010-04-21 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法とエッチングシステム
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
KR100898590B1 (ko) 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
JP5176902B2 (ja) * 2008-11-21 2013-04-03 富士通セミコンダクター株式会社 電子デバイスの製造方法及び設定装置
JP2010161162A (ja) 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
TW201108324A (en) 2009-03-24 2011-03-01 Tokyo Electron Ltd A method for processing a substrate
US8236700B2 (en) * 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080014533A1 (en) * 2006-07-14 2008-01-17 Keller David J Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
JP2010098176A (ja) * 2008-10-17 2010-04-30 Fujitsu Microelectronics Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
WO2012129209A2 (en) 2012-09-27
JP2014512096A (ja) 2014-05-19
WO2012129209A3 (en) 2012-11-15
TW201243940A (en) 2012-11-01
KR20140031224A (ko) 2014-03-12
JP6280030B2 (ja) 2018-02-14
TWI458014B (zh) 2014-10-21
US20120244458A1 (en) 2012-09-27
US8334083B2 (en) 2012-12-18

Similar Documents

Publication Publication Date Title
KR101887723B1 (ko) 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US7888267B2 (en) Method for etching silicon-containing ARC layer with reduced CD bias
US8809196B2 (en) Method of etching a thin film using pressure modulation
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US7858270B2 (en) Method for etching using a multi-layer mask
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
KR101889107B1 (ko) 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법
KR20130075766A (ko) 금속 인터커넥트용의 절연 스택을 선택적으로 에칭하는 방법
WO2013192323A1 (en) Sidewall protection of low-k material during etching and ashing
US8080473B2 (en) Method for metallizing a pattern in a dielectric film
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US7935640B2 (en) Method for forming a damascene structure
US7622390B2 (en) Method for treating a dielectric film to reduce damage
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant