KR101622568B1 - Patterning of magnetic thin film using energized ions - Google Patents

Patterning of magnetic thin film using energized ions Download PDF

Info

Publication number
KR101622568B1
KR101622568B1 KR1020117011703A KR20117011703A KR101622568B1 KR 101622568 B1 KR101622568 B1 KR 101622568B1 KR 1020117011703 A KR1020117011703 A KR 1020117011703A KR 20117011703 A KR20117011703 A KR 20117011703A KR 101622568 B1 KR101622568 B1 KR 101622568B1
Authority
KR
South Korea
Prior art keywords
thin film
magnetic thin
magnetic
resist
substrate
Prior art date
Application number
KR1020117011703A
Other languages
Korean (ko)
Other versions
KR20110090943A (en
Inventor
옴카람 나라마수
스티븐 버하버베케
마지드 포드
마하링암 벤카테산
네티 엠. 크리쉬나
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/255,865 external-priority patent/US8551578B2/en
Priority claimed from US12/255,833 external-priority patent/US8535766B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110090943A publication Critical patent/KR20110090943A/en
Application granted granted Critical
Publication of KR101622568B1 publication Critical patent/KR101622568B1/en

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/743Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/743Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
    • G11B5/746Bit Patterned record carriers, wherein each magnetic isolated data island corresponds to a bit
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/82Disk carriers

Abstract

기판 상의 자기 박막을 패터닝하기 위한 방법은 상기 자기 박막에 대해 패턴을 제공하는 단계를 포함하고, 상기 패턴의 선택적 영역들은 하나 또는 그 이상의 원소들의 활성화된 이온들의 침투를 허용한다. 선택적 영역들 및 상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 침투하기에 충분한 에너지를 갖는 활성화된 이온들이 생성된다. 상기 기판은 상기 활성화된 이온들을 수용하도록 배치된다. 상기 자기 박막의 부분은 열적 여기를 받을 수 있다. 상기 자기 박막의 부분들은 선택적인 다른 부분들과 상이한 자기 특성을 나타내도록 제공된다. 매체의 양면 상에 자기 박막으로 자기 매체를 패터닝하기 위한 방법이 또한 개시된다.A method for patterning a magnetic thin film on a substrate includes providing a pattern for the magnetic thin film, wherein selective areas of the pattern allow penetration of activated ions of one or more elements. Active ions having sufficient energy to penetrate selective regions and portions of the magnetic thin film adjacent to the selective regions are generated. The substrate is arranged to receive the activated ions. The portion of the magnetic thin film may be subjected to thermal excitation. The portions of the magnetic thin film are provided to exhibit different magnetic properties from other optional portions. A method for patterning magnetic media with magnetic thin films on both sides of the medium is also disclosed.

Description

활성화된 이온들을 이용한 자기 박막의 패터닝{PATTERNING OF MAGNETIC THIN FILM USING ENERGIZED IONS}BACKGROUND OF THE INVENTION Field of the Invention [0001] The present invention relates to patterning of magnetic thin films using activated ions,

본 발명은 일반적으로 자기 박막의 패터닝에 관한 것이고, 보다 구체적으로는, 활성화된 이온들을 이용하여 자기 기록 매체의 자기 박막을 패터닝하는 방법에 관한 것이다.BACKGROUND OF THE INVENTION Field of the Invention The present invention generally relates to patterning of magnetic thin films, and more particularly, to a method of patterning magnetic thin films of magnetic recording media using activated ions.

컴퓨터용의 더 높은 밀도의 정보 저장 매체에 대한 필요성이 항상 존재한다. 현재, 보편적인 저장 매체는 하드 디스크 드라이브(HDD)이다. HDD는 디지털로 인코딩된 데이터를 자기 표면들을 갖는 빠르게 회전하는 디스크들 상에 저장하는 비휘발성 저장 디바이스이다. 디스크들은 중앙의 홀을 갖는 원형이다. 디스크들은 비자기 물질, 일반적으로 유리 또는 알루미늄으로 제조되고, 코발트-기반 합금 박막들과 같은 자기 박막들로 한 면 또는 양면에 코팅된다. HDD들은 특정한 두 방향들 중 하나로 자기 막(magnetic film)의 영역들을 자화함으로써 데이터를 기록하여, 막에서 이진 데이터 저장을 가능하게 한다. 저장된 데이터는 막의 자화된 영역들의 방향을 검출함으로써 판독된다.There is always a need for higher density information storage media for computers. At present, a common storage medium is a hard disk drive (HDD). HDD is a non-volatile storage device that stores digitally encoded data on fast spinning disks with magnetic surfaces. The discs are circular with a central hole. Discs are made of non-magnetic material, typically glass or aluminum, and coated on one or both sides with magnetic films such as cobalt-based alloy thin films. HDDs record data by magnetizing regions of a magnetic film in one of two specific directions to enable binary data storage in the film. The stored data is read by detecting the orientation of the magnetized areas of the film.

전형적인 HDD 디자인은 판독-기록 헤드들로 하여금 하나 또는 그 이상의 디스크들의 한 면 또는 양면에 액세스하도록 허용할 만큼 충분히 이격된 하나 또는 그 이상의 디스크들을 보유하는 스핀들(spindle)로 구성된다. 디스크들은 디스크들 내의 중앙 홀들로 삽입되는 클램프들에 의해 스핀들에 고정된다. 디스크들은 매우 빠른 속도로 회전된다. 정보는 디스크가 판독-기록 헤드들을 지나 회전할 때 디스크상에 기록되고 디스크로부터 판독된다. 헤드들은 자기 박막의 표면에 매우 인접하여 이동한다. 판독-기록 헤드는 판독-기록 헤드 바로 아래에 있는 물질의 자화를 검출 및/또는 수정하기 위해 사용된다. 스핀들 상의 각각의 자기 디스크 표면에 대해 하나의 헤드가 존재한다. 아암은 스핀들 디스크들을 가로질러 헤드들을 이동시키며, 각각의 헤드가 대응하는 디스크의 거의 전체 표면을 액세스하도록 허용한다.A typical HDD design consists of a spindle holding one or more disks spaced apart enough to allow read-write heads to access one or both sides of one or more disks. The disks are secured to the spindle by clamps which are inserted into the central holes in the disks. The disks rotate at a very high speed. The information is written onto and read from the disk as the disk rotates past the read-write heads. The heads move very close to the surface of the magnetic thin film. The read-write head is used to detect and / or modify the magnetization of the material immediately below the read-write head. There is one head for each magnetic disk surface on the spindle. The arm moves the heads across the spindle disks, allowing each head to access almost the entire surface of the corresponding disk.

종래의 자기 매체에서, 각각의 비트 셀은 랜덤하게 분산된 복수의 자기 그레인들을 포함한다. 이상적으로는, 복수의 자기 그레인들은 개선된 기록-능력, 신호대잡음비(SNR) 및 열적 안정성을 제공하기 위하여 서로로부터 물리적으로 분리된다.In conventional magnetic media, each bit cell includes a plurality of randomly dispersed magnetic grains. Ideally, the plurality of magnetic grains are physically separated from each other to provide improved write-ability, signal-to-noise ratio (SNR), and thermal stability.

자기 기록 매체의 공중 밀도(aerial density)가 증가함에 따라, 제곱 인치 당 비트 셀들의 수는 증가한다. 이는 비트 셀의 크기를 감소시킨다. 천이를 효율적으로 측정하기 위하여, 자기 그레인들의 최소 수가 비트 셀에서 요구된다. 비트 셀의 크기가 감소함에 따라, 자기 그레인 크기는 비트 셀 내의 자기 그레인들의 최소수를 제공하도록 대응하여 감소되어야 한다. 자기 그레인들의 격리 및 자기 그레인 크기에 있어서의 감소가 낮은 노이즈를 보장하기 위해 개선된다면, 기록 밀도는 열적 교란(disturbance)들로 인해 제한될 것이다.As the aerial density of the magnetic recording medium increases, the number of bit cells per square inch increases. This reduces the size of the bit cell. In order to efficiently measure transitions, the minimum number of magnetic grains is required in the bit cell. As the bit cell size decreases, the magnetic grain size must correspondingly be reduced to provide the minimum number of magnetic grains in the bit cell. If the isolation in magnetic grains and the reduction in magnetic grain size are improved to insure low noise, the recording density will be limited due to thermal disturbances.

기록 밀도의 향상을 위하여, 매체 상의 기록 셀 크기를 감소시키는 것이 바람직하고, 이는 매체로부터 생성되는 신호 자기장 강도에 있어서의 감소를 가져온다. 기록 시스템에 대해 요구되는 SNR을 만족시키기 위하여, 노이즈는 신호 강도에 있어서의 감소에 대응하여 감소되어야 한다. 매체 노이즈는 주로 자화 천이의 변동(fluctuation)에 의해 일어나며, 상기 변동은 자기 그레인들로 제조되는 자화 반전(reversal) 유닛의 크기에 비례한다. 따라서, 매체 노이즈를 감소시키기 위하여, 자기 그레인들 사이의 교환 상호작용을 방해함으로써 자기 그레인들을 고립시키는 것이 요구된다.In order to improve the recording density, it is desirable to reduce the recording cell size on the medium, which leads to a decrease in the signal magnetic field intensity generated from the medium. In order to satisfy the SNR required for the recording system, the noise must be reduced corresponding to the decrease in signal strength. Medium noise is mainly caused by fluctuations of magnetization transitions, which are proportional to the magnitude of the magnetization reversal unit made of magnetic grains. Thus, in order to reduce media noise, it is required to isolate magnetic grains by interfering with the exchange interaction between the magnetic grains.

하나의 고립된 자기 그레인의 자기 에너지는 그레인의 부피 및 자기 이방성(anisotropy) 에너지 밀도의 곱에 의해 주어진다. 자화 천이 폭을 감소시키기 위하여 매체 두께를 감소시키는 것이 바람직하다. 낮은 노이즈에 대한 요건을 만족시키기 위하여 그레인 크기를 감소시키는 것이 또한 바람직하다. 감소된 자기 그레인 크기는 자기 그레인의 부피를 현저하게 낮추고, 또한 그레인의 자기 에너지를 현저하게 낮춘다. 자기 매체 내의 주어진 자기 그레인의 자기 에너지가 동작 온도(예, 실온)에서 열 에너지의 수백 배라면, 열 교란에 대한 저항은 충분한 것으로 간주된다. 그러나, 자기 그레인의 자기 에너지가 열 에너지의 수백 배 미만인 경우, 자기 그레인의 자화 방향이 열 교란에 의해 반전될 수 있는 가능성이 있고, 잠재적으로 기록된 정보의 손실에 이르게 한다.The magnetic energy of an isolated magnetic grain is given by the product of the volume of grain and the anisotropy energy density. It is desirable to reduce the thickness of the medium to reduce the magnetization transition width. It is also desirable to reduce the grain size to meet the requirements for low noise. The reduced magnetic grain size significantly lowers the volume of the magnetic grain and also significantly reduces the magnetic energy of the grain. If the magnetic energy of a given magnetic grain in a magnetic medium is several hundreds times the thermal energy at the operating temperature (e.g., room temperature), the resistance to thermal disturbance is considered sufficient. However, when the magnetic energy of magnetic grains is less than several hundreds of thermal energies, there is a possibility that the magnetization direction of the magnetic grains can be reversed by thermal disturbance, leading to the loss of potentially recorded information.

다양한 대안들이 열 교란들의 문제점을 극복하기 위해 제안되어 왔다. 하나의 대안은 높은 자기 이방성을 갖는 자기 물질을 이용하는 것이다. 이러한 자기 물질들은 자기 매체를 기록하기 위해 헤드로부터 더 높은 기록 포화 자기장을 필요로 한다. 또 다른 대안은 열 보조되는 기록을 이용하는 것이고, 여기서 상당히 이방성인 자기 물질이 사용되고 기록 부분은 기록 동안 광 방사에 의해 가열된다. 열은 자기 그레인들의 이방성 및 기록 포화 자기장을 낮춘다. 이는 종래의 자기 헤드를 이용한 자기 매체의 기록을 허용한다.Various alternatives have been proposed to overcome the problems of thermal disturbances. One alternative is to use magnetic materials with high magnetic anisotropy. These magnetic materials require a higher recording saturated magnetic field from the head to record the magnetic media. Another alternative is to use heat-assisted recording, where a highly anisotropic magnetic material is used and the recording portion is heated by light radiation during recording. The heat lowers the anisotropy of the magnetic grains and the recording saturated magnetic field. This permits recording of magnetic media using a conventional magnetic head.

공중 밀도가 증가함에 따라, 비트 셀 당 여전히 요구되는 자기 그레인들의 최소 수가 존재하며 얼마나 작은 자기 그레인이 실용적으로 성취될 수 있는지에 대한 제한이 존재한다.As the public density increases, there is still a minimum number of magnetic grains still required per bit cell and there is a limit to how small magnetic grains can be practically achieved.

탐구되고 있는 대안의 자기 매체는 패터닝된 매체이고, 여기서 자기 부분들은 비-자기 부분들과 교번한다. 예를 들어, 비트 패터닝된 매체는 비-자기 부분들에 의해 둘러싸인 섬(island)들로써 자기 도메인을 정의하는 자기 부분들을 가질 수 있다. 트랙 패터닝된 매체는 예를 들어, 비-자기 부분들에 의해 분리된 자기 부분들의 중심 트랙을 가질 수 있다.The alternate magnetic medium being explored is a patterned medium, wherein the magnetic portions alternate with non-magnetic portions. For example, a bit patterned medium may have magnetic portions that define magnetic domains with islands surrounded by non-magnetic portions. The track patterned medium may have a center track of magnetic portions separated by, for example, non-magnetic portions.

다양한 대안들이 이러한 매체를 제조하기 위하여 제안되어 왔으나, 비용 효율적이고 큰 부피 제조와 호환가능한 방법을 찾아낼 필요성이 여전히 남아 있다. 본 개시내용의 실시예들이 발생되는 것은 이러한 문맥에서이다.While various alternatives have been proposed to manufacture such media, there remains a need to find ways to be cost effective and compatible with large volume manufacturing. It is in this context that embodiments of the present disclosure arise.

본 개시내용의 사상들 및 방법들은 자기 박막의 일부 부분들이 자기 박막의 다른 부분들과 상이한 자기 특성을 나타내도록 렌더링(render)된, 자기 매체의 대용적(high volume) 제조를 허용한다.The ideas and methods of the present disclosure allow high volume fabrication of magnetic media in which some portions of the magnetic film are rendered to exhibit different magnetic properties than other portions of the magnetic film.

일 양상에서, 본 개시내용은 기판 상의 자기 박막을 패터닝하는 방법이다. 상기 방법은 자기 박막에 대해 패턴을 제공하는 단계― 상기 패턴의 선택적 영역들은 하나 또는 그 이상의 원소들의 활성화된 이온들의 상기 자기 박막의 부분들을 통한 침투 및 이들 상부로의 충돌을 허용함 ―를 포함한다. 하나 또는 그 이상의 원소들의 활성화된 이온들은 상기 패턴의 선택적 영역들 및 상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 침투하기에 충분한 에너지로 생성된다. 기판은 활성화된 이온들을 수용하도록 배치된다. 상기 선택적 영역들에 인접한 상기 자기 박막의 부분들은 상기 자기 박막의 선택적인 다른 부분들과 상이한 자기 특성을 나타내도록 렌더링된다.In an aspect, this disclosure is a method of patterning a magnetic thin film on a substrate. The method includes providing a pattern for a magnetic thin film, wherein selective regions of the pattern allow penetration of the activated ions of one or more elements through portions of the magnetic thin film and impingement thereon . Activated ions of one or more elements are generated with sufficient energy to penetrate selective regions of the pattern and portions of the magnetic thin film adjacent to the selective regions. The substrate is arranged to receive activated ions. Portions of the magnetic thin film adjacent to the selective regions are rendered to exhibit different magnetic properties than other optional portions of the magnetic thin film.

다른 양상에서, 본 개시내용은 양면상에 자기 박막을 갖는 양면을 구비하는 자기 매체를 패터닝하기 위한 방법이다. 상기 방법은, 상기 자기 매체의 양면상에 있는 자기 박막에 대해 패턴을 제공하는 단계를 포함하며, 상기 패턴의 선택적 영역들은 하나 또는 그 이상의 원소들의 활성화된 이온들의 상기 자기 박막의 부분들을 통한 침투 및 이들 상부로의 충돌을 허용한다. 하나 또는 그 이상의 원소들의 활성화된 이온들은 상기 자기 매체의 양면상에서 상기 패턴의 선택적 영역들 및 상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 침투하기에 충분한 에너지로 생성된다. 자기 매체는 활성화된 이온들을 수용하도록 배치된다. 상기 자기 매체의 양면 상에서 상기 선택적 영역들에 인접한 상기 자기 박막의 부분들은 상기 자기 박막의 선택적인 다른 부분들과 상이한 자기 특성을 나타내도록 렌더링된다.In another aspect, the disclosure is a method for patterning magnetic media having both sides having magnetic thin films on both sides. The method includes providing a pattern for a magnetic thin film on both sides of the magnetic medium, wherein the selective areas of the pattern include penetration through portions of the magnetic thin film of activated ions of one or more elements and / Allowing them to collide with the top. Activated ions of one or more elements are generated with sufficient energy to penetrate selective areas of the pattern on both sides of the magnetic medium and a portion of the magnetic thin film adjacent to the selective areas. The magnetic medium is arranged to receive the activated ions. Portions of the magnetic thin film adjacent to the selective regions on both sides of the magnetic medium are rendered to exhibit different magnetic properties than other optional portions of the magnetic thin film.

본 발명의 상기한 그리고 다른 양상들 및 특징들은 첨부 도면들과 결합하여 본 발명의 특정 실시예들의 다음 기재를 검토할 때 당업자에게 명백해질 것이고, 첨부 도면에서:
도 1은 본 개시내용의 예시적인 방법의 프로세스 흐름도이고;
도 2는 패턴으로서, 자기 박막에 대해 사용하기 위한 예시적인 마스크의 부분 평면도이고;
도 3은 자기 박막에 대해 배치된 패턴을 갖는 예시적인 레지스트이고;
도 4는 본 개시내용의 제1 디스크 홀더 장치를 보여주는, 본 개시내용과 함께 사용하기 위한 프로세스 챔버의 개략도이고;
도 5는 자기 박막에 대한 패턴의 단면 표현이고;
도 6은 이온 침투 후에, 자기 박막의 단면 표현이고;
도 7a 및 도 7b는 레지스트 및 자기 박막을 관통하는 헬륨 이온 침투 프로파일을 도시하며;
도 7c는 헬륨 이온 주입을 받지 않는 자기 막의 부분에 대한 자화 곡선을 도시하며;
도 7d는 헬륨 이온 주입을 받는 자기 막의 부분에 대한 자화 곡선을 도시하며;
도 8a 및 도 8b는 레지스트 및 자기 박막을 통한 붕소 이온 침투 프로파일을 도시하며;
도 8c는 붕소 이온 침투 이후의, 자기 박막 내의 붕소 및 코발트 이온들의 농도를 도시하며;
도 8d는 붕소 이온 주입을 받지 않는 자기 막의 부분에 대한 자화 곡선을 도시하며;
도 8e는 헬륨 이온 주입을 받는 자기 막의 부분에 대한 자화 곡선을 도시하며;
도 9a는 자기 박막을 통한 실리콘 이온 침투 프로파일을 도시하며;
도 9b는 실리콘 이온 주입 이후의, 자기 박막 내의 실리콘 이온들의 깊이 프로파일을 도시한다.
These and other aspects and features of the present invention will become apparent to those skilled in the art upon review of the following description of specific embodiments of the invention in connection with the accompanying drawings,
1 is a process flow diagram of an exemplary method of the present disclosure;
2 is a partial plan view of an exemplary mask for use with a magnetic thin film as a pattern;
Figure 3 is an exemplary resist with a pattern disposed for a magnetic thin film;
4 is a schematic view of a process chamber for use with the present disclosure, showing a first disc holder apparatus of the present disclosure;
5 is a cross-sectional representation of a pattern for a magnetic thin film;
6 is a cross-sectional representation of a magnetic thin film after ion penetration;
Figures 7A and 7B show a helium ion infiltration profile through the resist and the magnetic thin film;
Figure 7c shows the magnetization curves for portions of the magnetic film that are not subjected to helium ion implantation;
Figure 7d shows the magnetization curve for a portion of the magnetic film subjected to helium ion implantation;
Figures 8A and 8B show the boron ion penetration profile through the resist and the magnetic thin film;
Figure 8c shows the concentration of boron and cobalt ions in the magnetic film after boron ion penetration;
Figure 8d shows the magnetization curve for a portion of the magnetic film that is not subjected to boron ion implantation;
8E shows the magnetization curve for a portion of the magnetic film subjected to helium ion implantation;
FIG. 9A shows a silicon ion infiltration profile through a magnetic thin film; FIG.
9B shows the depth profile of the silicon ions in the magnetic thin film after the silicon ion implantation.

본 개시내용은, 이제 당업자가 본 개시내용을 실시할 수 있게 하기 위하여 본 개시내용의 설명 예들로서 제공되는, 도면들을 참조하여 세부적으로 기재될 것이다. 특히, 아래의 도면들 및 예들은 단일한 실시예로 본 개시내용의 범위를 제한하려는 것이 아니라, 다른 실시예들이 기재되거나 설명된 원소들의 일부 또는 모두의 교환의 방식으로 가능하다. 또한, 본 개시내용의 특정 원소들은 알려진 컴포넌트들을 이용하여 부분적으로 또는 완전히 구현될 수 있고, 이러한 알려진 컴포넌트들 중 본 개시내용의 이해를 위해 필요한 부분들만이 기재될 것이며, 이러한 알려진 컴포넌트들 중 다른 부분들의 상세한 기재들은 본 개시내용을 흐리지 않기 위하여 생략될 것이다. 본 명세서에서, 단일 컴포넌트를 보여주는 실시예는 제한으로 간주되지 않아야 하며; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않으면, 본 개시내용은 복수의 동일 컴포넌트를 포함하는 다른 실시예들을 포괄하려는 것이며, 반대의 경우도 같다(vice-versa). 또한, 출원인들은 그와 같이 명백히 제시되지 않는 한, 상세한 설명 또는 청구범위에서의 임의의 용어가 일반적이지 않거나 특수한 의미에 속할 것을 의도하는 것이 아니다. 또한, 본 개시내용은 예시의 방식으로 본 명세서에서 언급되는 공지의 컴포넌트들에 대한 현재의 그리고 미래의 알려진 등가물들을 포함한다.The present disclosure will now be described in detail with reference to the drawings, which are provided as illustrative examples of the present disclosure in order to enable those skilled in the art to practice the present disclosure. In particular, the drawings and examples below are not intended to limit the scope of the present disclosure in a single embodiment, but other embodiments are possible by way of interchange of some or all of the elements described or described. In addition, certain elements of the present disclosure may be implemented in whole or in part using known components, and only those portions of these known components that are necessary for the understanding of this disclosure will be described, Will be omitted so as not to obscure the present disclosure. In the present specification, an embodiment showing a single component should not be regarded as a limitation; Rather, unless expressly stated otherwise herein, the present disclosure is intended to encompass other embodiments including a plurality of the same components, and vice versa. Also, applicants do not intend for any term in the detailed description or the claims to be in the unusual or particular sense unless expressly so set forth. In addition, the present disclosure includes current and future known equivalents to known components as referred to herein in an illustrative manner.

일반적으로, 본 개시내용은 하나 또는 그 이상의 원소들의 이온들의 자기 박막의 부분들을 통한 침투 및 상기 자기 박막의 부분들에 대한 충돌을 허용하는 선택적 영역들을 갖는 패턴을 제공하는 것을 고려한다. 패턴의 선택적 영역들 및 상기 선택적 영역에 인접한 상기 자기 박막의 부분을 침투하기에 충분한 에너지를 갖는 하나 또는 그 이상의 원소들의 활성화된 이온들이 생성된다. 기판은 상기 활성화된 이온들을 수용하도록 배치된다. 상기 선택적 영역들에 인접한 상기 자기 박막의 부분들은 상기 자기 박막의 다른 부분들과 상이한 자기 특성을 나타내도록 렌더링된다. 본 방법은 하드 디스크 드라이브 제조에 적용가능하며, 매우 높은 공중 밀도 정보 저장을 허용한다.In general, the present disclosure contemplates providing a pattern with selective regions that allow penetration of ions of one or more elements through portions of the magnetic thin film and impacts on portions of the magnetic thin film. Active ions of one or more elements having sufficient energy to penetrate selective regions of the pattern and portions of the magnetic thin film adjacent to the selective region are produced. The substrate is arranged to receive the activated ions. Portions of the magnetic thin film adjacent to the selective regions are rendered to exhibit different magnetic properties than other portions of the magnetic thin film. The method is applicable to hard disk drive manufacture and allows very high public density information storage.

현재 개시내용의 예시적인 방법은 도 1에 도시된다. 기판상에 자기 박막을 패터닝하기 위한 방법은 다음의 단계들을 포함한다: (1) 상기 자기 박막에 대해 패턴을 제공하는 단계 ― 상기 패턴의 선택적 영역들은 하나 또는 그 이상의 원소들의 활성화된 이온들의 침투를 허용함 ―; (2) 상기 패턴의 선택적 영역들 및 상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 침투하기에 충분한 에너지를 갖는 하나 또는 그 이상의 원소들의 활성화된 이온들을 생성하는 단계; (3) 상기 활성화된 이온들을 수용하도록 상기 기판을 배치하는 단계; 및 (4) 상기 자기 박막의 선택적인 다른 부분들과 상이한 자기 특성을 나타내도록 상기 선택적 영역들에 인접한 상기 자기 박막의 부분들을 렌더링하는 단계.An exemplary method of present disclosure is shown in FIG. A method for patterning a magnetic thin film on a substrate includes the steps of: (1) providing a pattern for the magnetic thin film, wherein the selective areas of the pattern are formed by implanting activated ions of one or more elements Allowed; (2) generating activated ions of one or more elements having sufficient energy to penetrate selective regions of the pattern and portions of the magnetic thin film adjacent to the selective regions; (3) disposing the substrate to receive the activated ions; And (4) rendering portions of the magnetic thin film adjacent to the selective regions to exhibit magnetic properties different from other optional portions of the magnetic thin film.

일 실시예에서, 이온들의 침투를 허용하는 선택적 영역들을 갖는 활성화된 이온들의 침투에 기여하지 않는 마스크가 패턴으로서 사용될 수 있다. 도 2는 패턴으로서 자기 박막에 대해 사용하기 위한 예시적인 마스크(200)의 부분 평면도를 도시한다. 예를 들어, 마스크(200)는 활성화된 이온들의 침투에 기여하지 않는 부분들(202) 및 활성화된 이온들의 침투에 기여하는 선택적 영역들(204)을 갖는 중합체 물질, 예를 들어, 폴리비닐 알콜(PVA) 물질로 제조될 수 있다. PVA 템플릿들을 생성하는 예시적인 방법은 미국 특허 제6,849,558호에 Schaper에 의해 기재되어 있고, 상기 특허는 본원에 참조에 의해 통합된다. Schaper의 교시들은 활성화된 이온들의 침투에 기여하지 않는 부분들(202) 및 활성화된 이온들의 침투에 기여하는 선택적 영역들(204)을 갖는 마스크(200)를 생성하도록 적응될 수 있다. 예를 들어, 부분들(202)의 두께는 활성화된 이온들이 부분들(202)을 통해 완전히 침투하지 않도록 선택될 수 있다. 비록 부분들(202)이 원형으로 도시되었지만, 당업자가 이해하듯이, 부분들(202)의 형상 및 위치는 유리하게 선택될 수 있다. 예를 들어, 부분들(202)의 형상은 타원형, 정사각형, 직사각형, 또는 본원의 필요성에 따라 임의의 다른 형상일 수 있다.In one embodiment, a mask that does not contribute to the penetration of activated ions having selective regions that allow penetration of ions may be used as the pattern. Figure 2 shows a partial plan view of an exemplary mask 200 for use with a magnetic thin film as a pattern. For example, the mask 200 may include polymeric materials having portions 202 that do not contribute to the penetration of activated ions and selective regions 204 that contribute to the penetration of activated ions, such as polyvinyl alcohol (PVA) material. An exemplary method of generating PVA templates is described by Schaper in U.S. Patent No. 6,849,558, which is incorporated herein by reference. Schaper's teachings can be adapted to produce a mask 200 having portions 202 that do not contribute to the penetration of the activated ions and selective regions 204 that contribute to the penetration of the activated ions. For example, the thickness of the portions 202 may be selected such that the activated ions do not penetrate completely through the portions 202. [ Although portions 202 are shown in a circular fashion, the shape and location of portions 202 may be advantageously chosen, as will be appreciated by those skilled in the art. For example, the shape of the portions 202 may be elliptical, square, rectangular, or any other shape depending on the needs of the present disclosure.

또 다른 실시예에서, 예를 들어 나노임프린트 리소그래피를 이용하여, 자기 박막 위에 레지스트가 코팅될 수 있고 레지스트 내에 패턴이 생성될 수 있다. 본 개시내용에 적용가능한 두 가지 주지된 타입들의 나노임프린트 리소그래피가 존재한다. 첫 번째는 열가소성 나노임프린트 리소그래피[T-NIL]이며, 이는 다음 단계들을 포함한다: (1) 열가소성 중합체 레지스트로 기판을 코팅하는 단계; (2) 목적하는 3차원 패턴을 갖는 몰드를 레지스트와 접촉시키고 규정된 압력을 가하는 단계; (3) 레지스트의 유리 천이 온도(glass transition temperature)를 초과하여 레지스트를 가열하는 단계; (4) 레지스트가 이것의 유리 천이 온도를 초과할 때 몰드가 레지스트로 가압되는 단계; (5) 레지스트를 냉각시키고 레지스트로부터 몰드를 분리하여, 목적하는 3차원 패턴을 레지스트에 남기는 단계.In yet another embodiment, a resist may be coated over the magnetic thin film, for example using nanoimprint lithography, and a pattern may be created in the resist. There are two known types of nanoimprint lithography applicable to this disclosure. The first is thermoplastic nanoimprint lithography [T-NIL], which includes the following steps: (1) coating a substrate with a thermoplastic polymeric resist; (2) contacting a mold having a desired three-dimensional pattern with a resist and applying prescribed pressure; (3) heating the resist beyond the glass transition temperature of the resist; (4) the mold is pressed into the resist when the resist exceeds its glass transition temperature; (5) cooling the resist and separating the mold from the resist, leaving the desired three-dimensional pattern in the resist.

나노임프린트 리소그래피의 두 번째 타입은 포토 나노임프린트 리소그래피[P-NIL]이며, 이는 다음 단계들을 포함한다: (1) 광-경화가능한(photo-curable) 액체 레지스트가 기판에 부가되는 단계; (2) 목적하는 3차원 패턴을 갖는 투명 몰드가 기판과 접촉을 형성할 때까지 상기 몰드가 액체 레지스트에 가압되는 단계; (3) 액체 레지스트를 고체로 변환하기 위하여 액체 레지스트가 자외선 광으로 경화되는 단계; (4) 목적하는 3차원 패턴을 레지스트에 남기면서, 몰드가 레지스트로부터 분리되는 단계. P-NIL에서, 몰드는 용융 실리카와 같은 투명 물질로 제조된다.A second type of nanoimprint lithography is Photonano Imprint Lithography (P-NIL), which includes the following steps: (1) adding a photo-curable liquid resist to a substrate; (2) the mold is pressed into the liquid resist until a transparent mold having the desired three-dimensional pattern forms a contact with the substrate; (3) curing the liquid resist to ultraviolet light to convert the liquid resist to a solid; (4) separating the mold from the resist while leaving the desired three-dimensional pattern in the resist. In P-NIL, the mold is made of a transparent material such as fused silica.

도 3은 나노임프린트 리소그래피 이후의 예시적인 패턴(300)의 단면 표현을 도시한다. 기판(330) 상의 자기 박막(320)상의 패터닝된 레지스트(310)는 상기 레지스트가 상당히 제거된 선택적 영역들(350)을 갖는 오목부(depression, 340)를 갖는 것으로 도시된다. 그러나, 선택적 영역들(350)은 자기 박막(320)의 표면을 덮는 소량의 레지스트가 남겨진다. 이는 나노임프린트 프로세스에 대해 전형적이다. 레지스트 패턴을 이온 주입을 위한 마스크로서 사용할 때, 주입 종들이 주입될 영역들에서 전체 레지스트 층이 제거될 필요는 없다. 그러나, 나머지 층은 주입종들에 대한 실질적인 배리어가 이를 통해 침투하게 하지 않을 만큼 충분히 얇아야 한다. 또한, 두꺼운 레지스트 및 얇은 나머지 레지스트를 갖는 영역들 사이의 대비는 두꺼운 레지스트를 갖는 영역들에서의 레지스트가 이온종들이 자기 박막에 도달하기 전에 이온 종들을 멈출 수 있도록 충분히 커야 한다. 대안적으로, 선택적 영역들(350)에 있는 얇은 나머지 레지스트는 디스컴(descum) 프로세스 또는 슬라이트 애쉬(slight ash) 프로세스 또는 임의의 다른 적절한 기술과 같은 이방성 레지스트 제거 프로세스를 이용하여 제거될 수 있다.Figure 3 shows a cross-sectional representation of an exemplary pattern 300 after nanoimprint lithography. The patterned resist 310 on the magnetic film 320 on the substrate 330 is shown having a depression 340 with selective areas 350 where the resist is substantially removed. However, the selective regions 350 leave a small amount of resist covering the surface of the magnetic thin film 320. [ This is typical for nanoimprint processes. When the resist pattern is used as a mask for ion implantation, the entire resist layer need not be removed in the regions to which the implantation species are to be implanted. However, the remaining layer must be thin enough that a substantial barrier to the implant species will not penetrate through it. Also, the contrast between the areas of thick resist and thin residual resist should be large enough so that the resist in areas with thick resist can stop the ion species before the ion species reach the magnetic thin film. Alternatively, the thin remaining resist in the selective regions 350 may be removed using an anisotropic resist removal process such as a descum process or a slight ash process or any other suitable technique .

나노임프린트 리소그래피에서, 임프린트 프로세스가 선택적 영역들(350)을 형성하도록 레지스트를 제거시킬 때, 오목부(340)에 대응하는 다수의 돌출부들을 갖는 몰드가 레지스트와 접촉하게 되고 압력이 가해질 때 제거되는 레지스트의 양을 제어할 필요가 있다. 전형적으로, 스탬핑 프로세스 동안 제거되는 레지스트의 양을 제어하기 위하여, 오목부(340)의 폭(w)은 오목부(340)의 깊이(d)와 거의 동일한 치수일 수 있고 레지스트의 높이(h)는 적어도 오목부(340)의 깊이(d)만큼 높다. 만약 오목부(340)의 깊이(d)가 오목부(340)의 폭(w)보다 실질적으로 더 높다면, 변위되는 레지스트의 양은 매우 커서 몰드로부터의 패턴을 레지스트(310)로 정확하게 전사하는 것이 비현실적일 수 있다.In nanoimprint lithography, when the imprint process removes the resist to form the selective regions 350, the mold having a plurality of protrusions corresponding to the recesses 340 is brought into contact with the resist, It is necessary to control the amount of the gas. The width w of the recess 340 may be approximately the same dimension as the depth d of the recess 340 and the height h of the resist may be substantially the same as the depth d of the recess 340. In order to control the amount of resist removed during the stamping process, Is at least as high as the depth d of the concave portion 340. If the depth d of the recess 340 is substantially higher than the width w of the recess 340, the amount of resist displaced is so large that the pattern from the mold is accurately transferred to the resist 310 It can be impractical.

나노임프린트 리소그래피 프로세스는 완전한(full) 디스크 나노임프린트 방식을 이용하여 구현될 수 있고, 여기서 몰드는 하나의 전체 표면을 임프린트하기에 충분히 크다. 대안적으로, 스텝 앤드 리피트(step and repeat) 임프린트 프로세스가 사용될 수 있다. 바람직한 실시예에서, 전체 디스크 방식(full disk scheme)이 사용된다. 나노임프린트 프로세스는 또한 한번에 양면에 대해 수행될 수 있다. 예를 들어, 디스크는 먼저 양면 상에 레지스트 층으로 코팅될 수 있다. 그 후, 디스크는 압력을 받고, 이 경우 몰드들은 디스크의 양면에 대해 가압되어 디스크의 양면 상에 목적하는 패턴을 동시에 임프린트한다.The nanoimprint lithography process can be implemented using a full disk nanoimprint method wherein the mold is large enough to imprint an entire surface. Alternatively, a step and repeat imprint process may be used. In a preferred embodiment, a full disk scheme is used. The nanoimprint process can also be performed on both sides at one time. For example, the disc may be first coated with a layer of resist on both sides. The disc is then subjected to pressure, in which case the molds are pressed against both sides of the disc to simultaneously imprint the desired pattern on both sides of the disc.

종래의 포토리소그래피 프로세스들이 또한 사용될 수 있고, 이 경우 포토레지스트는 디스크들 상에 스피닝(spinning)되고, 마스크를 통한 레지스트의 노광 및 노광된 레지스트의 현상이 후속된다.Conventional photolithographic processes may also be used, in which case the photoresist is spinned on the disks, followed by exposure of the resist through the mask and development of the exposed resist.

패터닝 이후에, 디스크들은 선택적 영역들(350)에 인접한 자기 박막(320)의 부분들로의 활성화된 이온들의 침투 및 충돌을 허용하는 패턴의 선택적 영역들(350)을 갖는 레지스트의 패턴을 가진다. 선택적 영역들(350) 이외의 레지스트의 부분들, 예를 들어, 부분(360)은 활성화된 이온들의 투과를 방지하기에 충분한 두께를 가지고, 이에 의해, 활성화된 이온들이 자기 박막 상에 충돌하는 것을 방지한다.After patterning, the disks have a pattern of resist with selective areas 350 of the pattern that allow penetration and impingement of the activated ions into portions of the magnetic film 320 adjacent to the selective regions 350. Portions of the resist other than the optional regions 350, e.g., portion 360, have a thickness sufficient to prevent transmission of the activated ions, thereby causing the activated ions to collide on the magnetic thin film prevent.

만약 마스크(200)가 대신 사용되면, 마스크(200)는 자기 박막에 인접하여 배치되고 마스크(200)의 선택적 영역들(204)은 마스크를 통한 활성화된 이온들의 침투 및 선택적 영역들(204)에 인접한 자기 박막의 부분들로의 충돌을 허용할 것이다. 일 실시예에서, 마스크(200)는 자기 박막에 인접하여 위치된다. 다른 실시예에서, 마스크(200)는 자기 박막 또는 코팅으로 덮인 자기 박막과 접촉하여 위치된다. 코팅은 마스크의 접착에 기여할 수 있다. 코팅은 또한 자기 박막 위에 보호성 코팅으로서 기능할 수도 있다. 코팅은 자기 박막 위에 보호성 코팅으로서 기능하는 탄소 층일 수 있다.If the mask 200 is used instead, the mask 200 is disposed adjacent to the magnetic thin film and the selective regions 204 of the mask 200 are exposed to the penetration of the activated ions through the mask and to the selective regions 204 Will allow collisions with portions of adjacent magnetic thin films. In one embodiment, the mask 200 is positioned adjacent to the magnetic thin film. In another embodiment, the mask 200 is placed in contact with a magnetic film covered with a magnetic film or coating. The coating can contribute to the adhesion of the mask. The coating may also function as a protective coating on the magnetic film. The coating can be a carbon layer that acts as a protective coating on the magnetic film.

이제 도 1을 다시 참조하면, 단계 104에서, 패턴의 선택적 영역들을 침투하고 선택적 영역들에 인접한 자기 박막의 부분들에 충돌하기에 충분한 에너지를 갖는 하나 또는 그 이상의 원소들의 활성화된 이온들이 생성된다. 일 실시예에서, 진공 챔버가 제공되고 하나 또는 그 이상의 원소들의 화합물을 함유하는 하나 또는 그 이상의 가스들이 주입된다. 플라즈마는 고전압을 이용하여 점화되고 하나 또는 그 이상의 원소들의 활성화된 이온들이 배출된다.Referring now back to FIG. 1, in step 104, activated ions of one or more elements having sufficient energy to penetrate selective regions of the pattern and impinge on portions of the magnetic thin film adjacent to the selective regions are generated. In one embodiment, a vacuum chamber is provided and one or more gases containing compounds of one or more elements are implanted. The plasma is ignited using a high voltage and the activated ions of one or more elements are discharged.

단계 106에서, 활성화된 이온들을 수용하도록 기판이 배치된다. 일 실시예에서, 기판은 하나 또는 그 이상의 원소들의 활성화된 이온들이 생성되는 진공 챔버 내에 배치된다. 일 실시예에서, 기판은 하나 또는 그 이상의 활성화된 이온들을 함유하는 플라즈마 내에 배치된다. 일 실시예에서, 기판은 활성화된 이온들을 유인하도록 바이어싱된다. 마스크(200)가 사용되면, 활성화된 이온들은 마스크(200)의 선택적 영역들(204)을 통해 통과하고 선택적 영역들(240)에 인접한 자기 박막의 부분들에 충돌한다. 레지스트(310)가 패턴으로서 사용되면, 활성화된 이온들은 선택적 영역들(350)을 통해 통과하고 선택적 영역들(350)에 인접한 자기 박막의 부분들에 충돌한다. 일 실시예에서, 활성화된 이온들이 선택적 영역들(350)에 인접한 자기 박막의 부분들로 침투한다. 일 실시예에서, 활성화된 이온들은 선택적 영역들(350)에 인접한 자기 박막의 부분들로 부분적으로 침투한다. 일 실시예에서, 활성화된 이온들은 선택적 영역들(350)에 인접한 자기 박막의 부분들로 상당량(substantially) 침투한다.In step 106, the substrate is placed to receive the activated ions. In one embodiment, the substrate is disposed in a vacuum chamber in which activated ions of one or more elements are generated. In one embodiment, the substrate is disposed in a plasma containing one or more activated ions. In one embodiment, the substrate is biased to attract activated ions. When the mask 200 is used, the activated ions pass through the selective regions 204 of the mask 200 and impinge on portions of the magnetic thin film adjacent to the selective regions 240. When the resist 310 is used as a pattern, the activated ions pass through the selective regions 350 and collide with portions of the magnetic thin film adjacent to the selective regions 350. In one embodiment, the activated ions penetrate into portions of the magnetic film adjacent to the selective regions 350. In one embodiment, the activated ions partially penetrate the portions of the magnetic film adjacent to the selective regions 350. In one embodiment, the activated ions substantially penetrate the portions of the magnetic film adjacent to the selective regions 350. [

일 실시예에서, 낮은 에너지들에서 많은 주입량을 제공하기 위해 플라즈마 이온 침윤(immersion) 주입이 사용될 수 있다. 스퍼터링된(sputtered) 자기 박막들은 전형적으로 단지 수십 나노미터 두께이므로, 낮은 이온 에너지들이 효과적이며 많은 양(dose)이 높은 처리량을 제공한다. 또한, 도 4로부터 명확한 것처럼, 디스크들의 양면의 플라즈마 이온 주입이 동시에 실행될 수 있다. 비록 이중 면 플라즈마 이온 주입이 바람직하더라도, 단일면 플라즈마 이온 주입이 본 개시내용의 사상을 이탈하지 않고 사용될 수 있다. 단일 면 플라즈마 이온 주입에서, 제1 면이 주입될 것이고, 그 후 디스크는 뒤집어질 것이고 제2 면이 주입될 것이다.In one embodiment, plasma ion implantation may be used to provide a high implant dose at low energies. Since sputtered magnetic films are typically only a few tens of nanometers thick, low ion energies are effective and high doses provide high throughput. Further, as is clear from Fig. 4, plasma ion implantation on both sides of the disks can be performed simultaneously. Although double-sided plasma ion implantation is preferred, single-sided plasma ion implantation can be used without deviating from the teachings of this disclosure. In single-sided plasma ion implantation, the first side will be implanted, then the disk will be inverted and the second side will be implanted.

디스크들을 취급하도록 구성된 플라즈마 이온 주입 툴(400)이 도 4에 도시되며, 상기 디스크들은 예를 들어, 자기 박막을 가지고, 자기 박막에 대한 패턴을 가지고, 자기 박막의 부분들을 통해 하나 또는 그 이상의 원소들의 활성화된 이온들의 침투 및 자기 박막의 부분들 상으로의 충돌을 허용하는 패턴의 선택적 영역들을 가지는 기판이다.A plasma ion implantation tool 400 configured to handle the discs is shown in Figure 4, which includes, for example, a magnetic thin film, having a pattern for the magnetic thin film and having one or more elements Lt; RTI ID = 0.0 > of the < / RTI > pattern of magnetic thin films.

도 4를 참조하면, 챔버(410)가 진공 펌프(420)에 의해 진공 하에 유지된다. 가스 공급부(430)가 파이프(432) 및 밸브(435)에 의해 챔버(410)에 연결된다. 하나를 초과하는 가스가 밸브(435)를 통해 공급될 수 있고 다수의 가스 공급부들 및 밸브들이 사용될 수 있다. 예를 들어, 하나 또는 그 이상의 종(species) 엘리먼트들을 함유하는 도펀트(dopant) 가스들이 챔버(410)에 공급될 수 있다. 로드(440)는 디스크들(450)을 보유한다. 무선 주파수(RF) 전력 공급부(460)는 로드(440) 및 챔버(410)의 벽 사이에 연결된다. 챔버(410)의 벽은 전기 접지(electrical earth)에 연결된다. RF 전력 공급부에 부가하여, 직류(DC) 바이어스를 인가하기 위한 전력 공급부 및 임피던스 매칭 디바이스가 포함될 수 있다. 로드(440)는 이를 플라즈마로부터 보호하기 위하여 그라파이트 또는 실리콘으로 코팅될 수 있다. 또한, 로드 및 이의 표면은 로드와 디스크들 사이의 양호한 전기 접촉을 용이하게 하기 위하여 매우 전도성이다. 디스크들(450)은 클램프들(455) 또는 다른 수단을 이용하여 제자리에 고정될 수 있다; 클램프들(455)은 디스크들(450)을 제자리에 고정할뿐만 아니라 디스크들(450)과 로드(440) 사이에 양호한 전기 접속을 보장한다. 로드는 많은 디스크들(설명의 편의를 위해 단지 세 개의 디스크들(450)이 도시된다)을 운반하도록 구성된다. 또한, 챔버(410)는 동시의 플라즈마 이온 주입을 위한 디스크들로 로딩된 많은 로드들을 보유하도록 구성된다. 로드들(440)은 챔버(410) 내부 및 외부로 쉽게 이동된다.Referring to FIG. 4, the chamber 410 is held under vacuum by a vacuum pump 420. A gas supply 430 is connected to the chamber 410 by a pipe 432 and a valve 435. More than one gas may be supplied through valve 435 and multiple gas supplies and valves may be used. For example, dopant gases containing one or more species elements may be supplied to the chamber 410. The rod 440 holds the disks 450. A radio frequency (RF) power supply 460 is connected between the rod 440 and the walls of the chamber 410. The walls of the chamber 410 are connected to an electrical earth. In addition to the RF power supply, a power supply and an impedance matching device for applying a direct current (DC) bias may be included. The rod 440 may be coated with graphite or silicon to protect it from the plasma. The rod and its surface are also highly conductive to facilitate good electrical contact between the rod and the disks. The disks 450 may be held in place using clamps 455 or other means; The clamps 455 not only secure the disks 450 in place, but also ensure good electrical connection between the disks 450 and the rod 440. The load is configured to carry many disks (only three disks 450 are shown for ease of illustration). The chamber 410 is also configured to hold a number of rods loaded with disks for simultaneous plasma ion implantation. The rods 440 are easily moved into and out of the chamber 410.

플라즈마 이온 주입 툴(400)에서의 디스크들의 처리는 다음과 같이 진행된다. 하나 또는 그 이상의 디스크들(450)이 로드(440) 상에 로딩된다. 로드(440)는 챔버로 로딩된다. 진공 펌프(420)는 목적하는 챔버 압력을 성취하도록 동작한다. 목적하는 가스 함유 주입 종들은 목적하는 동작 압력이 도달될 때까지 가스 공급부(430)로부터 밸브(435)를 통해 챔버로 누설된다. RF 전력 공급부(460)는 하나 또는 그 이상의 디스크들(450)의 표면들을 둘러싸는 플라즈마를 점화시키도록 동작된다. DC 전력 공급부는 자기 박막으로 주입되는 이온들의 에너지를 제어하도록 사용될 수 있다. RF 바이어싱이 또한 사용될 수 있다.Processing of the disks in the plasma ion implantation tool 400 proceeds as follows. One or more of the disks 450 are loaded on the rod 440. The rod 440 is loaded into the chamber. The vacuum pump 420 operates to achieve the desired chamber pressure. The desired gas-containing injection species is leaked from the gas supply 430 through the valve 435 to the chamber until the desired operating pressure is reached. The RF power supply 460 is operated to ignite the plasma surrounding the surfaces of one or more of the disks 450. The DC power supply can be used to control the energy of ions implanted into the magnetic thin film. RF biasing can also be used.

플라즈마로부터 쉽게 주입될 수 있고 Co-Pt 및 Co-Pd와 같은 전형적인 스퍼터링된 자기 박막들의 자기 특성을 수정하는 데 효과적일 이온들은: 수소, 헬륨, 붕소, 황, 알루미늄, 리튬, 네온 및 게르마늄 및 이들 원소들의 조합물들이다. 이 리스트는 완전한 것이 아니다. 플라즈마에서 쉽게 형성되고 자기 박막의 자기 특성을 수정하는 데 효과적인 임의의 이온이면 충분할 것이다. 이상적으로, 가장 작은 양으로 자기 박막의 자기 특성을 열적으로 안정한 덜 자기적이거나 더 자기적인 영역들로 변경할 수 있는 이온이 바람직할 것이다.The ions that can be easily injected from the plasma and are effective in modifying the magnetic properties of typical sputtered magnetic films such as Co-Pt and Co-Pd include: hydrogen, helium, boron, sulfur, aluminum, lithium, neon and germanium, It is a combination of elements. This list is not complete. Any ions that are easily formed in the plasma and effective to modify the magnetic properties of the magnetic thin film will suffice. Ideally, ions that can change the magnetic properties of the magnetic thin film to the less thermally stable, less magnetic or more magnetic regions in the smallest amount would be desirable.

또한, 플라즈마 이온 주입 챔버들 및 프로세스 방법들의 세부사항들은 Collins 등의 미국 특허 제7,288,491호 및 제7,291,545호에서 이용가능하며, 상기 특허 모두는 참조에 의해 본원에 통합된다. 본 개시내용의 챔버와 Collins 등의 챔버 사이의 주된 차이점은 기판을 보유하기 위한 상이한 구성이다. 본 개시내용의 디스크 홀더들은 한 번에 양면들의 주입을 가능하게 하는 반면, Collins 등에서의 기판들은 처리 동안 웨이퍼 척 (wafer chuck) 상에 배치된다. 당업자는 Collins 등의 플라즈마 이온 주입 툴들 및 방법들이 본 개시내용에서 어떻게 활용될 수 있는지 이해할 것이다.Further details of plasma ion implantation chambers and process methods are available in U.S. Patent Nos. 7,288,491 and 7,291,545 to Collins et al., All of which are incorporated herein by reference. The main difference between the chamber of this disclosure and the chamber of Collins et al. Is the different configuration for holding the substrate. The disc holders of this disclosure enable the injection of both sides at one time, while the substrates at Collins et al. Are placed on a wafer chuck during processing. Those skilled in the art will understand how plasma ion implantation tools and methods such as Collins et al. Can be utilized in this disclosure.

단계 106에서 활성화된 이온들을 수용하도록 기판을 배치한 후에, 단계 108에서, 선택적 영역들에 인접한 자기 박막의 부분은 열적 여기(thermal excitation)에 가해진다. 일 실시예에서, 무선 주파수 또는 마이크로파 에너지가 선택적 영역들을 가열하기 위해 사용될 수 있다. 또 다른 실시예에서, 기판은 가열될 수 있다. 또 다른 실시예에서, 레이저 또는 플래시 어닐링(annealing)이 수행될 수 있다. 일부 실시예들에서, 급속 열 어닐링 또는 노(furnace)가 사용될 수 있다.After placing the substrate to receive the activated ions in step 106, in step 108, a portion of the magnetic thin film adjacent to the selective areas is subjected to thermal excitation. In one embodiment, radio frequency or microwave energy may be used to heat the selective regions. In yet another embodiment, the substrate may be heated. In yet another embodiment, laser or flash annealing may be performed. In some embodiments, a rapid thermal anneal or furnace may be used.

당업자가 이해할 것처럼, 열적 여기 단계(108)는 레지스트 층이 자기 박막상에 여전히 존재하는 상태에서 수행될 수 있다. 일부 실시예들에서, 레지스트 층은 제거될 수 있고 자기 박막은 열적 여기에 가해진다. 이 실시예에서, 이온 주입을 받는 부분들과 이온 주입을 받지 않는 부분들 모두를 갖는 자기 박막이 열적 여기에 가해질 것이다. 이러한 접근법은 예를 들어 이온 주입을 받지 않은 자기 박막의 부분들 상의 열적 여기로부터 이점이 있을 수 있는 특정 타입의 자기 박막들과 함께 유리하게 사용될 수 있다.As will be appreciated by those skilled in the art, the thermal excitation step 108 can be performed with the resist layer still on the magnetic thin film. In some embodiments, the resist layer can be removed and the magnetic film is subjected to thermal excitation. In this embodiment, a magnetic thin film having both portions subjected to ion implantation and portions not subjected to ion implantation will be applied to the thermal excitation. This approach can be advantageously used, for example, with certain types of magnetic films that may benefit from thermal excitation on portions of the magnetic film that are not ion implanted.

마스크(200), 예를 들어, PVA 마스크가 사용되면, 프로세스는 추가로 마스크(200)의 제거를 포함할 수 있다. 일 실시예에서, PVA 마스크는 예를 들어, 수성 용액을 이용하여 PVA 마스크(200)를 용해시키기 위한 프로세스를 이용하여 제거될 수 있다. 일부 실시예들에서, 비-수성 용액이 사용될 수 있다. 일부 실시예들에서, 마스크(200)가 제거된 후, 자기 박막은 열적 여기를 받는다. 이 실시예에서, 이온 주입을 받은 부분들 및 이온 주입을 받지 않은 부분들 모두를 갖는 자기 박막은 열적 여기에 가해질 것이다. 이 접근법은 예를 들어 이온 주입을 받지 않은 자기 박막의 부분들 상의 열적 여기로부터 이점이 있을 수 있는 특정 타입의 자기 박막들과 함께 유리하게 사용될 수 있다. 일부 실시예들에서, 열적 여기 단계(108)는 마스크(200)가 여전히 존재하는 상태에서 수행될 수 있다.If a mask 200, e.g., a PVA mask, is used, the process may further include removal of the mask 200. In one embodiment, the PVA mask may be removed, for example, using a process to dissolve the PVA mask 200 using an aqueous solution. In some embodiments, a non-aqueous solution may be used. In some embodiments, after the mask 200 is removed, the magnetic thin film is subjected to thermal excitation. In this embodiment, a magnetic thin film having both portions subjected to ion implantation and portions not subjected to ion implantation will be subjected to thermal excitation. This approach can be advantageously used, for example, with certain types of magnetic films that may benefit from thermal excitation on portions of the magnetic film that are not ion implanted. In some embodiments, the thermal excitation step 108 may be performed with the mask 200 still present.

일부 실시예들에서, 자기 박막은, 챔버 내에 적절한 열 소스를 통합하고 이온 주입 후에 열 소스를 선택적으로 턴온 함으로써, 이온 주입 툴(400)의 챔버(410) 내에서 열적 여기를 받을 수 있다.In some embodiments, the magnetic thin film may be thermally excited in the chamber 410 of the ion implantation tool 400 by incorporating an appropriate heat source in the chamber and selectively turning on the heat source after ion implantation.

활성화된 이온 단계(106) 및/또는 단계(108)에서의 열적 여기 이후에, 선택적 영역들에 인접한 자기 박막의 부분들은 단계(110)에 도시된 것처럼 선택적인 다른 영역들과 상이한 자기 특성을 나타내도록 렌더링된다. 일 실시예에서, 선택적 영역들(350)에 인접한 자기 박막의 부분들로 침투하는 활성화된 이온들은 선택적 영역들에 인접한 자기 박막의 부분들이 선택적인 다른 영역들과 상이한 자기 특성을 나타내도록 렌더링한다. 레지스트가 패턴으로 사용되는 경우, 프로세스는 레지스트 스트립 단계를 추가로 포함할 수 있다. 레지스트 스트립 단계는 디스크들을 제거하기 전에 플라즈마 이온 주입 챔버에서의 종래의 디스컴 및 애쉬 동작에 의해 촉진될 수 있다. 레지스트 스트립 단계는 당업계에서 주지된 습식 화학 프로세스일 수 있다. 일부 실시예들에서, 레지스트 스트립 단계는 전술한 것처럼 열적 여기 단계(108) 이전에 수행될 수 있다.After thermal excitation at the activated ion step 106 and / or at step 108, portions of the magnetic thin film adjacent to the selective regions exhibit different magnetic properties than the other alternative regions as shown in step 110 Lt; / RTI > In one embodiment, the activated ions that penetrate into portions of the magnetic thin film adjacent to the selective regions 350 render such that portions of the magnetic thin film adjacent to the selective regions exhibit magnetic properties that are different from other optional regions. When the resist is used as a pattern, the process may further include a resist stripping step. The resist stripping step may be facilitated by conventional discom and ash operations in the plasma ion implantation chamber prior to removal of the discs. The resist stripping step may be a wet chemical process known in the art. In some embodiments, the resist stripping step may be performed prior to the thermal excitation step 108 as described above.

플라즈마 주입 프로세스로부터 이용가능한 이온들의 에너지는 약 100eV 내지 약 15keV의 범위에 있다. 그러나, 수십 나노미터 두께인 자기 박막들로 주입하기 위하여, 바람직한 에너지 범위는 약 1keV 내지 약 11keV이다. 선택되는 에너지 범위는 선택된 원소, 레지스트 두께, 레지스트 이온 정지 능력 및 목적하는 자기 특성들에 기초한다. 예를 들어, 약 1kV 내지 약 11kV의 바이어스 전압들이 바람직한 에너지 범위를 생성하기 위해 사용될 수 있다.The energy of the ions available from the plasma implantation process is in the range of about 100 eV to about 15 keV. However, for implantation into magnetic thin films tens of nanometers thick, the preferred energy range is from about 1 keV to about 11 keV. The selected energy range is based on the selected element, resist thickness, resist ion stop capability, and desired magnetic properties. For example, bias voltages of about 1 kV to about 11 kV may be used to produce the desired energy range.

도 5는 자기 박막(520)에 대해 배치된 패턴(510)의 단면 표현이며, 화살표들(530)은 활성화된 이온들의 충돌의 일반적인 방향을 나타낸다. 활성화된 이온들은 레지스트(510)의 선택적 영역들(540)을 통해 침투하고 선택적 영역들(540)에 인접한 자기 박막(520)의 부분(550)을 침투한다.5 is a cross-sectional representation of the pattern 510 disposed relative to the magnetic thin film 520, and arrows 530 represent the general direction of impact of the activated ions. The activated ions penetrate through the selective regions 540 of the resist 510 and penetrate the portion 550 of the magnetic thin film 520 adjacent to the selective regions 540.

도 6은 부분들(550)이 이온 주입을 받은 이온 주입 후의 자기 박막(520)의 단면 표현이다. 자기 박막(520)의 부분들(550)은 자기 박막(520)의 선택적인 다른 부분들(560)과 상이한 자기 특성을 나타내도록 렌더링된다.6 is a cross-sectional representation of the magnetic thin film 520 after ion implantation in which portions 550 have been implanted. The portions 550 of the magnetic thin film 520 are rendered to exhibit different magnetic properties than the other optional portions 560 of the magnetic thin film 520. [

다음의 예들은 목적하는 자기 특성들을 성취하도록 이온 주입의 다양한 응용들을 설명하기 위해 제공된다.The following examples are provided to illustrate the various applications of ion implantation to achieve desired magnetic properties.

예들:Examples:

주어진 바이어스 전압에 대하여 헬륨 및 붕소 이온들에 대한 레지스트의 이온 정지 특성들을 결정하기 위해 실험들이 수행되었다.Experiments were performed to determine the ion stopping properties of the resist for helium and boron ions for a given bias voltage.

헬륨 이온 주입: 7 kV 및 2 kV 바이어스 전압들에서 헬륨 이온 주입을 위해 실험들이 수행되었다. 7 kV에서, 레지스트 층을 통한 헬륨 이온들의 침투를 정지시키기 위해 요구되는 레지스트 두께는 약 120 nm였다. 패턴의 선택된 영역들에서의 레지스트 두께는 45 nm만큼 높을 수 있고 패턴의 선택적 영역들에 인접한 20 nm 두께 Co-기반 자기 박막을 통한 헬륨 이온들의 침투를 여전히 제공한다. 2 kV에서, 레지스트 층을 통한 헬륨 이온들의 침투를 정지시키기 위해 요구되는 레지스트 두께는 약 85 nm였다. 패턴의 선택된 영역들에서의 레지스트 두께는 10 nm만큼 높을 수 있고 패턴의 선택적 영역들에 인접한 20 nm 두께 Co-기반 자기 박막을 통한 헬륨 이온들의 침투를 여전히 제공한다. Helium ion implantation: Experiments were performed for helium ion implantation at 7 kV and 2 kV bias voltages. At 7 kV, the resist thickness required to stop the penetration of helium ions through the resist layer was about 120 nm. The resist thickness in selected regions of the pattern may be as high as 45 nm and still provide for penetration of helium ions through the 20 nm thick Co-based magnetic film adjacent to the selective regions of the pattern. At 2 kV, the resist thickness required to stop the penetration of helium ions through the resist layer was about 85 nm. The resist thickness in selected areas of the pattern may be as high as 10 nm and still provide for the penetration of helium ions through the 20 nm thick Co-based magnetic film adjacent to the selective areas of the pattern.

붕소 이온 주입: 9 kV 바이어스 전압에서 붕소 이온 주입을 위해 실험이 수행되었다. 9 kV에서, 레지스트 층을 통한 붕소 이온들의 침투를 정지시키기 위해 요구되는 레지스트 두께는 약 65 nm였다. 패턴의 선택된 영역들에서의 레지스트 두께는 10 nm만큼 높을 수 있고 패턴의 선택적 영역들에 인접한 20 nm 두께 Co-기반 자기 박막을 통한 붕소 이온들의 침투를 여전히 제공한다.Boron ion implantation: An experiment was performed for boron ion implantation at a 9 kV bias voltage. At 9 kV, the resist thickness required to stop the penetration of boron ions through the resist layer was about 65 nm. The resist thickness in selected areas of the pattern may be as high as 10 nm and still provide for the infiltration of boron ions through the 20 nm thick Co-based magnetic film adjacent to selective areas of the pattern.

자기 특성들:Magnetic properties:

예 1a:Example 1a:

약 100 nm의 FeNi 합금 소프트 하부 층으로 스퍼터링된 유리 기판이 사용되었다. CoCrPt 합금의 약 20 nm 자기 박막층이 FeNi 합금 소프트 하부 층 상에 스퍼터링되었다. 전술한 준비된 샘플은, 도펀트 가스 헬륨을 처리 챔버로 주입함으로써, He 이온들을 함유하는 플라즈마로 처리된다. 처리 챔버 압력은 약 15 mtorr였고, RF 바이어스 전압은 약 2kV 였고, 소스 전력은 약 500 watt였고, 도펀트 가스 헬륨은 약 300sccm의 유량으로 주입되었고 주입 시간은 약 25초였다. 선택적으로, 불활성 가스가 또한 플라즈마의 생성을 보조하기 위하여 주입될 수도 있다. 예를 들어, 약 16 sccm의 유량으로 아르곤이 또한 주입될 수도 있다.A glass substrate sputtered with a FeNi alloy soft underlayer of about 100 nm was used. Approximately 20 nm magnetic thin film layer of CoCrPt alloy was sputtered on the FeNi alloy soft underlayer. The above prepared sample is treated with a plasma containing He ions, by injecting a dopant gas helium into the process chamber. The processing chamber pressure was about 15 mtorr, the RF bias voltage was about 2 kV, the source power was about 500 watt, the dopant gas helium was injected at a flow rate of about 300 sccm and the injection time was about 25 seconds. Alternatively, an inert gas may also be injected to assist in the generation of the plasma. For example, argon may also be injected at a flow rate of about 16 sccm.

He 이온들의 샘플로의 침투는 전술한 프로세스 파라미터들로 시뮬레이션 프로그램을 이용하여 프로파일링되었다. TRIM이라 알려진 시뮬레이션 프로그램이 시뮬레이션을 수행하기 위해 사용될 수 있다. TRIM 프로그램은 www.srim.org로부터 SRIM이라 알려진 프로그램들의 그룹의 일부로서 이용가능하다. 도 7a 및 도 7b는 시뮬레이션의 결과들을 도시한다. 이제 도 7a를 참조하면, 약 85 nm 두께 레지스트는 활성화된 He 이온들이 CoCrPt 자기 박막 층으로 침투하는 것을 방지하기에 충분할 것임이 명백하다. 이제, 도 7b를 참조하면, 레지스트 층의 약 10 nm 및 카본 층의 약 28 옹스트롬이 활성화된 이온들에 의해 성공적으로 침투되고 추가로 약 20 nm의 CoCrPt 자기 박막 층을 통해 상당량(substantially) 침투할 것임이 명백하다.Penetration of He ions into the sample was profiled using the simulation program with the process parameters described above. A simulation program known as TRIM can be used to perform the simulation. The TRIM program is available as part of a group of programs known as SRIM from www.srim.org. Figures 7A and 7B show the results of the simulation. Referring now to FIG. 7A, it is clear that a resist of approximately 85 nm thickness will be sufficient to prevent active He ions from penetrating into the CoCrPt magnetic thin film layer. Referring now to FIG. 7B, it can be seen that about 10 nm of the resist layer and about 28 angstroms of the carbon layer are successfully penetrated by the activated ions and substantially penetrate through the CoCrPt magnetic thin film layer of about 20 nm. .

He 이온 주입을 받지 않은 샘플에 대한 자기 막의 자기 특성들은, 베이스 라인을 확립하기 위하여, 물리 특성 측정 시스템(Physical Property Measurement System)을 이용하여 측정되었다. 샘플로 하여금 He 이온 주입을 받게 한 후, He 이온 주입을 받은 자기 막의 부분들의 자기 특성들이 물리 특성 측정 시스템을 이용하여 측정되었다. 도 7c는 He 이온 주입을 받지 않은 자기 막에 대한 자화 곡선을 도시한다. 도 7c로부터, 포화 자력(Ms)은 약 1.36 테슬라임이 명백하다. 도 7d는 He 이온 주입을 받은 자기 막의 부분들에 대한 자화 곡선을 도시한다. 도 7d로부터, He 이온 주입을 받은 자기 막의 부분에 대한 포화 자력(Ms)은 He 이온 주입을 받지 않은 베이스 라인 자기 박막과 비교하여, 약 0.1 테슬라로 떨어졌다. 따라서, 자기 박막은 선택적 부분이 현저하게 상이한 자기 특성을 나타내는 상태로 자기 특성을 실질적으로 변경하기 위하여 적절한 프로세스 조건들 하에서 He 이온 주입을 받을 수 있다.The magnetic properties of the magnetic film for the sample without He implantation were measured using a Physical Property Measurement System to establish the baseline. After the samples were subjected to He ion implantation, magnetic properties of portions of the magnetic film subjected to He ion implantation were measured using a physical property measurement system. FIG. 7C shows the magnetization curve for a magnetic film not subjected to He ion implantation. From Fig. 7C, it is evident that the saturation magnetic force Ms is about 1.36 Tesla. Figure 7d shows the magnetization curves for portions of the magnetic film subjected to He ion implantation. 7D, the saturation magnetic force (Ms) for the portion of the magnetic film subjected to the He ion implantation dropped to about 0.1 tesla as compared to the baseline magnetic thin film not subjected to He ion implantation. Thus, the magnetic thin film may be subjected to He ion implantation under suitable process conditions to substantially change the magnetic properties in a state where the selective portion exhibits significantly different magnetic properties.

예 1b:Example 1b:

샘플들이 열적 어닐링을 받는 것을 제외하고는, 예 1a에서 사용된 것과 유사한 샘플이 예 1b에서 사용되었다. 열적 어닐링은 약 10 Torr 내지 약 5 Torr의 압력의 진공에서 약 한 시간 동안 약 100℃ 및 약 200℃ 모두에서 수행되었다.Samples similar to those used in Example 1a were used in Example 1b, except that the samples were subjected to thermal annealing. The thermal annealing was performed at about 100 DEG C and about 200 DEG C for about one hour at a vacuum of about 10 Torr to about 5 Torr.

샘플들로 하여금 열적 어닐링을 받게 한 후, He 이온 주입 및 열적 어닐링 모두를 받은 자기 막의 부분들의 자기 특성들은 물리 특성 측정 시스템을 이용하여 측정되었다. He 이온 주입을 받지 않은 자기 막에 대한 베이스 라인 자화 곡선은 약 1.36 테슬라의 포화 자력(Ms)을 나타낸다. He 이온 주입 및 약 100℃의 열적 어닐링 모두를 받은 자기 막의 부분들에 대한 자화 곡선은 약 0.01 테슬라의 포화 자력(Ms)을 나타냈다. He 이온 주입 및 약 200℃의 열적 어닐링 모두를 받은 자기 막의 부분들에 대한 자화 곡선은 약 0.03 테슬라의 포화 자력(Ms)을 나타냈다. 예 1a 및 1b에서의 샘플들에 대한 결과에 기초하여, 샘플들의 열적 어닐링은 어닐링을 받은 자기 막의 부분들에 대한 포화 자력(Ms)를 추가로 감소시킴이 명백하다. 따라서, 자기 박막은 선택적 부분이 현저하게 상이한 자기 특성을 나타내는 상태로 자기 특성을 실질적으로 변경하기 위하여 적절한 프로세스 조건들 하에서 He 이온 주입 및 열적 어닐링 모두를 받을 수 있다. 비록 실험이 약 2kV의 바이어스 전압에서 수행되었지만, 바이어스 전압은 1kV 내지 11kV의 범위 및 바람직하게는 1kV 내지 3kV의 범위에 있을 수 있다.After the samples were subjected to thermal annealing, the magnetic properties of portions of the magnetic film subjected to both He ion implantation and thermal annealing were measured using a physical property measurement system. The baseline magnetization curve for a magnetic film without He implantation represents a saturation magnetization (Ms) of about 1.36 Tesla. The magnetization curves for portions of the magnetic film subjected to both He ion implantation and about 100 < 0 > C thermal annealing showed a saturation magnetization (Ms) of about 0.01 Tesla. The magnetization curves for portions of the magnetic film subjected to both He ion implantation and about 200 < 0 > C thermal annealing showed a saturation magnetization (Ms) of about 0.03 Tesla. Based on the results for the samples in Examples 1a and 1b, it is evident that the thermal annealing of the samples further reduces the saturation magnetization (Ms) for the portions of the magnetic film that have been annealed. Thus, the magnetic thin film can be subjected to both He ion implantation and thermal annealing under appropriate process conditions to substantially change the magnetic properties in a state where the selective portion exhibits significantly different magnetic properties. Although the experiment was conducted at a bias voltage of about 2 kV, the bias voltage may be in the range of 1 kV to 11 kV and preferably in the range of 1 kV to 3 kV.

예 2:Example 2:

붕소 이온들의 침투를 위해 예 1a에서 사용된 것과 유사한 샘플이 사용되었다. 전술한 것처럼, 준비된 샘플은 도펀트 가스 BF3를 처리 챔버로 주입함으로써, 붕소 이온들을 함유하는 플라즈마로 처리되었다. 처리 챔버 압력은 약 15 mtorr에서 유지되었고, RF 바이어스 전압은 약 9kV였고, 소스 전력은 약 500 watt였고, 도펀트 가스 BF3는 약 300sccm의 유량으로 주입되었고 주입 시간은 약 20초였다. 선택적으로, 불활성 가스가 또한 플라즈마의 생성을 보조하기 위하여 주입될 수도 있다. 예를 들어, 약 16 sccm의 유량으로 아르곤이 주입될 수도 있다.Samples similar to those used in Example 1a were used for the infiltration of boron ions. As described above, the prepared sample was treated with a plasma containing boron ions by injecting a dopant gas BF3 into the processing chamber. The processing chamber pressure was maintained at about 15 mtorr, the RF bias voltage was about 9 kV, the source power was about 500 watt, and the dopant gas BF3 was injected at a flow rate of about 300 sccm and the injection time was about 20 seconds. Alternatively, an inert gas may also be injected to assist in the generation of the plasma. For example, argon may be injected at a flow rate of about 16 sccm.

붕소 이온들의 샘플로의 침투는 전술한 프로세스 파라미터들로 시뮬레이션 프로그램을 이용하여 프로파일링되었다. 도 8a 및 도 8b는 시뮬레이션의 결과들을 도시한다. 이제 도 8a를 참조하면, 약 65 nm 두께 레지스터는 활성화된 붕소 이온들이 CoCrPt 자기 박막 층으로 침투하는 것을 방지하기에 충분할 것이 명백하다. 도 8a로부터, 레지스트 층의 약 10 nm 및 카본 층의 약 28 옹스트롬이 활성화된 이온들에 의해 성공적으로 침투될 수 있음이 명백하다. 활성화된 이온들은 추가로 약 20 nm의 CoCrPt 자기 박막 층을 통해 상당량(substantially) 침투할 수 있다.Penetration of boron ions into the sample was profiled using a simulation program with the process parameters described above. Figures 8A and 8B show the results of the simulation. Referring now to FIG. 8A, it is clear that a about 65 nm thick resistor is sufficient to prevent the activated boron ions from penetrating into the CoCrPt magnetic thin film layer. It can be seen from Figure 8A that about 10 nm of the resist layer and about 28 angstroms of the carbon layer can be successfully penetrated by the activated ions. The activated ions can also substantially penetrate through the CoCrPt magnetic thin film layer of about 20 nm.

도 8c를 참조하면, 붕소 및 Co 원자들의 농도가 2차 이온 질량 분광기(SIMS)를 이용하여 결정되었다. 도 8c로부터, 남겨진 Co 농도는 실질적으로 불변임이 명백하다. 또한, 붕소 농도는 약 10 nm 깊이에 대해 일정하게 남겨졌고 그 이후에 점차로 감소되었다.Referring to FIG. 8C, the concentrations of boron and Co atoms were determined using a secondary ion mass spectrometer (SIMS). From FIG. 8C, it is evident that the Co concentration left is substantially unchanged. In addition, the boron concentration remained constant for about 10 nm depth and then decreased gradually.

붕소 이온 주입을 받지 않은 샘플에 대한 자기 막의 자기 특성들은, 베이스 라인을 확립하기 위하여, 물리 특성 측정 시스템을 이용하여 측정되었다. 샘플로 하여금 붕소 이온 주입을 받게 한 후, 붕소 이온 주입을 받은 자기 막이 물리 특성 측정 시스템을 이용하여 측정되었다. 도 8d는 붕소 이온 주입을 받지 않은 자기 막에 대한 자화 곡선을 도시한다. 도 8d로부터, 포화 자력(Ms)은 약 1.36 테슬라임이 명백하다. 도 8e는 붕소 이온 주입을 받은 자기 막의 부분들에 대한 자화 곡선을 도시한다. 도 8e로부터, 붕소 이온 주입을 받은 자기 막의 부분에 대한 포화 자력(Ms)은 붕소 이온 주입을 받지 않은 자기 박막과 비교하여, 약 0.5 테슬라로 떨어졌다. 이러한 실험 조건들 하에서의 붕소 이온 주입은 약 50%만큼 자화를 감소시켰다.The magnetic properties of the magnetic film for samples not subjected to boron ion implantation were measured using a physical property measurement system to establish a baseline. After the sample was subjected to boron ion implantation, the magnetic film subjected to the boron ion implantation was measured using a physical property measurement system. Figure 8d shows the magnetization curves for the magnetic films without boron ion implantation. From Fig. 8D, it is clear that the saturation magnetic force Ms is about 1.36 Tesla. Figure 8E shows the magnetization curves for portions of the magnetic film subjected to boron ion implantation. 8E, the saturation magnetic force (Ms) for the portion of the magnetic film subjected to the boron ion implantation dropped to about 0.5 Tesla, as compared to the magnetic thin film not subjected to the boron ion implantation. The boron ion implantation under these experimental conditions reduced the magnetization by about 50%.

따라서, 자기 박막은 선택적 부분의 자기 특성이 현저하게 상이한 자기 특성을 나타내도록 변경하기 위하여 특정 프로세스 조건들 하에서 붕소 이온 주입을 받을 수 있다. 예를 들어, 선택적 부분들의 자기 특성은 붕소 이온 주입을 받지 않은 부분들보다 자기 특성을 덜 나타내도록 변경될 수 있다. 비록 실험이 약 9kV의 바이어스 전압에서 수행되었지만, 바이어스 전압은 1kV 내지 11kV의 범위 및 바람직하게는 7kV 내지 11kV의 범위에 있을 수 있다.Thus, the magnetic thin film may be subjected to boron ion implantation under certain process conditions to alter the magnetic properties of the selective portion to exhibit significantly different magnetic properties. For example, the magnetic properties of the selective portions can be altered to lessen the magnetic properties than the portions that are not subjected to boron ion implantation. Although the experiment was conducted at a bias voltage of about 9 kV, the bias voltage may range from 1 kV to 11 kV and preferably from 7 kV to 11 kV.

예 3:Example 3:

약 20 nm의 Co 합금 층으로 스퍼터링된 실리콘 기판이 이 예에 대한 샘플들로서 준비되었다. 준비된 샘플은 처리 챔버로 도펀트 가스 SiH4를 주입함으로써 플라즈마 함유 실리콘 이온들로 처리되었다. 처리 챔버 압력은 약 30 mtorr였고, RF 바이어스 전압은 약 9kV였고, 소스 전력은 약 500 watt였고, 도펀트 가스 SiH4는 약 75 sccm의 유량으로 주입되었고 주입 시간은 약 20초였다.A silicon substrate sputtered with a Co alloy layer of about 20 nm was prepared as samples for this example. The prepared sample was treated with plasma containing silicon ions by injecting a dopant gas SiH4 into the process chamber. The processing chamber pressure was about 30 mtorr, the RF bias voltage was about 9 kV, the source power was about 500 watt, and the dopant gas SiH4 was injected at a flow rate of about 75 sccm and the injection time was about 20 seconds.

실리콘 이온들의 샘플로의 침투는 전술한 프로세스 파라미터들로 시뮬레이션 프로그램을 이용하여 프로파일링되었다. 도 9a는 시뮬레이션의 결과들을 도시한다. 이제 도 9a를 참조하면, Si는 약 5-6 nm 깊이를, 일부 경우 10 nm 깊이까지 침투하는 것이 명백하다.Penetration of the silicon ions into the sample was profiled using the simulation program with the process parameters described above. Figure 9A shows the results of the simulation. Referring now to FIG. 9A, it is clear that Si penetrates to a depth of about 5-6 nm, in some cases to a depth of 10 nm.

샘플로 하여금 실리콘 이온 주입을 받게 한 후, 20 nm Co 막에서의 Si 주입의 깊이 프로파일이 SIMS를 이용하여 측정되었다. 도 9b는 Si 주입에 대한 깊이 프로파일을 도시한다. 도 9b로부터, Si 이온들은 약 5-6 nm 깊이를 침투했음이 명백하다. 시뮬레이션 프로그램을 이용하여 프로파일링된 Si 이온 침투 깊이는 Si 침투 깊이의 실제 측정과 잘 상관된다는 것이 주목할 만하다.After the sample was subjected to silicon ion implantation, the depth profile of Si implantation in the 20 nm Co film was measured using SIMS. Figure 9b shows the depth profile for Si implantation. From Figure 9b it is clear that the Si ions have penetrated about 5-6 nm in depth. It is noteworthy that the profiling Si penetration depth using a simulation program is well correlated with the actual measurement of Si penetration depth.

일부 실시예들에서, 이온 주입 후에, 자기 박막은 예를 들어 열적 어닐링에 의해 열적 여기에 가해질 수 있다. 열적 어닐링은 예 1b로부터 명백한 것처럼, 열적 여기를 받은 자기 박막의 부분들의 포화 자력(Ms)을 추가로 감소시킬 것임이 예상된다.In some embodiments, after ion implantation, the magnetic thin film may be subjected to thermal excitation, for example, by thermal annealing. It is expected that thermal annealing will further reduce the saturation magnetization (Ms) of the portions of the magnetic thin film that have been thermally excited, as is apparent from Example 1b.

레지스트 층을 통한 활성화된 이온들의 침투 및 자기 박막으로의 충돌을 정지시키기 위해 필요한 레지스트 두께는 사용되고 있는 원소 종들, 프로세스 파라미터들 및 하전된(charged) 이온들의 침투를 허용하는 레지스트 층의 선택적 영역들에 인접한 자기 박막으로의 이온들의 목적하는 침투 깊이에 의존함이 상기 예들로부터 명백하다. 하전된 이온들의 침투를 허용하는 레지스트 층의 선택적 영역들의 치수가 작아짐에 따라, 패턴 생성 동안 효과적인 나노리소그래피 프로세스를 허용하도록 레지스트 두께를 감소시키기 위한 필요성이 존재한다. 레지스트 두께가 감소함에 따라, 레지스트 층은 선택적 영역들 이외의 영역들에서는 활성화된 이온들의 침투를 더 이상 정지시킬 수 없을 수 있다.The resist thickness required to stop the penetration of the activated ions through the resist layer and the collision with the magnetic thin film is dependent on the species of elements being used, the process parameters, and the selective areas of the resist layer that allow penetration of charged ions It is clear from the above examples that it depends on the desired depth of penetration of ions into adjacent magnetic films. As the dimensions of the selective areas of the resist layer that allow the penetration of charged ions become smaller, there is a need to reduce the resist thickness to allow an effective nanolithographic process during pattern generation. As the resist thickness decreases, the resist layer may no longer be able to stop the penetration of the activated ions in regions other than the selective regions.

이러한 문제를 극복하는 한 방법은 하전된 이온들의 침투에 대한 저항을 증가시키는 레지스트에 도펀트를 부가하는 것이다. 예를 들어, 레지스트는 레지스트를 통한 하전된 이온들의 침투에 대한 저항을 증가시키기 위하여 실리콘 함유 화합물로 도핑될 수 있다. 하전된 이온들의 침투에 대한 저항을 증가시키기 위하여 사용될 수 있는 다른 도펀트들은 황 및 인을 함유하는 화합물들을 포함한다. 일 실시예에서, 나노 파티클들이 하전된 이온들의 침투에 대한 저항을 조정하기 위해 첨가물들로서 부가될 수 있다. 예를 들어, 티타늄 이산화물(TiO2), 세리아(CeO2), 이산화규소(SiO2), 및 알루미늄 산화물(Al2O3)의 나노 파티클들은 하전된 이온들의 침투에 대한 저항을 조절하기 위해 사용될 수 있다.One way to overcome this problem is to add a dopant to the resist which increases the resistance to penetration of charged ions. For example, the resist may be doped with a silicon-containing compound to increase resistance to penetration of charged ions through the resist. Other dopants that can be used to increase the resistance to penetration of charged ions include compounds containing sulfur and phosphorus. In one embodiment, nanoparticles can be added as additives to adjust the resistance to penetration of charged ions. For example, nanoparticles of titanium dioxide (TiO2), ceria (CeO2), silicon dioxide (SiO2), and aluminum oxide (Al2O3) can be used to control the resistance to penetration of charged ions.

상이한 원소 종들은 프로세스 파라미터들 및 자기 박막으로의 이온들의 목적하는 침투 깊이에 기초하여 자기 특성들에 대한 상이한 효과들을 가짐이 상기 예들로부터 명백하다. 예를 들어, 하나 또는 그 이상의 원소들은 자기 박막의 자기 특성들을 수정하기 위해 유리하게 사용될 수 있다. 일 예로서, 헬륨 및 붕소의 조합물이 추가적 이점을 제공할 수 있다. 예를 들어, 더 적은 분자량을 갖는 헬륨은 더 적은 바이어스 전압을 이용하여 자기 박막으로 더 깊게 침투할 수 있고 자기 특성들을 변화시킬 수 있다. 더 높은 분자량을 갖는 붕소는 자기 박막의 자기 특성들에 추가로 영향을 주기 위하여 그리고 시간에 따라 자기 박막으로부터 벗어나는 것으로부터 헬륨 이온들에 대한 배리어로서 기능하도록 헬륨의 침투 이전 또는 이후에 사용될 수 있다.It is clear from the above examples that the different element species have different effects on the magnetic properties based on the process parameters and the desired penetration depth of ions into the magnetic thin film. For example, one or more of the elements may be advantageously used to modify the magnetic properties of the magnetic thin film. As an example, a combination of helium and boron may provide additional advantages. For example, helium with a lower molecular weight can penetrate deeper into a magnetic thin film and change magnetic properties using less bias voltage. Boron having a higher molecular weight can be used before or after penetration of helium to further affect the magnetic properties of the magnetic thin film and to function as a barrier to helium ions from deviating from the magnetic thin film over time.

비록 헬륨 및 붕소의 조합물이 기재되었지만, 당업자는 자기 특성들의 수정들의 개선 및 보유에 우호적인 자기적 및 다른 특성들을 유도하기 위하여, 원소들의 다양한 다른 치환 및 조합들이 순차적으로 또는 함께 사용될 수 있음을 이해할 것이다.Although a combination of helium and boron has been described, one skilled in the art will appreciate that various other substitutions and combinations of elements may be used sequentially or together to derive magnetic and other properties favorable to the improvement and retention of modifications of magnetic properties I will understand.

또한, 상이한 원소 종들이 자기 박막의 자기 특성들을 수정하기 위해 사용될 수 있음이 상기 예들로부터 명백하다. 예를 들어, 이온 주입 시에 박막의 자기 특성을 증가시키는 원소들을 함유하는 화합물이 사용될 수 있다. 예를 들어, 백금 이온 주입은 자기 박막의 자기 특성들을 증가시킬 수 있다.It is also apparent from the above examples that different element species can be used to modify the magnetic properties of the magnetic thin film. For example, a compound containing elements that increase the magnetic properties of the thin film upon ion implantation may be used. For example, platinum ion implantation can increase the magnetic properties of magnetic thin films.

본 개시내용은 자기 기록 매체의 다양한 타입들에 대해 이용될 수 있다. 예를 들어, 본 개시내용의 교시들은 그레뉼라(granular) 자기 구조를 갖는 기록 매체와 함께 사용될 수 있다. 본 개시내용은 다층(multi-layered) 자기 박막에 대해 사용될 수도 있다. 자기 박막은 또한 연속된 자기 막일 수 있고 패터닝된 매체와 함께 사용될 수 있다. 패터닝된 매체는 비트 패터닝된 매체 또는 트랙 패터닝된 매체일 수 있다. 일 실시예에서, 자기 박막은 열 보조되는 자기 기록에 대해 적절한 고 이방성 자기 물질로 제조될 수 있다.The present disclosure can be used for various types of magnetic recording media. For example, the teachings of the present disclosure may be used with recording media having a granular magnetic structure. The present disclosure may be used for multi-layered magnetic films. The magnetic film may also be a continuous magnetic film and may be used with the patterned media. The patterned medium may be a bit patterned medium or a track patterned medium. In one embodiment, the magnetic thin film can be made of a high anisotropic magnetic material suitable for heat-assisted magnetic recording.

본 개시내용은 매우 짧은 프로세스 시간을 허용한다. 예를 들어, 디스크를 주입하는 데 약 10초 걸릴 수 있다. 입력 및 출력 진공 로드락들이 챔버 내외부로의 디스크들의 빠른 이송을 가능하게 하고 펌프다운 동안 시간 낭비를 피할 것이며, 따라서 매우 높은 처리량을 허용한다. 당업자는 자동화된 이송 시스템들, 로봇 공학 및 로드록(loadlock) 시스템들이 어떻게 본 개시내용의 플라즈마 이온 주입 장치와 함께 일체화될 수 있는지를 이해할 것이다.This disclosure allows very short process times. For example, it may take about 10 seconds to inject a disc. The input and output vacuum load locks will allow rapid transfer of the disks into and out of the chamber and will avoid wasting time during pump down, thus allowing very high throughput. Those skilled in the art will understand how automated transfer systems, robotics and loadlock systems can be integrated with the plasma ion implanter of this disclosure.

특정 실시예들에서 본 개시내용은 자기 매체의 자기 박막의 부분들의 자기 특성들을 선택적으로 수정하는 방법을 제공한다. 선택적인 수정들은 공중 밀도, 기록-능력, SNR 및 자기 매체의 열적 안정성과 같은 바람직한 특성들 중 하나 이상을 증가시키기 위해 유리하게 사용될 수 있다.In certain embodiments, the present disclosure provides a method for selectively modifying magnetic properties of portions of a magnetic thin film of a magnetic medium. Optional modifications can be advantageously used to increase one or more of the desired properties, such as the public density, the recording-ability, the SNR and the thermal stability of the magnetic medium.

비록 본 개시내용이 본 개시의 바람직한 실시예들을 참조하여 특정적으로 기재되었지만, 형태 및 세부사항들에 있어서의 변경들 및 수정들이 본 개시내용의 사상 및 범위를 일탈함이 없이 이루어질 수 있음이 당업자에게 쉽게 명백해야 한다. 첨부된 청구범위는 이러한 변경들 및 수정들을 포괄하고자 함이다.
Although the present disclosure has been particularly described with reference to preferred embodiments thereof, it will be understood by those skilled in the art that changes and modifications in form and details may be made without departing from the spirit and scope of the present disclosure Should be readily apparent to anyone. The appended claims are intended to cover such modifications and variations.

Claims (25)

기판 상의 박막을 패터닝하기 위한 방법으로서,
상기 기판 상에 자기 박막을 배치하는 단계;
활성화된(energized) 이온들의 침투를 허용하는 선택적 영역들을 갖는 패턴을 상기 자기 박막 위에 배치하는 단계;
상기 패턴을 그 위에 갖는 상기 기판을 챔버 내에 위치시키는 단계;
헬륨 및 붕소-함유 가스를 상기 챔버 내로 순차적으로 투입하는 단계 ― 상기 헬륨 및 상기 붕소-함유 가스는 상기 기판 상에 배치된 상기 패턴의 선택적 영역들에 인접하는 상기 자기 박막의 부분들 내로 활성화된 헬륨 및 붕소 이온들을 주입하기 위해 플라즈마로 순차적으로 이온화되고, 상기 주입 동안 상기 기판은 1kV 내지 11kV의 범위 내에서 바이어싱됨 ―;
상기 자기 박막의 선택적인 다른 부분들과 상이한 자기 특성을 나타내도록 상기 선택적 영역들에 인접하는 상기 자기 박막의 부분들을 렌더링하는 단계를 포함하는,
기판 상의 박막을 패터닝하기 위한 방법.
A method for patterning a thin film on a substrate,
Disposing a magnetic thin film on the substrate;
Disposing a pattern on the magnetic film having selective areas that allow penetration of energized ions;
Positioning the substrate having the pattern thereon in a chamber;
Sequentially injecting helium and boron-containing gases into the chamber, wherein the helium and the boron-containing gas are helium and boron-containing gases, the helium and the boron- And sequentially ionized with a plasma to implant boron ions, and during the implant, the substrate is biased within a range of 1 kV to 11 kV;
And rendering portions of the magnetic thin film adjacent to the selective regions to exhibit magnetic properties different from other optional portions of the magnetic thin film.
A method for patterning a thin film on a substrate.
제 1 항에 있어서,
상기 패턴을 배치하는 단계는 상기 자기 박막에 근접하여 마스크를 위치시키는 단계를 포함하는,
기판 상의 박막을 패터닝하기 위한 방법.
The method according to claim 1,
Wherein disposing the pattern comprises positioning the mask in proximity to the magnetic thin film.
A method for patterning a thin film on a substrate.
제 2 항에 있어서,
상기 마스크는 폴리비닐 알콜을 포함하는,
기판 상의 박막을 패터닝하기 위한 방법.
3. The method of claim 2,
Wherein the mask comprises polyvinyl alcohol,
A method for patterning a thin film on a substrate.
제 1 항에 있어서,
상기 패턴을 배치하는 단계는:
상기 자기 박막의 표면 상에 레지스트를 증착하는 단계;
상기 레지스트 내에 오목부(depression)들을 생성하기 위하여 상기 레지스트를 3차원 패턴을 갖는 몰드와 접촉시키는 단계 ― 상기 오목부들은 박막 레지스트(thin resist)의 영역들 및 후막 레지스트(thick resist)의 영역들을 생성하며, 상기 박막 레지스트는 활성화된 이온들의 침투를 허용하는 상기 선택적 영역들에 대응함 ―; 및
상기 레지스트를 경화시키는 단계
를 포함하는,
기판 상의 박막을 패터닝하기 위한 방법.
The method according to claim 1,
Wherein the step of arranging the pattern comprises:
Depositing a resist on the surface of the magnetic thin film;
Contacting the resist with a mold having a three-dimensional pattern to create depressions in the resist, the recesses creating regions of thin resist and regions of thick resist, Said thin film resist corresponding to said selective areas allowing penetration of activated ions; And
Curing the resist
/ RTI >
A method for patterning a thin film on a substrate.
제 4 항에 있어서,
상기 활성화된 이온들은 상기 자기 박막과 접촉하기 위하여 상기 박막 레지스트를 침투하기에 충분한 에너지를 갖는,
기판 상의 박막을 패터닝하기 위한 방법.
5. The method of claim 4,
The activated ions having sufficient energy to penetrate the thin film resist to contact the magnetic thin film,
A method for patterning a thin film on a substrate.
제 5 항에 있어서,
상기 레지스트를 제거하는 단계를 더 포함하는,
기판 상의 박막을 패터닝하기 위한 방법.
6. The method of claim 5,
And removing the resist.
A method for patterning a thin film on a substrate.
제 5 항에 있어서,
상기 레지스트는 열가소성 나노임프린트(nanoimprint) 리소그래피 또는 포토 나노임프린트 리소그래피를 이용하여 상기 자기 박막의 표면 상에 증착되고 경화되는,
기판 상의 박막을 패터닝하기 위한 방법.
6. The method of claim 5,
The resist is deposited and cured on the surface of the magnetic thin film using thermoplastic nanoimprint lithography or photonanoimprint lithography,
A method for patterning a thin film on a substrate.
제 5 항에 있어서,
상기 패턴을 상기 자기 박막 상에 배치하는 단계는 상기 기판의 양면 상에 패턴을 배치하는 단계를 포함하는,
기판 상의 박막을 패터닝하기 위한 방법.
6. The method of claim 5,
Wherein disposing the pattern on the magnetic thin film comprises disposing a pattern on both sides of the substrate.
A method for patterning a thin film on a substrate.
기판 상의 자기 박막을 패터닝하기 위한 방법으로서,
상기 자기 박막 상에 패턴을 배치하는 단계 ― 상기 패턴의 선택적 영역들은 하나 또는 그 초과의 원소들의 활성화된 이온들이 상기 자기 박막의 부분들과 접촉하도록 허용함 ―;
상기 패턴의 상기 선택적 영역들 및 상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 침투하기에 충분한 에너지를 갖는 하나 또는 그 초과의 원소들의 활성화된 이온들을 생성하는 단계;
상기 활성화된 이온들이 상기 자기 박막과 접촉하도록 상기 기판을 상기 활성화된 이온들에 노출시키는 단계;
상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 열적 여기에 가하는 단계; 및
상기 자기 박막의 선택적인 다른 부분들과 상이한 자기 특성을 나타내도록 상기 선택적 영역들에 인접하는 상기 자기 박막의 부분들을 렌더링하는 단계를 포함하는,
기판 상의 자기 박막을 패터닝하기 위한 방법.
1. A method for patterning a magnetic thin film on a substrate,
Disposing a pattern on the magnetic thin film, wherein selective regions of the pattern allow activated ions of one or more elements to contact portions of the magnetic thin film;
Generating activated ions of one or more elements having sufficient energy to penetrate the selective regions of the pattern and a portion of the magnetic thin film adjacent to the selective regions;
Exposing the substrate to the activated ions such that the activated ions are in contact with the magnetic thin film;
Applying a portion of the magnetic thin film adjacent to the selective regions to thermal excitation; And
And rendering portions of the magnetic thin film adjacent to the selective regions to exhibit magnetic properties different from other optional portions of the magnetic thin film.
A method for patterning a magnetic thin film on a substrate.
삭제delete 제 9 항에 있어서,
상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 열적 여기에 가하는 단계는, 상기 자기 박막의 선택적인 다른 부분들을 열적 여기에 가하는 단계를 더 포함하는,
기판 상의 자기 박막을 패터닝하기 위한 방법.
10. The method of claim 9,
Wherein applying the portion of the magnetic thin film adjacent to the selective regions to the thermal excitation further comprises applying to the thermal excitation other optional portions of the magnetic thin film.
A method for patterning a magnetic thin film on a substrate.
제 11 항에 있어서,
상기 자기 박막의 상기 선택적인 다른 부분들을 열적 여기에 가하는 단계 이전에 상기 선택적인 다른 부분들에 대한 상기 패턴을 제거하는 단계를 더 포함하는,
기판 상의 자기 박막을 패터닝하기 위한 방법.
12. The method of claim 11,
Further comprising the step of removing said pattern for said optional other portions prior to applying said selectively different portions of said magnetic thin film to a thermal excitation.
A method for patterning a magnetic thin film on a substrate.
제 9 항에 있어서,
상기 패턴을 배치하는 단계는 상기 자기 박막의 상부에 레지스트를 코팅하는 단계 및 상기 패턴의 상기 선택적 영역들에 대응하는 복수의 돌출부(projection)들을 갖는 몰드로 임프린트하는 단계를 포함하며, 상기 임프린트하는 단계는 상기 레지스트 내에 오목부를 생성하고, 상기 오목부는 폭 및 깊이를 갖고, 상기 오목부를 둘러싸는 레지스트는 적어도 상기 오목부의 깊이만큼 높은 레지스트 두께를 가지며, 상기 오목부를 둘러싸는 상기 레지스트 두께는 상기 오목부를 둘러싸는 상기 레지스트를 통한 활성화된 이온들의 침투를 실질적으로 방지하기에 충분한,
기판 상의 자기 박막을 패터닝하기 위한 방법.
10. The method of claim 9,
Wherein the step of arranging the pattern comprises coating a resist on top of the magnetic thin film and imprinting the mold with a plurality of projections corresponding to the selective areas of the pattern, Wherein the resist surrounding the recess has a resist thickness at least as high as the depth of the recess and the thickness of the resist surrounding the recess surrounds the recess Is sufficient to substantially prevent penetration of activated ions through the resist,
A method for patterning a magnetic thin film on a substrate.
제 13 항에 있어서,
상기 선택적 영역들에 인접한 상기 자기 박막의 부분을 열적 여기에 가하는 단계는, 레이저 어닐링, 플래시 어닐링, 급속 열 어닐링, 또는 마이크로파 에너지 인가에 의해 상기 자기 박막을 가열하는 단계를 포함하는,
기판 상의 자기 박막을 패터닝하기 위한 방법.
14. The method of claim 13,
Wherein applying the portion of the magnetic thin film adjacent to the selective regions to the thermal excitation comprises heating the magnetic thin film by laser annealing, flash annealing, rapid thermal annealing, or microwave energy application.
A method for patterning a magnetic thin film on a substrate.
제 9 항에 있어서,
상기 패턴을 배치하는 단계는, 상기 자기 박막의 상부에 레지스트를 코팅하는 단계 및 상기 패턴의 상기 선택적 영역들에 대응하는 복수의 돌출부들을 갖는 몰드로 임프린트하는 단계를 포함하는,
기판 상의 자기 박막을 패터닝하기 위한 방법.
10. The method of claim 9,
Wherein disposing the pattern comprises coating a resist on top of the magnetic thin film and imprinting the mold with a plurality of protrusions corresponding to the selective areas of the pattern.
A method for patterning a magnetic thin film on a substrate.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020117011703A 2008-10-22 2009-10-15 Patterning of magnetic thin film using energized ions KR101622568B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/255,833 2008-10-22
US12/255,865 2008-10-22
US12/255,865 US8551578B2 (en) 2008-02-12 2008-10-22 Patterning of magnetic thin film using energized ions and thermal excitation
US12/255,833 US8535766B2 (en) 2008-10-22 2008-10-22 Patterning of magnetic thin film using energized ions

Publications (2)

Publication Number Publication Date
KR20110090943A KR20110090943A (en) 2011-08-10
KR101622568B1 true KR101622568B1 (en) 2016-05-19

Family

ID=42119905

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117011703A KR101622568B1 (en) 2008-10-22 2009-10-15 Patterning of magnetic thin film using energized ions

Country Status (5)

Country Link
JP (2) JP5640011B2 (en)
KR (1) KR101622568B1 (en)
CN (2) CN103996404B (en)
TW (1) TWI478159B (en)
WO (1) WO2010048030A2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5238781B2 (en) * 2010-09-17 2013-07-17 株式会社東芝 Method for manufacturing magnetic recording medium
JP5238780B2 (en) * 2010-09-17 2013-07-17 株式会社東芝 Magnetic recording medium, method for manufacturing the same, and magnetic recording apparatus
US8679356B2 (en) 2011-05-19 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Mask system and method of patterning magnetic media
FR2991096B1 (en) * 2012-05-22 2014-06-20 Centre Nat Rech Scient METHOD FOR MANUFACTURING A FILM COMPRISING THREE DIMENSIONAL MAGNETIC MICROSTRUCTURES
US9384773B2 (en) * 2013-03-15 2016-07-05 HGST Netherlands, B.V. Annealing treatment for ion-implanted patterned media
KR102260263B1 (en) 2014-10-14 2021-06-02 엘지디스플레이 주식회사 Touch panel and touch panel integrated organic light emitting display device
KR102299875B1 (en) 2014-11-07 2021-09-07 엘지디스플레이 주식회사 Touch panel, method of manufacturing the same and touch panel integrated organic light emitting display device
KR20170012798A (en) * 2015-07-24 2017-02-03 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001192826A (en) * 1999-05-27 2001-07-17 Sony Corp Surface treatment system, surface treatment method, and surface treated article
KR100790474B1 (en) * 2006-10-26 2008-01-02 연세대학교 산학협력단 Manufacturing method for pattern, manufacturing method for magnetoresistant effect film using patterning, and magnetoresistant effect film and magnetic applied device manufactured by the same
JP2008226428A (en) * 2007-02-13 2008-09-25 Hoya Corp Magnetic recording medium, and its manufacturing method

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0356668A (en) * 1989-07-24 1991-03-12 Ricoh Co Ltd Sputtering device
JPH0636362A (en) * 1992-07-14 1994-02-10 Kuraray Co Ltd Production of optical information recording medium
GB9216074D0 (en) * 1992-07-28 1992-09-09 Johnson Matthey Plc Magneto-optical recording materials system
JPH06104172A (en) * 1992-09-18 1994-04-15 Fujitsu Ltd Formation of thin film pattern
TW275123B (en) * 1994-01-31 1996-05-01 Tera Store Inc
US5858474A (en) * 1996-02-20 1999-01-12 Seagate Technology, Inc. Method of forming a magnetic media
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
JP2000298825A (en) * 1999-04-12 2000-10-24 Sony Corp Magnetic recording medium and its manufacture
KR20000075388A (en) * 1999-05-27 2000-12-15 이데이 노부유끼 Method and apparatus for surface modification
JP2001043530A (en) * 1999-07-28 2001-02-16 Anelva Corp Formation of protective film for information recording disk and apparatus for forming thin film for information recording disk
JP2001250217A (en) * 2000-03-07 2001-09-14 Hitachi Maxell Ltd Information recording medium and its manufacturing method
JP2002288813A (en) * 2001-03-26 2002-10-04 Fuji Electric Co Ltd Magnetic recording medium and its manufacturing method
JP3886802B2 (en) * 2001-03-30 2007-02-28 株式会社東芝 Magnetic patterning method, magnetic recording medium, magnetic random access memory
SG122746A1 (en) * 2001-10-01 2006-06-29 Inst Data Storage Method of magnetically patterning a thin film by mask-controlled local phase transition
WO2003036626A1 (en) * 2001-10-22 2003-05-01 Klemmer Timothy J Magnetic films having magnetic and non-magnetic regions and method of producing such films by ion irradiation
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7611911B2 (en) * 2003-10-08 2009-11-03 International Business Machines Corporation Method and system for patterning of magnetic thin films using gaseous transformation to transform a magnetic portion to a non-magnetic portion
JP2005158095A (en) * 2003-11-20 2005-06-16 Matsushita Electric Ind Co Ltd Manufacturing method of master information carrier
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
JP4145305B2 (en) * 2005-01-13 2008-09-03 光洋サーモシステム株式会社 Heat treatment apparatus and method of using the same
JP2006286159A (en) * 2005-04-05 2006-10-19 Canon Inc Magnetic recording medium and its manufacturing method
JP2006309841A (en) * 2005-04-27 2006-11-09 Tdk Corp Magnetic pattern forming method, magnetic recording medium, magnetic recording and reproducing device
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
JP2007115323A (en) * 2005-10-19 2007-05-10 Sony Corp Method of manufacturing magnetic disk
JP4221415B2 (en) * 2006-02-16 2009-02-12 株式会社東芝 Method for manufacturing magnetic recording medium
JP2008052860A (en) * 2006-08-28 2008-03-06 Showa Denko Kk Manufacturing method of magnetic recording medium and magnetic recording and reproducing device
JP4597933B2 (en) * 2006-09-21 2010-12-15 昭和電工株式会社 Manufacturing method of magnetic recording medium and magnetic recording / reproducing apparatus
JP2008183681A (en) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp Disc chucking mechanism and disc handling robot
EP2132764A2 (en) * 2007-02-26 2009-12-16 Veeco Instruments, INC. Ion sources and methods of operating an electromagnet of an ion source
US20090201722A1 (en) * 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
JP5276337B2 (en) * 2008-02-22 2013-08-28 エイチジーエスティーネザーランドビーブイ Method for manufacturing magnetic recording medium

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001192826A (en) * 1999-05-27 2001-07-17 Sony Corp Surface treatment system, surface treatment method, and surface treated article
KR100790474B1 (en) * 2006-10-26 2008-01-02 연세대학교 산학협력단 Manufacturing method for pattern, manufacturing method for magnetoresistant effect film using patterning, and magnetoresistant effect film and magnetic applied device manufactured by the same
JP2008226428A (en) * 2007-02-13 2008-09-25 Hoya Corp Magnetic recording medium, and its manufacturing method

Also Published As

Publication number Publication date
KR20110090943A (en) 2011-08-10
JP5863882B2 (en) 2016-02-17
TWI478159B (en) 2015-03-21
CN102197426B (en) 2014-11-05
JP2012506601A (en) 2012-03-15
WO2010048030A4 (en) 2010-09-02
CN103996404B (en) 2017-08-04
JP2014209404A (en) 2014-11-06
CN103996404A (en) 2014-08-20
WO2010048030A2 (en) 2010-04-29
CN102197426A (en) 2011-09-21
TW201029003A (en) 2010-08-01
JP5640011B2 (en) 2014-12-10
WO2010048030A3 (en) 2010-07-22

Similar Documents

Publication Publication Date Title
US9263078B2 (en) Patterning of magnetic thin film using energized ions
US8551578B2 (en) Patterning of magnetic thin film using energized ions and thermal excitation
KR101622568B1 (en) Patterning of magnetic thin film using energized ions
JP5752939B2 (en) Magnetic domain pattern formation using plasma ion implantation
US20170365288A1 (en) Hdd pattern implant system
US8586952B2 (en) Temperature control of a substrate during a plasma ion implantation process for patterned disc media applications
KR20100103493A (en) System and method for commercial fabrication of patterned media
US8673162B2 (en) Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US20100258431A1 (en) Use special ion source apparatus and implant with molecular ions to process hdd (high density magnetic disks) with patterned magnetic domains
JP2010176784A (en) Multiferroic storage medium
US20090199768A1 (en) Magnetic domain patterning using plasma ion implantation
US10233538B2 (en) Demagnetization of magnetic media by C doping for HDD patterned media application
JP5465674B2 (en) Ion implanter
JP5318109B2 (en) Method for manufacturing magnetic recording medium
JP2006528401A (en) Method for producing nanoparticle medium

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
LAPS Lapse due to unpaid annual fee