KR101166619B1 - 부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장 - Google Patents

부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장 Download PDF

Info

Publication number
KR101166619B1
KR101166619B1 KR1020097024258A KR20097024258A KR101166619B1 KR 101166619 B1 KR101166619 B1 KR 101166619B1 KR 1020097024258 A KR1020097024258 A KR 1020097024258A KR 20097024258 A KR20097024258 A KR 20097024258A KR 101166619 B1 KR101166619 B1 KR 101166619B1
Authority
KR
South Korea
Prior art keywords
film
polymer
trench
self
domains
Prior art date
Application number
KR1020097024258A
Other languages
English (en)
Other versions
KR20100017210A (ko
Inventor
댄 비. 밀워드
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20100017210A publication Critical patent/KR20100017210A/ko
Application granted granted Critical
Publication of KR101166619B1 publication Critical patent/KR101166619B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0361Tips, pillars
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • Y10T428/24182Inward from edge of web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Graft Or Block Polymers (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

자기조립하는 블록 코폴리머를 이용하여 개구부 및 선형 마이크로채널의 서브리소그래픽 나노스케일 어레이를 제조하는 방법 및 이 방법으로부터 형성되는 막 및 장치가 제공된다. 본 발명의 실시예는 자기 템플레이팅 또는 다층 접근법을 이용하여 하부 기저막에 대한 자기조립하는 블록 코폴리머 막의 정돈을 유도하여 일부 실시예에서 하부 재료층에 있는 개구부를 에칭할 템플릿 또는 마스크로서 이용될 수 있는 상기 막에 있는 개구부를 제공하도록 제거될 수 있는 나노구조의 정돈된 어레이를 갖는 다층 막을 생성한다.
나노스케일 어레이, 개구부, 자기조립하는 블록 코폴리머, 템플릿, 마스크

Description

부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장{EXTENSIONS OF SELF-ASSEMBLED STRUCTURES TO INCREASED DIMENSIONS VIA A BOOTSTRAP SELF-TEMPLATING METHOD}
본 발명의 실시예는 자기조립 블록 코폴리머의 박막을 이용한 나노스케일 어레이의 마이크로 비어(micro-vias), 마이크로채널 및 마이크로구조의 제조 방법 및 이 방법에 따른 장치에 관한 것이다.
나노스케일의 기계적, 전기적, 화학적 및 생물학적 장치 및 시스템이 발전함에 따라, 나노스케일 장치 및 컴포넌트를 제조하기 위한 새로운 프로세스 및 재료가 필요하게 되었다. 종래의 광 리소그래픽 처리 방법은 100nm 레벨 미만의 구조 및 특징의 제조를 수용할 수 없다. 자기조립 디블록 코폴리머(self assembling diblock copolymer)의 사용은 나노미터 차원에서의 패터닝에 대한 다른 경로를 제공한다. 디블록 코폴리머 막은 예컨대 폴리머의 유리 전이 온도 이상의 열적 어닐링 또는 용매 어닐링에 의한 어닐링 후 구성 폴리머 블록들의 마이크로 상(microphase) 분리에 의해 주기적 구조로 자발적으로 조립되어, 나노미터 스케일 차원에서 정돈된 도메인을 형성한다. 자기조립후, 코폴리머의 한 블록은 선택적으로 제거될 수 있고 나머지 패터닝된 막은 나노크기의 특징을 하부 기판에 패터닝 하기 위한 에치 마스크로 이용될 수 있다. 이 방법에서 관련된 도메인 크기 및 주기(L0)는 블록 코폴리머의 체인 길이(MW)에 의해 결정되므로, 해상도가 종래의 포토리소그래피와 같은 다른 기술을 능가할 수 있는 한편 이 기술의 비용은 거의 동등한 해상도를 갖는 전자빔 리소그래피 또는 EUV 포토리소그래피 보다 훨씬 더 낮다.
마이크로 상으로 분리된 도메인의 크기 및 모양을 포함한 막 형태는 라멜라(lamellar), 원주형 또는 구형 형태를 만들기 위해 디블록 코폴리머의 AB 블록의 분자량 및 부피 분율(volume fraction)에 의해 제어될 수 있다. 예컨대, 디블록 코폴리머의 두 블록(AB)의 대략 80:20 이상의 비율의 부피 분율에 대해, 블록 코폴리머 막은 마이크로 상 분리되고 자리조립되어 폴리머 A의 매트릭스에 의해 둘러싸인 폴리머 B의 구를 갖는 주기적 구형 도메인으로 될 것이다. 약 60:40과 80:20 사이의 두개의 블록의 비율에 대해, 디블록 코폴리머는 조립되어 폴리머 A의 매트릭스 내에 폴리머 B의 원주(cylinder)의 주기적인 6각형의 밀접히 채워진 또는 벌집모양의 어레이로 된다. 약 50:50과 60:40 사이의 비율에 대해, 라멜라 도메인 또는 교호하는 줄무늬의 블록이 형성된다. 도메인 크기는 보통 5-50nm 범위이다.
원주형 및 라멜라상의 디블록 코폴리머 박막은 인터페이스에 대해 줄무늬 상을 형성할 수 있다. 원주상(cylindrical-phase) 막의 경우, 줄무늬 패턴은 평행한 원주 방향으로부터 생기는 한편, 라멜라상의 막의 경우, 줄무늬 패턴은 수직의 도메인 방향으로부터 생긴다. 위에서 아래를 내려다 보면, 수직 배향된 라멜라와 평행 배향된 원주는 예컨대 평행선으로 유사하게 보인다.
트렌치 에지와 같은 정의된 토포그래피를 이용하는 그라포에피택시(graphoepitaxy) 기술은 코폴리머 도메인을 방향을 맞추어 정돈하고 원하는 패턴으로 형성하기 위해 자기조립된 블록의 레지스트레이션(registration) 및 정렬을 제어하기 위해 사용되어 왔다.
엄격하게 제어된 조성 및 다분산(polydispersity)의 디블록 코폴리머의 자기조립이 다양한 나노스케일의 서브 리소그래픽 구조를 준비하는 방법으로서 설명되었지만, 매우 얇은 막을 캐스팅하는 필요성은 구조의 차원, 특히 z축 방향(즉, 기판 표면에 수직)을 제한한다. 막의 폴리머 도메인의 선택적 에칭 및 제거에 의해 생성된 개구부는 임계 차원의 원하는 특징을 위한 필요한 종횡비(aspect ratio)를 달성할 수 없다.
이러한 문제점을 극복하는 정돈된 나노구조의 어레이의 막을 제조하는 방법을 제공하는 것이 유용할 것이다.
본 발명의 실시예들은 이하의 첨부 도면을 참조하여 설명되는데, 이것은 설명의 목적을 위한 것이다. 이하의 도면 전체에 걸쳐, 참조 번호가 도면에서 사용될 것이고, 동일한 참조 번호는 여러 도면에 걸쳐서 그리고 설명에서 동일 또는 유사한 부품을 나타내기 위해 사용될 것이다.
도 1은 본 발명의 일실시예에 따른 예비 처리 단계에서의 트렌치를 갖는 기판 일부의 평면도이다. 도 1A-1B는 라인 1A/1B-1A/1B를 따라 취한 도 1에 도시된 기판의 실시예들의 단면도이다.
도 2-5는 본 발명의 일실시예에 따른 자기조립되는 블록 코폴리머 막의 제조의 다양한 단계에서 도 1의 기판의 평면도이다. 도 2A-5A는 라인 2A/2A 내지 라인 5A-5A를 따라 각각 취한 도 2-5에 도시된 기판 일부의 단면도이다.
도 6-7은 다음 단계에서 도 5A에 도시된 기판의 단면도이다.
도 8은 다음 단계에서 도 7의 기판 일부의 평면도이다. 도 8A는 라인 8A-8A를 따라 취한 도 8의 기판의 단면도이다. 도 8B는 다음 처리 단계에서 도 8A의 도면이다.
도 9는 본 발명의 다른 실시예에 따른 예비 처리 단계에서의 트렌치를 갖는 기판의 일부의 평면도이다. 도 9A는 라인 9A-9A를 따라 취한 도 9에 도시된 기판의 단면도이다.
도 10-13은 기저막에 대해 원주상(cylindrical-phase) 블록 코폴리머를 사용하는 본 발명의 일실시예에 따른 자기조립되는 블록 코폴리머 막의 제조의 다양한 단계에서의 도 9의 기판의 평면도이다. 도 10A-13A는 라인 10A-10A 내지 라인 13A-13A를 따라 각각 취해진 도 10-13에 도시된 기판 일부의 실시예의 단면도이다.
도 14-17은 다음 단계에서 도 13A에 도시된 기판의 단면도이다. 도 17은 기저층의 반원주 도메인(half-cylindrical domains)의 제거를 나타내는 중간 구조를 도시한다.
도 18은 다음 단계에서 도 17의 기판 일부의 평면도이다. 도 18A는 라인 18A-18A를 따라 취한 도 18의 기판의 단면도이다. 도 18B는 다음 처리 단계에서 도 18A의 도면이다.
도 19-21은 기저막에 대한 원주상 블록 코폴리머를 사용하는 본 발명의 다른 실시예에 따른 자기조립되는 블록 코폴리머 막의 제조의 다양한 단계에서 도 1의 기판의 평면도이다. 도 19A-21A는 라인 19A-19A 내지 21A-21A를 따라 각각 취한 도 19-21의 기판 일부의 실시예의 단면도이다.
도 22-23은 다음 스테이지에서 도 21A에 도시된 기판의 단면도이다.
도 24는 본 발명의 일실시예에 따른 다음 단계에서의 원주 도메인의 제거를 도시하는 도 23의 기판 일부의 평면도이다. 도 24A는 라인 24A-24A를 따라 취한 도 24의 기판의 단면도이다. 도 24B는 다음 처리 단계에서 도 24A의 도면이다.
도 25는 본 발명의 다른 실시예에 따른 다음 단계에서의 매트릭스 도메인의 제거를 도시하는 도 24의 기판 일부의 평면도이다. 도 25A는 라인 25A-25A를 따라 취한 도 25의 기판의 단면도이다. 도 25B는 다음 처리 단계에서 도 25A의 도면이다.
도 26은 기저막에 대한 원주상 블록 코폴리머를 사용하는 본 발명의 다른 실시예에 따른 자기조립되는 블록 코폴리머 막의 제조의 다음 단계에서의 도 1의 기판의 평면도이다. 도 26A는 라인 26A-26A를 따라 취한 도 26의 기판의 단면도이다.
도 27-30은 다음 단계에서 도 26A에 도시된 기판의 단면도이다.
도 31은 본 발명의 일실시예에 따른 다음 단계에서의 원주 도메인의 제거를 나타내는 도 30의 기판 일부의 평면도이다. 도 31A는 라인 31A-31A를 따라 취한 도 31의 기판의 단면도이다. 도 31B는 다음 처리 단계에서 도 31A의 도면이다.
도 32는 본 발명의 다른 실시예에 따른 다음 단계에서의 매트릭스 도메인의 제거를 나타내는 도 30의 기판 일부의 평면도이다. 도 32A는 라인 32A-32A를 따라 취한 도 32의 기판의 단면도이다. 도 32B는 다음 처리 단계에서 도 32A의 도면이다.
도면을 참조한 이하의 설명은 본 발명의 실시예에 따른 장치 및 방법의 예를 제공한다. 이 설명은 예시를 위한 것이며 본 발명을 제한하기 위한 것이 아니다.
본 출원의 내용에서, "반도체 기판" 또는 "반도전성 기판" 또는 "반도체 웨이퍼 프래그먼트" 또는 "웨이퍼 프래그먼트" 또는 "웨이퍼"라는 용어는 반도체 웨이퍼와 같은 대량의 반도전성 재료를 포함하지만 이에 한정되지 않는 반도체 재료(단독 또는 다른 재료를 그 위에 포함하는 조립체) 및 반도전성 재료층(단독 또는 다른 재료를 포함하는 조립체)을 포함하는 구조를 의미하는 것으로 이해될 것이다. "기판"이라는 용어는 상기 반도체 기판, 웨이퍼 프래그먼트 또는 웨이퍼를 포함하지만 이에 한정되지 않는 임의의 지지 구조를 가리킨다.
"L0"는 자기조립(self-assembling: SA) 블록 코폴리머 또는 블록 코폴리머와 하나 이상의 그 구성 호모폴리머와의 블렌드로부터 어닐링시 자기조립하는 구조의 고유 피치(벌크 주기 또는 반복 단위)이다.
본 발명의 실시예의 처리 조건은 트렌치 측벽에 레지스터되는(registered) 정돈된 어레이 패턴을 형성하기 위해 자기조립 디블록 코폴리머(self assembling diblock copolymer)의 제1 막의 방향 및 레지스터를 유도하는 제한으로서 트렌치의 측벽을 이용하는 그라포에피택시(graphoepitaxy) 방법을 이용한다. 제1 폴리머 막은 다음에 퇴적되는 블록 코폴리머 막의 정돈을 유도하기 위한 템플릿(template) 또는 기저층(base layer)으로서 이용되어, 어닐링시, 폴리머 도메인들이 방향을 맞춰 하부 구조에 레지스터되도록 하여 결과적으로 서로에 대해 레지스터되는 같은 폴리머 도메인을 갖는 적층된 이층 또는 다층 구조로 된다. 이 절차는 z축 방향으로 필요한 차원의 원하는 구조 패턴을 달성하기 위해 필요한 만큼 반복될 수 있다. 일부 실시예에서, 원하는 패턴은 당해 기술에서 잘 알려진 방법에 의해 선택적으로 에칭될 수 있고 결과적인 템플릿 구조가 기판 내에서 특징(features)을 준비하기 위해 사용될 수 있다.
본 발명의 실시예에 따라 나노미터 스케일 선형 어레이 패턴을 정의하는 SA 블록 코폴리머로부터 박막을 제조하는 방법에서의 단계들이 도 1-8에 도시되어 있다.
이 방법은 상부의 라멜라상(lamellar phase) 블록 코폴리머 막의 정돈을 유도하기 위한 트렌치 내의 정돈된 구조를 갖는 폴리머 기저막 또는 템플릿을 형성함으로써 트렌치 내에 다층 패턴을 형성하여 라멜라 도메인이 기저막의 하부의 조립된 도메인에 수직 배향으로 레지스터되도록 한다.
트렌치 내의 기저층은 라멜라상 블록 코폴리머 막으로부터 형성될 수 있는데, 이는 어닐링시 길이를 연장하고 트렌치의 측벽에 평행한 방향이고 트렌치의 플로어(floor)에 수직 배향된 교호하는(alternating) 폴리머 부유(polymer-rich) 블 록의 레지스터된 라멜라 어레이를 형성한다. 다른 실시예에서, 기저층은 어닐링시 길이를 연장하고 트렌치의 측벽과 플로어에 평행한 방향인 폴리머 매트릭스에서 반원주(half-cylinders)의 라인을 형성하는 원주상(cylindrical-phase) 블록 코폴리머 재료로부터 형성된다. 조립된 기저막은 상부의 라멜라상 블록 코폴리머 막의 정돈을 유도하는 템플릿으로서 사용되어 어닐링된 막의 라멜라 도메인이 트렌치 내의 기저막의 하부 패턴에 수직 배향되고 이에 레지스터되도록 할 수 있다.
라멜라상 블록 코폴리머를 이용하여 트렌치 내에 기저 폴리머 막을 형성하기 위해, 트렌치의 측벽과 가장자리의 표면은 코폴리머의 한 블록에 의한 선택적 웨팅(preferential wetting)이고 트렌치 플로어는 중성 웨팅(neutral wetting)(코폴리머의 양 블록에 대한 동일한 친화력)이어서 코폴리머 재료의 양 블록이 트렌치의 플로어를 습윤하게 할 수 있도록 한다. 엔트로피 힘은 양 블록에 의해 중성 웨팅 표면의 습윤을 추진하여, 결과적으로 각 트렌치의 폭에 대해 수직의 라멜라 층이 형성된다.
도 1-1A에 도시된 일실시예에서, 중성 웨팅 표면을 갖는 기판(10)이 제공된다. 기판(10)은 예컨대 실리콘(자연 산화물 포함), 산화물(예컨대, 실리콘 산화물, SiOx) 또는 무기 막을 포함할 수 있다. 도시된 실시예에서, 중성 웨팅층(12)은 상부 재료층(14)(예컨대, 산화물) 형성 전에 기판(10)에 형성된다. 트렌치(16)를 형성하기 위한 재료층(14)을 통한 에칭은 하부 중성 웨팅층(12)을 트렌치의 플로어 또는 바닥면(18)으로서 노출시킨다. 인접 트렌치는 스페이서나 크레스트(20)에 의 해 분리된다. 트렌치(16)는 대면하는 측벽(22), 대면하는 단부(24), 폭(wt), 길이(lt) 및 깊이(Dt)로 구성된다.
중성 웨팅 표면은 예컨대 기판(10)의 표면에 층(12)을 형성하기 위해 중성 웨팅 폴리머를 적용하여 제공될 수 있다. PS-b-PMMA으로 구성된 자기조립(SA) 디블록 코폴리머의 사용시, PS 및 PMMA에 대한 비우선적 또는 중성 웨팅을 나타내는 랜덤 PS:PMMA 코폴리머 브러시층(PS-r-PMMA)은 기판(10)의 표면으로의 스핀 코팅에 의해 적용될 수 있다. 브러시는 UV 방사나 열 처리를 이용하여 그래프팅(grafting)(산화물 기판상) 또는 가교(cross-linking)(임의의 표면)에 의해 부착될 수 있다. 예컨대, 수산기를 갖는 PS 및 PMMA(예컨대, 약 58% PS)로 구성된 랜덤 코폴리머 용액이 약 5-10 nm 두께의 층으로서 약 48시간 동안 약 160℃로 가열하여 그래프팅된 층으로서 기판(10)의 표면에 적용될 수 있다.
다른 실시예에서, PS-b-PMMA에 대한 중성 웨팅인 표면은 재료층(14) 형성 전에 기판(10)의 표면에 스티렌 및 메틸 메타크릴레이트의 벤조시클로부텐 또는 아지도메틸스티렌 작용화 랜덤 코폴리머(예컨대, 폴리(스티렌-r-벤조시클로부텐-r-메틸 메타크릴레이트(PS-r-PMMA-r-BCB))와 같은 광 또는 열적으로 가교가능한 랜덤 코폴리머의 블랭킷 층을 스핀 코팅함으로써 준비될 수 있다. 예컨대, 이러한 랜덤 코폴리머는 약 42% PMMA, 약 (58-x)% PS 및 x% (예컨대, 약 2-3%)의 폴리벤조시클로부텐이나 폴리(파라-아지도메틸스티렌)을 포함할 수 있다. 아지도메틸스티렌-작용화 랜덤 코폴리머는 UV 가교되거나 (예컨대, 약 15초 내지 약 30분간 1-5 MW/cm^2 노출) 또는 열적으로 가교 (예컨대, 약 4시간 동안 약 170℃에서)될 수 있다. 벤조시클로부텐-작용화 랜덤 코폴리머는 열적으로 가교될 수 있다 (예컨대, 약 4시간 동안 약 200℃ 또는 약 10분간 약 250℃).
PS-b-PMMA에 대한 다른 중성 웨팅 표면은 종래 프로세스, 예컨대 불화 수소(HF) 및 버퍼링된 HF 또는 불화 암모니아(NH4F)의 수용액에 담그거나, HF 증기 처리, 뜨거운 H2 증기로의 노출, 또는 수소 플라즈마 처리(예컨대, 원자 수소)에 의한, 실리콘 기판(10)(약 12-15Å의 자연 산화물 포함)의 불화물 이온 에칭에 의해 준비될 수 있는 수소 종단 실리콘에 의해 제공될 수 있다.
다른 실시예에서 도 1B를 참조하면, 재료층(14)은 기판(10)에 형성되어 트렌치(16)를 형성하도록 에칭될 수 있고, 중성 웨팅 재료(12)가 그후 트렌치 플로어(18)에 적용될 수 있다. 예컨대, PS-b-PMMA에 대한 중성 웨팅인 트렌치 플로어는 가교된 폴리머 매트를 중성 웨팅층(12)으로 형성하기 위해 PS-r-PMMA-r-BCB를 트렌치 내의 기판(10) 표면에 스핀 코팅하고 폴리머를 열적으로 가교(예컨대, 190℃, 4시간)함으로써 준비될 수 있다. 모세관 힘은 랜덤 코폴리머를 깊은 트렌치의 바닥으로 잡아당긴다. 비가교 폴리머 재료가 이후 제거될 수 있다. P(S-r-MMA-r-HEMA)의 랜덤 코폴리머와 같은 중성 웨팅 폴리머(NWP)도 산화 플로어와 같은 재료층에 선택적으로 그래프팅될 수 있다. 예컨대, 참조로 여기 병합된 In 등, Langmuir, 2006, 22, 7855-7860을 참고한다. 다른 실시예에서, PMMA나 PS와 같은 올레핀 모노머가 약 10-15 nm 두께의 막을 형성하기 위해 디비닐 벤젠과 같은 디올 레핀 링커를 이용한 인시투 자유 라디칼 중합(in situ free radical polymerization)에 의해 H-종단 실리콘 기판(10)(즉, 플로어(18))에 그래프팅될 수 있다.
트렌치 측벽(22) 및 단부(24)는 블록이 자기조립할 때 라멜라의 형성을 유도하기 위해 코폴리머의 한 블록에 의한 선택적 웨팅이다. 트렌치 표면을 정의하는 재료층(14)은 고유한 선택적 웨팅 재료일 수 있고, 또는 다른 실시예에서, 선택적 웨팅 재료의 층이 트렌치의 표면에 적용될 수 있다.
예컨대, 폴리(스티렌-블록-메틸 메타크릴레이트)(PS-b-PMMA)의 사용시, 산화물(예컨대, 실리콘 산화물, SiOx) 또는 깨끗한 실리콘 표면(자연 실리콘 산화물 포함)은 PMMA 블록에 대해 선택적 웨팅을 나타내어 라멜라상 블록 코폴리머 재료의 사용시 각 트렌치 내에서 PMAA의 얇은 (예컨대, 1/4 피치) 인터페이스 층 및 교호하는 PMMA와 PS 라멜라(예컨대, 1/2 피치)의 조립으로 된다. PMMA에 대한 다른 선택적 웨팅 표면은 예컨대 실리콘 나이트라이드, 실리콘 옥시카바이드, 실리콘 옥사이드와 같은 측벽 재료로 그래프팅된 폴리메틸메타크릴레이트(PMMA) 폴리머, 및 메타크릴레이트 기반 레지스트와 같은 레지스트 재료에 의해 제공될 수 있다. 예컨대, 하나 이상의 수산기 (-OH) (예컨대, 히드록시에틸메타크릴레이트)를 포함하는 일부로 수정되는 PMMA는 스핀 코팅에 의해 적용될 수 있고 그후 가열 (예컨대, 약 170℃)되어 OH 기가 트렌치의 산화물 측벽(22) 및 단부(24)에 엔드그래프팅 (end-graft)하도록 할 수 있다. 그래프팅되지 않은 재료는 적절한 용매 (예컨대, 톨루 엔)로 세척하여 중성 웨팅층(12)으로부터 제거될 수 있다. 예컨대, 참조로 여기 병합된 Mansky 등, Science, 1997, 275, 1458-1460, 및 In 등, Langmuir, 2006, 22, 7855-7860을 참고한다.
트렌치 측벽, 단부 및 플로어는 트렌치 내 나노구조의 어레이의 구조에 영향을 미친다. x축 및 y축에서 트렌치 측벽의 경계 조건은 임의의 구조를 부과하는데 여기서 각 트렌치는 n개의 특징 (즉, 라멜라, 원주(cylinder) 등)을 포함한다. 트렌치 내의 단일 어레이 또는 층의 나노구조를 형성할 때의 요소로는 트렌치의 폭 및 깊이, 원하는 피치 (L0)를 달성하기 위한 블록 코폴리머의 조성, 및 코폴리머 막의 두께 (t)가 있다.
트렌치(16)는 블록 코폴리머 (또는 블렌드)가 어닐링시 자기조립하여 트렌치의 폭 (wt)에 걸치는 단일 층의 "n"개의 구조가 되도록 폭 (wt)으로 구성되는데, 각 구조 (즉, 라멜라, 원주 등)는 L0의 값 (중심 간)에 의해 분리된다. 트렌치의 폭 (wt)은 정확히 또는 대략 nL0 ("n*L0")인 폴리머의 고유 피치값 (L0)의 배수로, 일반적으로 범위가 약 n*10 내지 약 n*100 nm (n은 특징 또는 구조의 갯수)이다. 트렌치의 깊이 (Dt)는 기저층에 대해 사용되는 블록 코폴리머의 L0 값의 배수이다. L0 또는 대략 L0로 폭 (wt)을 갖는 트렌치에서 L0의 고유 피치값을 갖는 블록 코폴리머 재료의 적용 및 어닐링하게되면 결과적으로 폭에 걸치고 트렌치의 길이에 대한 측벽에 레지스터되는 단일 층의 "n"개의 구조가 형성될 것이다. 일부 실시예에서, 트렌치 규격은 폭 (wt)이 약 50-500nm이고 길이 (lt)가 1,000-10,000μm이고, 깊이 (Dt)가 약 20-200nm이다.
트렌치는 L0 (10-100nm)의 스케일로 패터닝이 가능한 노출 시스템을 갖는 리소그래픽 툴을 이용하여 형성될 수 있다. 이러한 노출 시스템은 예컨대 당해 기술분야에서 알려지고 사용되는 EUV (extreme ultraviolet) 리소그래피, 근접 X선, 및 전자빔 (e-beam) 리소그래피를 포함한다. 종래의 포토리소그래피는 (적어도) 약 58nm 특징을 얻을 수 있다.
도 2-2A를 참조하면, L0 또는 약 L0에서 고유 피치를 갖는 자기조립 (SA) 라멜라상 디블록 코폴리머 재료의 층(26) (또는 L0 또는 약 L0에서 피치를 갖도록 혼합된 호모폴리머 및 블록 코폴리머의 3원 블렌드)은 일반적으로 트렌치의 플로어(18) 상에 스핀 캐스팅 (스핀 코팅)함으로써 퇴적된다. 블록 코폴리머 재료는 예컨대 디클로로에탄 (CH2Cl2) 또는 톨루엔과 같은 유기 용매에서 코폴리머의 희석 용액 (예컨대, 약 0.25-2 wt% 용액)으로부터 스핀 캐스팅함으로써 패터닝된 표면에 퇴적될 수 있다.
디블록 코폴리머 층(26)의 두께 (tl)는 코폴리머 재료의 L0 값 또는 약 L0 값에서 트렌치 깊이 (Dt) 보다 작아서 막 층이 트렌치의 폭 (wt)에 대해 단일 층의 라멜라를 형성하도록 어닐링시 자기조립할 것이다. 코폴리머 막(26)의 일반적인 두 께 (tl)는 각 트렌치 내에서 다른 블록의 매트릭스에서 약 L0 (예컨대, 25-35 nm)의 폭을 갖는 교호하는 폴리머 부유 라멜라 블록을 형성하도록 코폴리머의 L0 값의 약 ±20% (예컨대, 약 10-100 nm)이다. 막(26)의 두께는 예컨대 타원계측법에 의해 측정될 수 있다. 도시된 바와 같이, 코폴리머 재료의 박막(26)은 재료층(14)의 스페이서(20)에 퇴적될 수 있고, 이 막은 구조를 형성할 만큼 두껍지 않으므로 자기조립하지 않을 것이다.
디블록 코폴리머가 본 발명의 실시예에서 이용되지만, 다른 종류의 블록 코폴리머 (즉, 트리블록 또는 멀티블록 코폴리머)가 사용될 수 있다. 디블록 코폴리머의 예로는 폴리(스티렌-블록-메틸 메타크릴레이트) (PS-b-PMMA), 폴리에틸렌옥사이드-폴리이소프렌, 폴리에틸렌옥사이드-폴리부타디엔, 폴리에틸렌옥사이드-폴리스티렌, 폴리에텔렌옥사이드-폴리메틸메타크릴레이트, 폴리스티렌-폴리비닐피리딘, 폴리스티렌-폴리이소프렌(PS-b-PI), 폴리스티렌-폴리부타디엔, 폴리부타디엔-폴리비닐피리딘, 및 폴리이소프렌-폴리메틸메타크릴레이트가 있다. 트리블록 코폴리머의 예로는 폴리(스티렌-블록 메틸 메타크릴레이트-블록-에틸렌 옥사이드)가 있다. 어닐링된 막으로부터 에치 마스크나 템플릿을 제조하기 위해 블록 코폴리머의 폴리머 블록들 중 하나가 선택적으로 용이하게 제거가능하여야 한다.
기저 또는 템플릿 층이 라멜라 형성 디블록 코폴리머로부터 형성되는 실시예에서, 두개의 블록 (AB)의 부피 분율 (volume fraction)은 일반적으로 약 50:50 과 60:40 사이의 비이다. 라멜라가 표면 노출되는 어닐링된 기저막을 달성하기 위해, 보통의 어닐링 온도에서의 폴리머 블록 (예컨대, PS 및 PMMA)의 카이 (Chi) 값은 대기 경계면이 양 블록에 동일하거나 비선택적으로 웨팅이 되도록 일반적으로 작다. 라멜라 형성 대칭 디블록 코폴리머의 일예는 약 50:50 (PS:PMMA)의 가중비 및 약 51 kg/mol의 총 분자량 (Mn)을 갖는 PS-b-PMMA이다.
본 발명의 실시예에서, 블록 코폴리머 재료는 또한 폴리머 도메인의 크기를 증가시키고 이 폴리머의 L0 값을 증가시키는 블렌드를 생성하기 위하여 SA 블록 코폴리머 및 이 블록 코폴리머에서 폴리머 블록과 동일한 종류의 폴리머의 하나 이상의 호모폴리머를 포함하는 2원 또는 3원 블렌드로서 형성될 수 있다. 호모폴리머의 부피 분율은 0 내지 40% 범위일 수 있다. 3원 디블록 코폴리머 블렌드의 일예로는 PS-b-PMMA/PS/PMMA 블렌드, 예컨대 40% 20K 폴리스티렌 및 20K 폴리(메틸메타크릴레이트)를 포함하는 46K/21K PS-b-PMMA가 있다. 폴리머의 L0 값은 또한 블록 코폴리머의 분자량을 조정함으로써 수정될 수 있는데, 예컨대 라멜라의 경우, L0 ~ (MW)2/3이다.
선택적으로, 타원형 ("볼록한 모양")은 트렌치 및 스페이서 폭과 블록 코폴리머 또는 3원 블렌드의 고유 피치 (L0) 사이의 약간의 불일치를 생성함으로써 구조에서 유도될 수 있는데, 예컨대 이것은 참조로 여기 병합된 Cheng 등의 "Self-assembled One-Dimensional Nanostructure Arrays", Nano Lett, 2006, 6(9), 2099-2103에 설명된 바와 같고, 이것은 이러한 불일치로부터 생기는 스트레스를 감소시 킨다.
도 3-3A를 참조하면, 제1 블록 코폴리머 막(26)은 예컨대 자기조립된 폴리머 구조(28)를 형성하도록 폴리머 블록이 트렌치 표면(18, 22, 24)의 우선 및 중성 웨팅에 따라 상 분리되고 자기조립하도록 코폴리머 재료의 컴포넌트 블록의 유리 전이 온도 이상으로 열적 어닐링에 의해 어닐링된다. 예컨대, PS-b-PMMA 코폴리머 막은 자기조립되는 조직을 달성하기 위해 약 1-120 시간 동안 진공 오븐에서 약 180-285℃의 온도로 어닐링될 수 있다. 이 막은 또한 예컨대 용매로 이 막의 두 블록을 서서히 팽창시킨 후 이 용매를 서서히 증발시킴으로써 용매 어닐링될 수 있다.
트렌치 내에서 우선 또는 중성 웨팅 표면과 조합된 코폴리머 조성의 특징과 트렌치의 폭 (wt)에 의해 제공되는 제약으로 어닐링시 트렌치의 폭 (wt)에 대해 단일 층의 n개 요소 (라멜라)로 된다. 트렌치내 반원주(half-cylinders) 또는 요소 (예컨대, 라멜라 블록)의 피치나 갯수 "n"은 블록 코폴리머의 분자량 (MW) 및 트렌치의 폭 (wt)에 따른다. 도 3A에 도시된 바와 같이, 기저층(28)을 형성하기 위해 사용되는 라멜라상 블록 코폴리머 재료는 어닐링시 자기조립하여 L0 또는 대략 L0의 평균 피치값으로 트렌치(16)의 폭 (wt)에 걸치는 수직 배향된 교호하는 폴리머 부유 블록(30, 32)이 될 것이다. 예컨대, 폭이 약 250nm인 트렌치에서 약 50:50 PS:PMMA 블록 코폴리머 막 (Mn=51kg/mol; L0=32nm)을 퇴적하고 어닐링하면 트렌치가 약 8개의 라멜라 구조로 구분분할될 것이다.
어닐링된 기저막(28) (즉, 수직 배향된 라멜라)의 결과적인 조직은 예컨대 원자 힘 현미경 (AFM), 투과 전자 현미경 (TEM), 주사 전자 현미경 (SEM)을 이용하여 조사될 수 있다.
어닐링되고 정돈된 기저막(28)은 그후 트렌치(16) 내에 자기조립된 폴리머 블록(30, 32)의 강도를 고정하고 증대시키기 위해 폴리머 세그먼트를 가교하도록 (예컨대, PS 세그먼트를 가교하도록) 처리된다. 폴리머는 본래부터 가교하도록 구조될 수 있고 (예컨대, DUV (deep ultraviolet) 방사를 포함한 자외선 (UV) 방사에 노출시), 또는 코폴리머 재료의 하나 또는 두개의 폴리머 블록은 가교제를 포함하도록 형성될 수 있다. 트렌치 외부 (예컨대, 스페이서(20) 상) 재료(26)는 그후 제거될 수 있다.
예컨대, 일실시예에서, 트렌치 영역은 트렌치(16) 내에서 자기조립된 막(28)만을 가교하도록 레티클을 통해 선택적으로 노출될 수 있고, 세척제가 그후 적절한 용매 (예컨대, 톨루엔)와 함께 적용되어 막(28)의 가교되지 않은 부분 (예컨대 스페이서(20) 상의 재료(26))을 제거하여 트렌치 내 레지스터된 자기조립된 기저막을 남기고 트렌치 위/외부 (예컨대, 스페이서(20)) 재료층(14)의 표면을 노출시킬 수 있다. 다른 실시예에서, 어닐링된 막(28)은 전체적으로 가교될 수 있고, 포토레지스트 층은 트렌치 영역 외부 (예컨대, 스페이서(20) 위)의 막의 영역을 패터닝하고 노출시키기 위해 적용될 수 있고, 이 막의 노출부는 예컨대 산소 (O2) 플라즈마 처 리에 의해 제거될 수 있다. 다른 실시예에서, 스페이서(20)는 스페이서 상의 재료(26)가 최소이고 제거가 불필요하도록 폭, 예컨대 코폴리머 도메인들 중 하나의 폭 (ws) (예컨대, 약 L0)이 좁다.
도 4-4A를 참조하면, 고유 피치가 L0 또는 대략 L0인 라멜라상 블록 코폴리머 재료의 층(34a) (또는 피치가 L0 또는 대략 L0가 되도록 혼합된 호모폴리머와 블록 코폴리머의 3원 블렌드)은 이후 트렌치 내의 어닐링되고 가교된 기저막(28)에 퇴적된다 (예컨대, 스핀 캐스팅에 의해). 블록 코폴리머 재료는 예컨대 유기 용매에서 코폴리머의 희석 용액 (예컨대, 약 0.25-2 wt% 용액)으로부터 스핀 캐스팅될 수 있다.
라멜라상 블록 코폴리머 층(34a)은 블록 코폴리머 재료의 L0 값 또는 대략 L0 값의 두께 (t2)로 트렌치(16) 내의 기저막(28)으로 캐스팅되어, 어닐링시 코폴리머 막 층이 각각이 0.5L0 또는 대략 0.5L0의 폭 (w2)을 갖는 단일 층의 수직 배향된 라멜라 도메인을 형성하도록 자기조립할 것이다.
도 5-5A에서 도시된 바와 같이, 라멜라상 블록 코폴리머 층(34a)의 어닐링이 그후 수행되어 폴리머 블록들이 분리되고 자기조립하여 기저층(28)의 폴리머 도메인(30, 32)에 각각 정돈되고 레지스터되는 줄무늬 패턴의 수직 배향된 라멜라상 도메인(38a, 40a)으로 구성된 막(36a)이 된다. 어닐링은 예컨대 PS-b-PMMA 코폴리머 막에 대해 약 110-290℃의 범위에 대해 수행될 수 있다. 어닐링된 막(36a)은 그후 가교되고, 트렌치(16)의 외부에 재료층(14)의 정돈되지 않은 코폴리머 재료(34a)가 제거되어 (예컨대, 용매 세척, O2 플라즈마 처리에 의해) 도 5A에 도시된 구조로 될 수 있다.
도 6을 참조하면, 라멜라상 블록 코폴리머 재료의 제2 층(34b)은 어닐링되고 가교된 막(36a)에 L0 또는 대략 L0의 두께(t2)로 퇴적될 수 있다. 블록 코폴리머 층(34b)은 그후 폴리머 블록이 자기조립하여 하부 폴리머 블록(38a, 40a)으로 방향을 맞추어 레지스터된 수직 배향된 라멜라상 도메인(38b, 40b)의 막(36b)으로 되도록 어닐링될 수 있는데, 이때 도 7에 도시된 바와 같이 막(36b)은 가교될 수 있고 트렌치(16) 외부의 정돈되지 않은 코폴리머 재료(34b)가 제거될 수 있다. 이 프로세스는 원하는 두께(T)의 막 구조(42)로 되도록 라멜라상 블록 코폴리머의 추가 층을 퇴적하고 형성하기 위해 원하는 대로 반복될 수 있다. 본 발명의 실시예에서, 다층 막에서 형성된 개구부의 종횡비는 유사한 단일 층 막에 의해 제공될 수 있는 종횡비의 적어도 약 2배이고, 일반적으로 적어도 약 1:2 및 약 1:2 내지 약 1:20의 범위로 막 층의 추가로 증가될 수 있다.
도 8-8A를 참조하면, 블록 컴포넌트들 중 하나는 예컨대 나노미터 크기의 범위 (즉, 약 10-100 nm)에서 규칙적인 패턴을 정의하는 반도체 처리에서 하부 기판(10)을 패터닝하기 위해 리소그래픽 템플릿 또는 마스크로서 사용될 수 있는 박막(44)을 생성하기 위해 선택적으로 제거될 수 있다. 트렌치(16) 내에서, 층(36a, 36b) 및 기저막(28)의 각각의 폴리머 블록들 중 하나의 선택적인 제거가 수행된다.
예컨대, 도 8A에 도시된 바와 같이, 폴리머 도메인(30, 38a-b) (예컨대, PMMA) 중 하나의 선택적인 제거에 의해 폴리머 도메인(32, 40a-b) (예컨대, PS)로 구성된 수직 배향된 벽(48)에 의해 분리된 개구부 (슬릿)(46) 및 노출된 트렌치 플로어(18) (예컨대, 중성 웨팅층(12))가 생긴다. PMMA 상 도메인의 제거는 예컨대 산소 (O2) 또는 CF4 플라즈마의 적용에 의해 수행될 수 있다.
PS 상 도메인이 제거되는 실시예에서, 개구부 (슬릿)는 PMMA 도메인으로 구성된 벽에 의해 분리된다.
일부 실시예에서, 결과적인 막(44)은 약 5-50nm 폭과 수 마이크로미터의 길이 (예컨대, 약 10-4000 μm)의 선형 패턴의 미세한 나노미터 스케일의 평행 슬릿 (개구부)(46)을 정의하는 주름 표면을 갖고, 각 슬릿은 약 5-50 nm 폭의 벽(48)에 의해 분리되고, 약 1:2 내지 약 1:20 범위의 종횡비를 제공한다. 예컨대, PMMA 도메인의 제거는 예컨대 약 35 nm (17.5 nm PS 도메인)의 피치의 서브리소그래픽 차원의 PS 마스크를 허용한다. 더 작은 피치는 더 낮은 분자량 디블록 코폴리머를 이용하여 다이얼 조정될 수 있다.
막은 예컨대 비선택 RIE 에칭 프로세스에 의해 예컨대 하부 기판(10)을 패터닝 (화살표 ↓↓)할 리소그래픽 템플릿이나 에치 마스트로서 사용되어 도 8A에서 은선으로 도시되어 활성 영역 또는 요소(51a)까지 연장된 일련의 채널 또는 그루브(50)의 윤곽을 그릴 수 있다. 일부 실시예에서, 채널(50)은 도 8B에 도시된 바와 같은 재료(51b), 예컨대 트랜지스터 채널, 반도체 커패시터, 및 다른 구조에 대 한 나노와이어 채널 어레이를 형성할 도전재 (예컨대, 금속), 또는 활성 영역 (예컨대 기판(10))을 분리할 절연재로 채워질 수 있다. 추가 처리는 원하는대로 수행될 수 있다.
막은 기판을 템플레이팅하기 위한 넓은 필드의 커버리지에 대한 긴 범위의 정돈 및 레지스터를 갖는 선형 어레이를 제공한다. 이 막은 나노스케일 차원의 NAND 플래시를 위한 플로팅 게이트와 같은 특징을 생성하기 위해 길이가 수 마이크로미터인 밀접한 피치의 나노스케일 채널 및 그루브를 생성하기 위한 에치 마스크로서 유용하다. 비교하면, 포토리소그래피 기술은 큰 비용을 들이지 않고서 폭이 60nm 미만의 채널을 생성할 수 없다. 해상도는 종래의 포토리소그래피와 같은 다른 기술을 능가할 수 있는 한편, 본 발명의 방법을 이용하는 제조비용은 동등한 해상도를 갖는 전자빔 (E-beam)이나 EUV 포토리소그래피 보다 훨씬 더 적다.
원주상 블록 코폴리머로부터 형성된 기저층을 이용하여 선형 어레이 패턴을 정의하는 박막을 형성하는 본 발명의 다른 실시예에 따른 방법이 도 9-18을 참조하여 설명된다. 어닐링시 기저층은 트렌치의 측벽 및 플로어에 평행한 방향이고 길이를 여기까지 연장하는 폴리머 매트릭스에서의 반원주(half-cylinders)의 라인을 형성한다. 조립된 기저막은 상부 라멜라상 블록 코폴리머 막의 정돈을 유도하는 템플릿으로 사용될 수 있어서 어닐링된 막의 라멜라 도메인이 기저막의 반원주의 하부 패턴에 수직 배향으로 레지스터되게 한다.
도 9-9A를 참조하면, 트렌치(16') 내에서 기저 폴리머 막(26')을 형성하기 위해 원주상 블록 코폴리머를 사용하는 실시예에서, 트렌치의 플로어(18'), 측 벽(22') 및 단부(24')의 표면은 트렌치 측벽 및 플로어에 평형하게 정렬된 각 트렌치의 중간 아래로 대기 경계면 (노출된 표면)을 습윤하는 소수 블록의 반원주의 평형 라인의 형성을 유도하기 위해 코폴리머의 소수 블록에 의한 선택적 웨팅이다. 예컨대, 기판(10')은 깨끗한 실리콘 기판 (자연 실리콘 산화물 포함)과 같은 고유의 선택적 웨팅 재료로 구성될 수 있고 재료층(14')은 산화물 (예컨대, SiOx)로 구성될 수 있다. 두 재료는 PMMA 블록에 대해 선택적 웨팅을 나타내어 결과적으로 각 트렌치 내 PS 매트릭스의 중심에서의 PMMA 원주 뿐만 아니라 트렌치 측벽에서의 PMMA의 얇은 인터페이스 층의 조립이 된다. PMMA에 대한 다른 선택적 웨팅 표면은 예컨대 실리콘 나이트라이드, 실리콘 옥시카바이드, 및 실리콘 옥사이드와 같은 측벽 재료로 그래프팅된 PMMA 폴리머, 및 메타크릴레이트 기반 레지스트와 같은 레지스트 재료에 의해 제공될 수 있다. 예컨대, 여기 참조로 병합된 C.T. Black 및 O. Bezencenet "Nanometer-Scale Pattern Registration and Alignment by Directed Diblock Copolymer Self-Assembly", IEEE Transactions on Nanotechnology, 2004, 3(3), 412-415; C.T. Black, "Self-Aligned self-assembly of multi-nanowire silicon field effect transistors", Applied Physics Letters, 2005, 87, 163116; R. Ruiz, R.L. Sandstrom and C.T. Black, "Induced Orientational Order in Symmetric Diblock Copolymer Thin-Films", Advanced Materials, 2007, 19(4), 587-591를 참고한다.
원주상 블록 코폴리머를 사용할 때, 트렌치(16')의 깊이 (Dt)는 L0 보다 더 작다. 일부 실시예에서, 트렌치 규격은 약 15-25nm의 깊이 (Dt)를 갖는 약 50-2000nm 폭 (wt)이다. 도 10-10A에 도시된 바와 같이, 원주 형성 블록 코폴리머 재료의 층(26') (고유 피치 L0 또는 대략 L0)은 트렌치 깊이 (Dt) 보다 크고 블록 코폴리머 재료의 L0 값보다 작은 두께 (tl)로 트렌치(16')의 플로어(18') 상에 퇴적되어 코폴리머 막(26')이 어닐링시 트렌치의 폭 (wt)에 대해 평행한 라인으로서 다른 블록의 매트릭스에서 약 L0의 직경을 갖는 한 블록의 평행 배향된 반원주의 단일 층을 형성하도록 자기조립할 것이다. 트렌치 내 반원주의 갯수 "n" 또는 피치는 트렌치의 폭(wt) (예컨대, 약 nL0) 및 블록 코폴리머의 분자량 (MW)에 따른다.
원주상 블록 코폴리머는 디블록 또는 멀티블록 코폴리머일 수 있고, 코폴리머 재료는 상기한 바와 같이 호모폴리머를 포함하는 2원 또는 3원 블렌드로서 형성될 수 있다. 기저층이 원주상 디블록 코폴리머를 이용하여 형성되는 실시예에서, 두 블록 (AB)의 부피 분율은 일반적으로 약 60:40과 80:20 사이의 비율이다. 원주상 디블록 코폴리머 재료의 일예는 PS 매트릭스에서 약 20nm 직경의 반원주 PMMA 도메인을 형성하기 위해 총 분자량 (Mn)이 67kg/mol을 갖는 약 70% PS 및 30% PMMA (70:30의 중량비)로 구성된 PS-b-PMMA (L0=35nm)이다. 반원주가 표면 노출된 어닐링된 기저막을 달성하기 위해, 통상의 어닐링 온도에서 폴리머 블록 (예컨대, PS 및 PMMA)의 카이(Chi) 값과 각 블록과 대기의 경계면 에너지 사이의 차이는 일반적 으로 작아서, 대기 경계면은 두 블록에 대해 동일한 또는 비선택적인 웨팅이다.
도 11-11A를 참조하면, 원주상 블록 코폴리머 막(26')은 기저층(18')을 형성하도록 어닐링되어, 트렌치(16')의 폭(wt)에 걸치는 폴리머 매트릭스(32') 내에서 평행 배향된 반원주(30')로 되고, 각 원주는 L0 또는 약 L0의 평균값에 의해 분리되고 인터페이스 층(30a')은 측벽(22') 및 플로어(18')을 따라 형성된다. 예컨대, 약 250nm 폭의 트렌치에서 70/30 PS:PMMA 블록 코폴리머 막 (Mn=67kg/mol; L0=35nm)을 퇴적하고 어닐링하면 트렌치가 약 7개의 반원주 구조로 구분분할될 것이다. 도시된 바와 같이, 트렌치들 사이에 재료(14')의 스페이서 또는 크레스트(20') 상에 퇴적된 코폴리머 재료의 박막(26')은 자기조립할만큼 두껍지 않다. 일부 실시예에서, 트렌치들 사이의 스페이서(20')는 예컨대 스페이서(20') 상의 재료(26')가 실질적으로 존재하지 않도록 코폴리머 도메인들 중 하나의 폭(ws)을 가질 정도로 좁다.
어닐링된 기저막(28')은 이후 폴리머 세그먼트를 가교하도록 (예컨대, PS 매트릭스(32')를 가교하도록) 처리된다. 상기한 바와 같이, 폴리머는 고유하게 가교하도록 구성될 수 있고, 또는 코폴리머 재료의 하나 또는 두 폴리머 블록이 가교제를 포함하도록 형성될 수 있다.
도 12-12A에 도시된 바와 같이, 라멜라상 블록 코폴리머 재료의 층(34a') (고유 피치 L0 또는 약 L0)은 이후 라멜라상 블록 코폴리머 재료의 L0 또는 약 L0의 두께(t2)로 어닐링되고 가교된 기저막(28')에 퇴적된다. 라멜라상 블록 코폴리머 재료는 도 1-8의 실시예에 대해 앞서 설명된 바와 같이 구조되고 형성될 수 있다. 라멜라 형성 디블록 코폴리머의 두 블록 (AB)의 부피 분율은 일반적으로 약 50:50과 60:40 사이의 비율이고, 일예로 PS-b-PMMA 코폴리머는 50:50 중량비 (약 51 kg/mol의 Mn)이고, 이것은 표면 노출된 라멜라를 생성하기 위해 대기와 각 블록의 경계면 에너지에서의 일반적으로 작은 차이를 갖는다.
코폴리머 층(34a')의 다음 어닐링은 결과적으로 도 13-13A에 도시된 바와 같이 원주상 기저층(28')의 폴리머 도메인(30', 32')에 대해 정돈되고 레지스터된 줄무늬 패턴의 단일 층의 수직 배향된 라멜라상 도메인(38a', 40a')으로 구성된 자기조립되는 막(36a')이 되는데, 각 도메인은 약 L0의 폭(w2)을 갖는다. 스페이서(20') 상의 코폴리머 재료(34a')는 레지스터 또는 긴 범위 정돈없이 자기조립할 수 있다. 어닐링된 막(36a')은 그후 상기한 바와 같이 폴리머 세그먼트 (예컨대, PS 도메인(40a'))를 가교하도록 처리된다.
도 14를 참조하면, 라멜라상 블록 코폴리머 재료의 제2 층(34b')은 그후 L0 또는 약 L0의 두께(t2)로 이전에 어닐링되고 가교된 막(36a')에 퇴적될 수 있다. 제2 코폴리머 층(34b')은 그후 하부 폴리머 블록(38a', 40a') 방향으로 레지스터되는 라멜라상 도메인(38b', 40b')으로 구성된 제2 막 층(36b')을 형성하도록 어닐링되어 도 15에 도시된 구조로 될 수 있다. 스페이서(20') 상의 코폴리머 층(34a') 위의 코폴리머 재료(34b')는 레지스터나 긴 범위 정돈 없이 자기조립할 수 있다. 이 막(36b')은 그후 가교될 수 있고, 라멜라상 블록 코폴리머의 추가 층이 퇴적되고, 어닐링되고 가교되어 원하는 두께 (T)의 막 구조(42')를 형성할 수 있다. 자기조립되고 가교된 막의 추가 층은 다층 막에서 이후 형성된 개구부의 종횡비를 증가시키기 위해 추가될 수 있다.
스페이서(20')에 잔류하는 정돈되지 않은 코폴리머 재료(34a', 34b')는 그후 제거되어 도 16에 도시된 구조로 될 수 있다. 예컨대, 가교되지 않은 스페이서(20')로부터 잔류 코폴리머 재료를 제거하기 위해 용매 세척제가 적용될 수 있다 (예컨대, 폴리머 층의 가교 동안 마스크됨). 포토 패터닝 및 선택적 O2 플라즈마 에치가 스페이서(20')로부터 가교된 폴리머 재료를 제거하기 위해 사용될 수 있다.
층(36a-b') 및 기저막(28')의 폴리머 블록들 중 하나의 선택적 제거는 그후 하부 기판(10')을 노출하는 개구부/슬릿을 갖는 박막(44')을 생성하기 위해 수행될 수 있고 에치 마스크로서 사용될 수 있다. 라멜라 도메인(38a-b') 및 반원주 도메인(30') (예컨대, PMMA)의 제거시, 반원주(30') 아래 및 트렌치 플로어(18') 위에 있는 매트릭스(32') (예컨대, PS)는 도 17에서 중간 구조(43')에 의해 도시된 바와 같이 남아 있다. 매트릭스(32')의 그 부분은 하부 기판(10')을 노출하기 위한 패터닝 프로세스 전에 예컨대 플라즈마 O2 또는 CF4 에치에 의해 제거될 수 있고, 결과적으로 도 18-18A에 도시된 막(44')으로 된다.
이 막은 예컨대 도 18A에서 은선으로 도시된 일련의 채널 또는 그루브(50')를 활성 영역 또는 요소(51a')까지 윤곽을 그리도록 하부 기판(10')을 패터닝 (화 살표 ↓↓)하기 위해 사용될 수 있고, 이것은 예컨대 도 18B에 도시된 바와 같이 예컨대 도전재나 절연재와 같은 재료(51b')로 채워질 수 있다.
폴리머 매트릭스에서 수직 배향된 원주의 어레이를 정의하는 원주상 자기조립 블록 코폴리머의 박막을 형성하기 위한 본 발명의 다른 실시예에 따른 방법이 도 19-25를 참조하여 설명된다. 설명되는 실시예는 원주형 코폴리머 도메인의 방향 및 레지스터를 유도하기 위한 제한으로서 트렌치의 측벽 및 단부와 같은 토포그래픽 특징을 이용하여 트렌치 측벽에 레지스터되는 폴리머 매트릭스 내에 수직 배향된 원주의 6각형 어레이를 달성한다.
도 1-1A를 참조하여 설명된 바와 같이, 트렌치(16")는 하부 기판(10")에서 중성 웨팅 표면을 노출하기 위해 재료층(14")에서 에칭된다. 트렌치(16")의 폭(wt)은 nL0 또는 약 nL0이다. 단부(24")는 도시된 바와 같이 예컨대 약 60°의 각도로 측벽에 대해 각도를 이루고 일부 실시예에서는 약간 둥글게 된다.
트렌치는 또한 트렌치 플로어(18")가 코폴리머 재료의 양 블록에 대해 중성 웨팅이고 측벽(22") 및 단부(24")는 코폴리머의 소수 블록에 의한 선택적 웨팅이도록 구조된다. 엔트로피 힘은 두 블록에 의한 중성 웨팅 표면의 웨팅을 이루게 하여 결과적으로 자기조립되는 원주의 수직 배향으로 된다. 도시된 예에서, 재료층(14")은 실리콘 옥사이드 (SiOx)로 구성되고 기판(10")은 실리콘으로 구성된다. 상기한 바와 같이, 중성 웨팅층(12")은 예컨대 재료층(14") 형성 전에 기판(10")의 표면에 중성 웨팅 폴리머를 적용함으로써 제공될 수 있고, 트렌치(16")는 트렌치 플로어(18")을 형성하는 중성 웨팅층(12")을 노출하도록 에칭될 수 있다. 예컨대, PS-b-PMMA 디블록 코폴리머의 사용시, 랜덤 코폴리머 브러시 층 (예컨대, PS-r-PMMA, PS-r-PMMA-r-BCB 등)은 전면에 퇴적되어 기판(10")에 그래프팅/가교될 수 있다. PS-b-PMMA에 대한 다른 중성 웨팅 표면은 수소 종단된 실리콘이나, H 종단 실리콘 상으로 원위치에 그래프트 중합된 PS-r-PMMA (~60 mol % PS)에 의해 제공될 수 있다.
상기한 바와 같이, PS-b-PMMA 디블록 코폴리머의 PMMA 블록에 대한 선택적 웨팅인 측벽(22") 및 단부(24")는 실리콘 옥사이드 (SiOx), 실리콘 나이트라이드, 실리콘 옥시카바이드, 실리콘 옥사이드와 같은 측벽 재료로 그래프팅된 히드록실기 (-OH) (예컨대, 히드록시에틸메타크릴레이트)를 포함하는 소량으로 수정된 PMMA 폴리머, 및 메타크릴레이트 기반 레지스트와 같은 레지스트 재료에 의해 제공될 수 있다. 어닐링시, PS-b-PMMA 코폴리머 층의 PMMA 블록은 트렌치의 측벽 및 단부로 분리되어 웨팅층(도 19-19A에서 30a")을 형성할 것이다.
도 2-2A에 도시된 바와 같이, L0 또는 약 L0의 고유 피치를 갖는 원주상 디블록 코폴리머 재료(26") (또는 호모폴리머와 블렌드)는 코폴리머 재료의 L0 값 미만 또는 대략 L0 값 내지 최대 약 1.5 X L0의 두께(tl)로 트렌치(16")의 플로어(18")의 중성 웨팅 층(12")에 퇴적되어, 코폴리머 막 층이 어닐링시 자기조립하여 각 트렌치 (예컨대, L0 (예컨대, 약 35nm) 또는 약 L0의 중심간 거리를 갖는 인 접 원주형 도메인을 갖는) 내의 폴리머 매트릭스의 중간에 약 0.5 L0 (예컨대, 약 20nm)의 직경을 갖는 수직 원주형 도메인의 6각형 어레이를 형성할 것이다. 기저 또는 템플릿 층이 원주 형성 디블록 코폴리머로부터 형성되는 실시예에서, 두 블록 (AB)의 부피 분율은 일반적으로 약 60:40과 80:20 사이의 비율이다. 원주상 PS-b-PMMA 코폴리머 재료 (L0=35nm)의 일예는 총 분자량 (Mn)이 67 kg/mol인 약 70% PS 및 30% PMMA로 구성되어 PS 매트릭스에서 약 20nm 직경의 원주형 PMMA 도메인을 형성한다.
도 19-19A를 참조하면, 블록 코폴리머 막(26")은 이후 어닐링되어 기저막(28")이 된다. 중성 웨팅 트렌치 플로어(18") 및 선택적 웨팅 측벽(22") 및 단부(24")와 조합된 블록 코폴리머 조성(26")의 특징, 및 트렌치(16")의 폭(wt)에 의해 제공되는 제약은 어닐링시 결과적으로 주요 폴리머 블록 (예컨대, PS)의 매트릭스(32") 내에 소수 폴리머 블록 (즉, 도메인처럼) (예컨대, PMMA)의 수직 배향된 원주형 도메인(30")의 6각형 어레이로 된다. 소수 폴리머 블록 (예컨대, PMMA)의 얇은 층(30a")은 측벽(18")을 웨팅한다. 6각형 어레이는 각 행에 있는 원주(30")를 갖는 트렌치의 폭(wt)에 따라 n개의 단일 행의 원주를 포함하는데 이들은 인접 행에 있는 원주로부터 약 L0 (피치 거리 또는 중심간 거리) 만큼 오프셋되어 있다. 각 행은 다수의 원주, 일반적으로 m개의 원주를 포함하고 이 갯수는 트렌치의 길이(lt)와 트렌치 단부의 형태 (예컨대, 둥글거나 각을 이룬 형태 등)에 따라 가변적 이고 일부 행은 m개 보다 많거나 적은 갯수의 원주를 갖는다. 각 원주(30") (한 행내) 사이의 거리는 일반적으로 L0 또는 약 L0이다.
어닐링된 원주상 기저막(28")은 이후 폴리머 세그먼트를 가교하도록 (예컨대 PS 매트릭스(32")를 가교하도록) 처리된다. 상기한 바와 같이, 폴리머는 고유하게 가교하도록 구조될 수 있고, 또는 코폴리머 재료의 하나 또는 두개의 폴리머 블록이 가교제를 포함하도록 형성될 수 있다. 스페이서(20") 상에 잔류하는 폴리머 재료는 이후 상기한 바와 같이 제거될 수 있다.
도 20-20A에 도시된 바와 같이, 원주상 블록 코폴리머 재료의 층(34a") (L0 또는 약 L0의 고유 피치)은 그후 원주상 블록 코폴리머 재료(36a")의 L0 또는 약 L0 값의 두께(t2)로 어닐링되고 가교된 기저막(28")에 퇴적된다. 블록 코폴리머 층(34a")의 다음 어닐링으로, 도 21-21A에 도시된 바와 같이, 기저층(28")의 하부 원주형 도메인(30")과 매트릭스(32")에 정돈되고 레지스터된 폴리머 매트릭스(40a") 내 수직 배향된 원주형 도메인(38a")의 6각형 어레이의 단일 층으로 구성된 막(36a")으로 되는데, 원주(38a")는 예컨대 한 라인은 주어진 행에 있는 원주들을 양분하고 다른 라인은 인접 행에 있는 원주들을 양분하는 두개의 평행 라인 사이의 정확히 또는 대략 L0*cos(π/6) 또는 0.833L0 거리의 피치 거리 (p)로 및 동일 행과 인접 행에 있는 원주들 사이의 정확히 또는 대략 L0의 피치 거리 (p)로 기저층(18")의 원주(30")와 이격되어 정렬된다.
어닐링된 막(36a')은 폴리머 세그먼트 (예컨대 PS 매트릭스(40a"))를 가교하도록 처리되고 앞서 설명한 바와 같이 스페이서(20") 상의 폴리머 재료가 제거된다. 원주상 블록 코폴리머 재료의 제2 층(34b")은 L0 또는 약 L0의 두께(t2)로 어닐링되고 가교된 막(36a")에 퇴적될 수 있고 (도 22), 도 23에서 도시된 바와 같이 하부 원주(38a") 및 매트릭스(40a")로 방향 맞추어지고 레지스터된 매트릭스(40b")에서 수직 배향된 원주형 도메인(38b")으로 구성된 제2 막 층(36b")을 형성하도록 어닐링된다. 막(36b")은 이후 가교되고 스페이서(20") 상의 폴리머 재료는 도시된대로 막 구조(42")를 생성하도록 제거될 수 있다. 원주상 디블록 코폴리머의 추가 층이 퇴적되고, 어닐링되고 가교되어 원하는 두께(T)의 막 구조를 형성하고 다층 막에 형성된 개구부의 종횡비를 증가시킬 수 있다.
블록 컴포넌트들 중 하나는 이후 도 24-24A에서와 같이 원주형 개구부(46")의 6각형 어레이로 구성된 막(44a")을 생성할 매트릭스(48") 또는 도 25-25A에서와 같이 막(44b")을 생성할 원주형 도메인(52")을 남긴 채 막(42")으로부터 선택적으로 제거될 수 있다. 폴리머 도메인들 중 하나의 선택적인 제거후, 결과적인 막(44a", 44b")은 예컨대 리소그래픽 템플릿 또는 마스크로서 사용되어 나노미터 크기의 범위 (즉, 약 5-50nm)에서 규칙적인 패턴을 정의하도록 반도체 처리에서 하부 기판(10")을 패터닝할 수 있다.
예컨대, 도 24-24A를 참조하면, 소수 블록 원주(30", 38a-b") (예컨대, PMMA)의 선택적 제거로 주요 블록 (예컨대, PS)의 매트릭스(48") 내에 개구부(46")의 6각형 어레이로 구성된 막(44a")이 될 것이고, 이 개구부는 약 5-50nm의 직경과 일반적으로 적어도 약 1:2 및 약 1:2 내지 약 1:20 범위의 종횡비를 갖는다. 막(44a")은 에치 마스크로서 사용되어 활성 영역 또는 요소(51a") 까지 기판(10")에서 개구부(50")의 어레이 (도 24A에서 은선으로 도시)를 형성하도록 하부 기판(10")을 패터닝 (화살표 ↓↓)할 수 있다. 예컨대, 도 24B에 도시된 바와 같이, 잔여 매트릭스(48") (예컨대, PS)의 제거 및 기판(10")의 개구부(50")를 재료(51b")로 충전하는 것과 같은 추가 처리가 그후 원하는 대로 수행될 수 있는데, 이 재료로는, 예컨대 하부 활성 영역 또는 도전 라인(51a")으로의 컨택트를 형성하기 위해 예컨대 Cu, Al, W, Si 및 Ti3N4와 같은 금속 또는 도전성 합금을 이용하거나, 또는 SiO2, Al2O3, HfO2, ZrO2, SrTiO3와 같은 절연재로 커패시터를 형성하기 위해 금속-절연체-금속-스택을 이용한다.
도 25-25A에 도시된 다른 실시예에서, 주요 블록 매트릭스(32"/40a-b") (예컨대, PMMA)의 선택적 제거는 소수 블록 원주(52") (예컨대, PS)의 6각형 어레이로 구성된 막(44b")을 제공할 것이다. 이러한 실시예는 주요 PMMA 블록 코폴리머 및 선택적 PS 웨팅인 재료로 구성된 측벽 (예컨대, 금 측벽 또는 측벽 재료로의 PS 그래프팅)을 필요로 할 것이다. 원주(52")로 구성된 막(44b")은 에치 마스크 (화살표 ↓↓)로서 사용되어 막(44b")의 원주형 요소(52")에 의해 마스크된 원주를 형성하도록 에칭된 기판(10")으로 하부 기판(10")의 패터닝된 개구부(54") (도 25A에 은선으로 도시)를 에칭할 수 있다. 추가 처리, 예컨대 도 25B에 도시된 바와 같 이, 폴리머 마스크(44b")의 잔류 원주(52")의 제거 및 차별적인 표면을 제공하기 위한 기판(10")과 구별되는 재료(51b")의 개구부(54")로의 퇴적과 같은 추가 처리가 수행될 수 있다. 예컨대, 실리콘 기판(10")내 개구부(54")는 SiO2와 같은 절연재로 채워질 수 있고, 잔류 기판(10") (예컨대, 실리콘)의 원주는 하부 활성 영역 또는 금속 라인(51a")으로의 컨택트를 제공한다.
도 26-32에서 설명된 1차원 (1-D) 어레이의 수직 배향된 원주를 생성하는 방법의 일실시예에서, 원주상 블록 코폴리머로 6각형 어레이의 원주를 형성하는 상기 프로세스는 트렌치 측벽 및 단부를 제한으로 이용하여 트렌치 측벽에 평행한 단일 행에서 원주형 코폴리머 도메인의 방향 및 레지스터를 유도함으로서 수정될 수 있다.
폴리머 매트릭스 내에서 단일 행의 원주를 제공하는 실시예에서, 트렌치(16"')는 블록 코폴리머 재료의 L0 값 또는 대략 L0 값인 폭(wt), 코폴리머 재료의 양 블록에 대해 중성 웨팅인 플로어(18"'), 및 코폴리머의 소수 블록에 의한 선택적 웨팅인 측벽(22"') 및 단부(24"')를 갖도록 구조된다. 도시된 예에서, 측벽(22"') 및 단부(24"')에 노출된 재료층(14"') (예컨대, SiOx, SiN 등)은 PS-b-PMMA 디블록 코폴리머의 PMMA 블록에 대해 선택적 웨팅이고, 기판(10"') (예컨대, 실리콘)은 트렌치 플로어(18"')에서 노출된 중성 웨팅층(12"') (예컨대, 중성 웨팅 폴리머, H-종단 실리콘)을 갖는다.
L0 또는 약 L0 (또는 호모폴리머로 블렌드)의 고유 피치를 갖는 원주상 디블 록 코폴리머 재료(26"')는 코폴리머 재료의 대략 L0 값 또는 그 미만 내지 최대 약 1.5 X L0 (도 2-2A에 도시)의 두께(tl)로 트렌치 플로어(18"') 상의 중성 웨팅층(12"')에 퇴적될 수 있다. 블록 코폴리머 막(26"')은 이후 어닐링되고, 이때 코폴리머 막 층은 도 26-26A에 도시된 바와 같이 기저막(28"')을 형성하도록 자기조립할 것이다. 트렌치(16"')의 폭(wt) 및 중성 웨팅 트렌치 플로어(18"') 및 선택적 웨팅 측벽(22"') 및 단부(24"')와 조합된 블록 코폴리머 조성(26"')의 특성에 의해 제공된 제약은 결과적으로 주요 폴리머 블록 (예컨대, PS)의 매트릭스(32"') 내에 소수 폴리머 블록 (예컨대, PMMA)의 1차원 (1-D) 어레이나 단일 행의 수직 배향된 원주형 도메인(30"')으로 되고, 소수 블록은 웨팅층(30a"')을 형성하도록 트렌치의 측벽(18"')으로 분리된다. 일부 실시예에서, 원주는 0.5L0 (예컨대, 약 20nm) 또는 대략 0.5L0의 직경을 갖고, 행에서 원주의 갯수 n은 트렌치의 길이에 따르고, 각 동일 도메인 (원주) 사이의 중심간 거리 (피치 거리) (p)는 L0 (예컨대, 약 35nm) 또는 약 L0이다. 어닐링된 원주상 기저막(28"')은 그후 폴리머 세그먼트 (예컨대, PS 매트릭스(32"'))를 가교하도록 처리된다.
기저막에서 원주상 블록 코폴리머 층(36a"')을 형성하기 위해 처리가 계속 진행될 수 있고 (도 27), 이것은 어닐링시 기저층(28"')의 하부 원주형 도메인(30"')과 매트릭스(32"')로 정돈되고 레지스터되는 폴리머 매트릭스(40a"') 내 단일 층의 수직 원주형 도메인(38a"')으로 된다 (도 28). 막(36a"')은 그후 상기 한 바와 같이 폴리머 세그먼트 (예컨대, PS 매트릭스(40a"'))를 가교하도록 처리된다. 원주상 블록 코폴리머의 제2 층(34b"')은 그후 어닐링/가교된 막(36a"')에 L0 또는 약 L0 의 두께 (t2)로 퇴적되고 (도 29) 어닐링될 수 있다. 결과적인 막(36b"') (도 30)은 막(36a"')의 매트릭스(40a"') 및 하부 원주(38a"')에 방향 맞추어지고 레지스터되는 매트릭스(40b"') 내 수직 배향된 원주형 도메인(38b"')으로 구성된다. 막(36b"')은 가교되고, 스페이서(20"')상의 정돈되지 않은 폴리머 재료는 막 구조(42"')를 형성하기 위해 제거될 수 있다. 원주상 디블록 코폴리머의 추가 층은 퇴적, 어닐링 및 가교되어 원하는 두께(T)의 막 구조를 형성할 수 있다.
디블록 컴포넌트들 중 하나의 선택적 제거가 수행되어 도 31-31A에서와 같이 1-D 어레이의 원주형 개구부(46"')를 갖는 매트릭스(48"')로 구성된 막(44a"')으로 될 수 있다. 다른 실시예에서, 매트릭스 컴포넌트(32"'/40a-b"')의 선택적 제거는 도 32-32A에 도시된 바와 같이 1-D 어레이의 원주(52"')로 구성된 막(44b"')을 생성한다. 이 막은 마스크로 사용되어 하부 기판(10"')을 에칭할 수 있다.
예컨대, 도 31-31A를 참조하면, 소수 블록 원주(30"', 38a-b"') (예컨대, PMMA)의 선택적 제거로 주요 블록 (예컨대, PS)의 매트릭스(48"') 내에 1-D 어레이의 개구부(46"')로 구성된 막(44a"')이 생기는데, 개구부는 약 5-50nm의 직경 및 약 1:2 내지 약 1:20의 종횡비를 가질 것이다. 막(44a"')은 에치 마스크로 이용되어 하부 기판(10"')을 패터닝 (화살표 ↓↓)하여 활성 영역 또는 요소(51a"')까지 연장하는 개구부(50"')의 어레이 (도 31A에 은선으로 표시)를 형성할 수 있다. 잔류 막(44a"')은 이후 제거되고 기판(10"') 내 개구부(50"')는 도 31B에 도시된 바와 같이 재료(51b"'), 예컨대 하부 활성 영역이나 라인 컨택트(51a"')로의 1-D 어레이의 컨텍트를 제공할 금속 또는 도전성 합금이나, 또는 예컨대 커패시터를 형성할 금속-절연체-금속-스택으로 채워질 수 있다. 이후 추가 처리가 원하는대로 수행될 수 있다.
도 32-32A에 도시된 다른 실시예에서, 주요 블록 매트릭스 컴포넌트(32"', 40a-b"') (예컨대, PMMA)의 선택적 제거는 1-D 어레이의 소수 블록 원주(52"') (예컨대, PS)로 구성된 막(44b"')을 제공할 것이다. 막(44b"')은 에치 프로세스 (화살표 ↓↓)에서 마스크나 템플릿으로 사용되어 하부 기판(10"')에서 패터닝된 개구부(54"') (도 32A에서 은선으로 도시)를 형성할 수 있고, 마스킹된 기판(10"')은 원주를 형성하도록 에칭된다. 폴리머 마스크(44b"')의 잔류 원주(52"')는 이후 제거될 수 있고 기판(10"') (예컨대, 실리콘)와는 구별되는 절연재 (예컨대, 산화물)과 같은 재료(51b"')는 도 32B에 도시된 바와 같이 개구부(54"')를 채우도록 퇴적되어, 예컨대 하부 활성 영역이나 금속 라인(51a"')으로의 컨택트를 제공할 수 있는 기판 (10") 원주로 차별적인 표면을 제공할 수 있다.
특정 실시예들이 여기 예시되고 설명되었지만, 동일한 목적을 달성하도록 계산된 임의의 정렬이 제시된 특정 실시예 대신 사용될 수 있음은 당업자에게 이해될 것이다. 본 출원은 상술된 본 발명의 원리에 따라 동작하는 임의의 개조 또는 변형을 포함하는 것이다. 따라서, 본 발명은 청구범위 및 그 균등물에 의해서만 제한되어야 한다. 본 출원에서 언급된 특허, 참조문헌 및 간행물의 개시는 참조로 여기 병합된다.

Claims (39)

  1. 나노스케일 마이크로구조를 포함하는 막을 제조하는 방법으로서,
    기판에서 플로어, 측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립하는 블록 코폴리머(self-assembling block copolymer)를 포함하는 제1막을 형성하는 단계;
    상기 측벽들에 레지스터(register)되고 상기 트렌치의 길이를 연장하는 자기조립된 폴리머 도메인을 포함하는 기저층(base layer)을 형성하도록 상기 제1막을 어닐링하는 단계;
    상기 기저층의 폴리머 도메인들을 가교(crosslinking)하는 단계;
    상기 가교된 기저층 위에 자기조립하는 블록 코폴리머를 포함하는 제2막을 형성하는 단계;
    상기 제2막이 상기 기저층의 대응하는 폴리머 도메인들에 레지스터되는 폴리머 도메인들을 형성하기 위해 자기조립하도록 상기 제2막을 어닐링하는 단계; 및
    상기 제2막의 폴리머 도메인들을 가교하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 가교된 제2막 위에 자기조립하는 블록 코폴리머를 포함하는 제3막을 형성하는 단계;
    상기 제3막이 상기 제2막의 대응하는 폴리머 도메인들에 레지스터되는 폴리머 도메인들을 형성하기 위해 자기조립하도록 상기 제3막을 어닐링하는 단계; 및
    상기 제3막의 폴리머 도메인들을 가교하는 단계
    를 더 포함하는 방법.
  3. 제1항에 있어서,
    상기 제2막은 상기 어닐링된 기저층에 레지스터되는 제1 및 제2 폴리머 블록의 수직 배향된 교호하는 라멜라(lamellar) 도메인들을 포함하는 방법.
  4. 제3항에 있어서,
    상기 기저층은 상기 제1 및 제2 폴리머 블록의 수직 배향된 교호하는 라멜라 도메인들을 포함하는 방법.
  5. 제3항에 있어서,
    상기 기저층은 평행 배향된 반원주형(half-cylinder) 폴리머 도메인들을 포함하는 방법.
  6. 제3항에 있어서,
    상기 기저층 및 상기 제2막은 수직 배향된 원주들(cylinders)을 포함하는 방법.
  7. 제6항에 있어서,
    상기 기저층은 각각의 동일한 도메인 사이의 피치 거리가 L0인 n개의 폴리머 도메인의 단일 어레이를 포함하는 방법.
  8. 제1항에 있어서,
    상기 트렌치는 깊이가 nL0 (n은 2 이상)이고, 상기 제1막은 라멜라상 (lamellar-phase) 블록 코폴리머로부터 형성되는 방법.
  9. 제1항에 있어서,
    상기 트렌치는 깊이가 L0 미만이고, 상기 제1막은 원주상(cylindrical-phase) 블록 코폴리머로부터 형성되는 방법.
  10. 제1항에 있어서,
    상기 트렌치의 플로어를 노출하는 복수의 개구부를 형성하도록 상기 폴리머 도메인들 중 하나를 선택적으로 제거하는 단계를 더 포함하는 방법.
  11. 나노스케일 마이크로구조를 포함하는 막을 제조하는 방법으로서,
    기판에서 측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립하는 블록 코폴리 머를 포함하는 제1막을 형성하는 단계;
    상기 측벽들에 레지스터되고 상기 트렌치의 길이를 연장하는 자기조립된 폴리머 도메인들을 포함하는 기저층을 형성하도록 상기 제1막을 어닐링하는 단계;
    상기 기저층의 폴리머 도메인들을 가교하는 단계; 및
    하부의 대응하는 폴리머 도메인들에 레지스터되는 자기조립된 폴리머 도메인들을 포함하는 다층 막을 형성하도록, 자기조립하는 블록 코폴리머 막을 형성하고, 어닐링하고, 가교하는 단계들을 반복하는 단계
    를 포함하는 방법.
  12. 나노스케일 마이크로구조를 포함하는 막을 제조하는 방법으로서,
    기판에서 트렌치 내에 제1막을 형성하는 단계 - 상기 제1막은 제1 및 제2 폴리머 블록을 포함하고 어닐링시 마이크로상(microphase) 분리 및 자기조립할 수 있는 블록 코폴리머를 포함하고, 상기 트렌치는 측벽들, 폭 및 길이를 가짐 - ;
    상기 폴리머 블록들의 마이크로상 분리가, 상기 측벽들에 레지스터되고 상기 트렌치의 길이를 연장하는 자기조립된 폴리머 도메인들을 포함하는 기저층을 형성하게 하도록 상기 제1막을 어닐링하는 단계;
    상기 기저층의 폴리머 도메인들을 가교하는 단계;
    상기 가교된 기저층 위에 제2막을 형성하는 단계 - 상기 제2막은 제1 및 제2 폴리머 블록을 포함하고 어닐링시 마이크로상 분리 및 자기조립할 수 있는 블록 코폴리머를 포함함 - ;
    상기 폴리머 블록들의 마이크로상 분리가, 상기 기저층의 대응하는 도메인들에 레지스터되는 상기 제1 폴리머 블록 및 상기 제2 폴리머 블록의 자기조립된 폴리머 도메인들을 형성하게 하도록 상기 제2막을 어닐링하는 단계; 및
    상기 자기조립된 제2막의 폴리머 도메인들을 가교하는 단계
    를 포함하는 방법.
  13. 나노스케일 마이크로구조를 포함하는 막을 제조하는 방법으로서,
    기판에서 측벽들, 폭 및 길이를 갖는 트렌치 내에 라멜라상 자기조립하는 블록 코폴리머를 포함하는 제1막을 형성하는 단계;
    상기 측벽들에 대해 실질적으로 평행 배향으로 상기 트렌치의 폭에 걸치고 상기 트렌치의 길이를 연장하는 자기조립된 라멜라 폴리머 도메인들을 포함하는 기저층을 형성하도록 상기 제1막을 어닐링하는 단계;
    상기 기저층의 폴리머 도메인들을 가교하는 단계;
    상기 가교된 기저층 위에 자기조립하는 라멜라상 블록 코폴리머를 포함하는 제2막을 형성하는 단계;
    상기 제2막이 상기 가교된 기저층의 대응하는 라멜라 폴리머 도메인들에 레지스터되는 라멜라 폴리머 도메인들을 형성하기 위해 자기조립하도록 상기 제2막을 어닐링하는 단계; 및
    상기 자기조립된 제2막의 폴리머 도메인들을 가교하는 단계
    를 포함하는 방법.
  14. 제13항에 있어서,
    상기 트렌치의 측벽들은 선택적 웨팅(preferential wetting)이고 상기 트렌치의 플로어는 중성 웨팅(neutral wetting)인 방법.
  15. 나노스케일 마이크로구조를 포함하는 막을 제조하는 방법으로서,
    기판에서 폭, 길이, 측벽들, 및 플로어를 갖는 트렌치 내에 원주상 자기조립하는 블록 코폴리머를 포함하는 제1막을 형성하는 단계;
    상기 측벽들에 레지스터되고 상기 트렌치의 길이를 연장하는 자기조립된 원주형 폴리머 도메인을 포함하는 기저층을 형성하도록 상기 제1막을 어닐링하는 단계;
    상기 기저층의 폴리머 도메인들을 가교하는 단계;
    상기 가교된 기저층 위에 자기조립하는 블록 코폴리머를 포함하는 제2막을 형성하는 단계;
    상기 제2막이 상기 기저층의 대응하는 폴리머 도메인들에 레지스터되는 폴리머 도메인들을 형성하기 위해 자기조립하도록 상기 제2막을 어닐링하는 단계; 및
    상기 자기조립된 제2막의 폴리머 도메인들을 가교하는 단계
    를 포함하는 방법.
  16. 제15항에 있어서,
    상기 트렌치의 측벽들 및 플로어는 선택적 웨팅이고, 상기 기저층의 상기 자기조립하는 원주형 폴리머 도메인들은 제2 폴리머 도메인의 매트릭스 내에 상기 측벽들에 대해 실질적으로 평행 배향으로 상기 트렌치의 폭에 걸치고 상기 트렌치의 길이를 연장하는 반원주형 제1 폴리머 도메인들인 방법.
  17. 제16항에 있어서,
    상기 제2막은 라멜라상의 자기조립하는 블록 코폴리머를 포함하고, 상기 자기조립된 제2막은 수직 배향된 라멜라상 폴리머 도메인들을 포함하는 방법.
  18. 제15항에 있어서,
    상기 트렌치의 측벽들은 선택적 웨팅이고 상기 트렌치의 플로어는 중성 웨팅이며, 상기 자기조립된 원주형 폴리머 도메인들은 제2 폴리머 도메인의 매트릭스 내에 제1 폴리머 도메인의 수직 배향된 원주들인 방법.
  19. 제18항에 있어서,
    상기 원주들은 단일 어레이에서 상기 트렌치의 길이를 연장하는 방법.
  20. 제18항에 있어서,
    상기 원주들은 6각형 어레이에 있는 방법.
  21. 나노스케일 마이크로구조를 포함하는 막을 제조하는 방법으로서,
    기판에서 측벽들, 폭 및 길이를 갖는 트렌치 내에 원주상 블록 코폴리머를 포함하는 제1막을 형성하는 단계;
    제2 폴리머 블록의 매트릭스에서 제1 폴리머 블록의 표면 노출된 반원주형 도메인들을 포함하는 기저층을 형성하도록 상기 제1막을 어닐링하는 단계 - 상기 반원주형 도메인들은 상기 측벽들에 레지스터되고 상기 트렌치의 길이를 연장하며, 각각의 반원주형 도메인 사이의 피치 거리는 L0임 - ;
    상기 기저층의 폴리머 도메인들을 가교하는 단계;
    상기 가교된 기저층 위에 라멜라상 블록 코폴리머를 포함하는 제2막을 형성하는 단계;
    상기 제2막이 상기 기저층의 대응하는 폴리머 도메인들에 레지스터되는 상기 제1 폴리머 블록 및 상기 제2 폴리머 블록의 수직 배향된 교호하는 라멜라 도메인들의 단일 어레이를 형성하기 위해 자기조립하도록 상기 제2막을 어닐링하는 단계; 및
    상기 자기조립된 제2막의 폴리머 도메인들을 가교하는 단계
    를 포함하는 방법.
  22. 제21항에 있어서,
    상기 트렌치의 측벽들 및 플로어는 선택적 웨팅인 방법.
  23. 나노스케일 마이크로구조를 포함하는 막을 제조하는 방법으로서,
    기판에서 폭, 길이, 선택적 웨팅 측벽들 및 중성 웨팅 플로어를 갖는 트렌치 내에 원주상 자기조립하는 블록 코폴리머를 포함하는 제1막을 형성하는 단계;
    제2 폴리머 도메인의 매트릭스 내에서 제1 폴리머 도메인의 자기조립하는 수직 배향된 원주형 폴리머 도메인들을 포함하는 기저층을 형성하도록 상기 제1막을 어닐링하는 단계 - 상기 원주들은 단일 어레이에서 상기 측벽들에 대해 실질적으로 평행 배향으로 상기 트렌치의 길이를 연장함 - ;
    상기 기저층의 폴리머 도메인들을 가교하는 단계;
    상기 가교된 기저층 위에 자기조립하는 원주상 블록 코폴리머를 포함하는 제2막을 형성하는 단계;
    상기 제2막이 상기 가교된 기저층의 대응하는 폴리머 도메인들에 레지스터되는 라멜라 폴리머 도메인들을 형성하기 위해 자기조립하도록 상기 제2막을 어닐링하는 단계; 및
    상기 자기조립된 제2막의 폴리머 도메인들을 가교하는 단계
    를 포함하는 방법.
  24. 기판을 에칭하는 방법으로서,
    에치 마스크를 형성하는 단계 - 상기 단계는,
    기판에서 플로어, 측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립하는 블록 코폴리머를 포함하는 제1막을 형성하는 단계,
    상기 측벽들에 레지스터되고 상기 트렌치의 길이를 연장하는 자기조립된 폴리머 도메인들을 포함하는 기저층을 형성하도록 상기 제1막을 어닐링하는 단계,
    상기 기저층의 폴리머 도메인들을 가교하는 단계,
    상기 가교된 기저층에 대해 자기조립하는 블록 코폴리머를 포함하는 제2막을 형성하는 단계,
    상기 제2막이 상기 기저층의 대응하는 폴리머 도메인들에 레지스터되는 폴리머 도메인들을 형성하기 위해 자기조립하도록 상기 제2막을 어닐링하는 단계,
    상기 자기조립된 제2막의 폴리머 도메인들을 가교하는 단계, 및
    제2 폴리머 도메인에 의해 분리되는 개구부들의 어레이를 형성하도록 제1 폴리머 도메인을 선택적으로 제거하는 단계를 포함함 - ; 및
    상기 에치 마스크의 상기 개구부들을 통해 상기 기판을 에칭하는 단계
    를 포함하는 방법.
  25. 제24항에 있어서,
    상기 개구부들은 종횡비(aspect ratio)가 1:2 내지 1:20인 방법.
  26. 제24항에 있어서,
    상기 개구부들은 폭이 5-50nm인 방법.
  27. 제24항에 있어서,
    상기 기저층 및 상기 제2막은 상기 제1 및 제2 폴리머 도메인의 교호하는 수직 배향된 라멜라들을 포함하고, 상기 제1 폴리머 도메인을 선택적으로 제거하는 단계는 상기 트렌치의 길이를 연장하고 상기 측벽들에 평행한 개구부들의 선형 어레이를 형성하는 방법.
  28. 제24항에 있어서,
    상기 기저층은 상기 제1 폴리머 도메인의 평행 배향된 반원주들을 포함하고 상기 제2막은 상기 제1 폴리머 도메인의 수직 배향된 라멜라들을 포함하며,
    상기 제1 폴리머 도메인을 선택적으로 제거하는 단계는, 상기 트렌치의 길이를 연장하고 상기 측벽들에 평행한 개구부들의 선형 어레이를 형성하도록 상기 제2막의 라멜라 도메인들 및 상기 기저층의 하부 반원주들을 제거하는 단계, 및 상기 트렌치의 플로어를 노출하기 위해 상기 개구부들을 연장하도록 상기 제2 폴리머 도메인의 일부를 제거하는 단계를 포함하는 방법.
  29. 제24항에 있어서,
    상기 기저층 및 상기 제2막은 상기 제2 폴리머의 매트릭스에서 상기 제1 폴리머 도메인의 수직 배향된 원주들을 포함하고, 상기 제1 폴리머 도메인을 선택적으로 제거하는 단계는 원주형 개구부들의 어레이를 형성하도록 상기 원주들을 제거하는 단계를 포함하는 방법.
  30. 기판상의 폴리머 막으로서,
    측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립된 블록 코폴리머 막을 포함하고, 상기 막은 제1 폴리머 블록 및 제2 폴리머 블록의 교호하는 수직 배향된 라멜라 도메인들을 포함하고, 상기 라멜라 도메인들은 상기 폭에 걸쳐 피치 거리가 L0이고 상기 측벽들에 대해 실질적으로 평행 배향으로 상기 트렌치의 길이를 연장하며, 상기 막은 두께가 상기 블록 코폴리머의 적어도 2L0인 폴리머 막.
  31. 기판상의 폴리머 막으로서,
    측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립된 블록 코폴리머 막을 포함하고, 상기 막은 제1 폴리머 블록 및 제2 폴리머 블록의 교호하는 수직 배향된 라멜라 도메인들을 포함하고, 상기 라멜라 도메인들은 상기 폭에 걸쳐 피치 거리가 L0이고 상기 측벽들에 대해 실질적으로 평행 배향으로 상기 트렌치의 길이를 연장하며, 상기 라멜라 도메인들은 폴리머 매트릭스에서 하부의 평행 배향된 반원주들에 레지스터되고, 상기 반원주들은 상기 트렌치의 길이를 연장하고 상기 트렌치의 측벽들 및 플로어에 평행 배향되고, 상기 막은 두께가 상기 블록 코폴리머의 적어도 2L0인 폴리머 막.
  32. 기판상의 폴리머 막으로서,
    측벽들을 갖는 트렌치 내에 자기조립된 블록 코폴리머 막을 포함하고, 상기 막은 제2 폴리머 블록의 매트릭스 내에 제1 폴리머 블록의 복수의 수직 배향된 원주형 도메인들을 포함하고, 상기 원주형 도메인들은 L0의 피치 거리로 상기 트렌치의 길이를 연장하고, 상기 막은 두께가 상기 블록 코폴리머의 적어도 2L0인 폴리머 막.
  33. 제32항에 있어서,
    상기 원주형 도메인들은 6각형 어레이에 있는 폴리머 막.
  34. 제32항에 있어서,
    상기 원주형 도메인들은 단일 어레이에 있는 폴리머 막.
  35. 템플릿(template)으로서,
    플로어, 측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립된 블록 코폴리머 막을 포함하고, 상기 막은 상기 트렌치의 플로어를 노출하고 피치 거리가 L0이고 상기 측벽들에 평행하게 상기 트렌치의 길이를 연장하는 수직 배향된 라멜라 폴리머 도메인들에 의해 분리되는 복수의 선형 개구부를 포함하고, 상기 막은 두께가 상기 블록 코폴리머의 적어도 2L0인 템플릿.
  36. 제35항에 있어서
    폴리머 층의 상기 선형 개구부들은 폭이 5-60nm인 템플릿.
  37. 템플릿으로서,
    측벽들, 플로어, 폭 및 길이를 갖는 트렌치 내에 자기조립된 블록 코폴리머 막을 포함하고, 상기 막은 상기 블록 코폴리머의 폴리머 도메인을 포함하는 복수의 라인을 포함하고, 상기 복수의 라인은 L0의 피치 거리로 상기 폭에 걸치고 상기 트렌치의 길이를 연장하고 상기 트렌치의 플로어를 노출하는 개구부들에 의해 분리되고, 상기 막은 두께가 상기 블록 코폴리머의 적어도 2L0인 템플릿.
  38. 템플릿으로서,
    플로어, 측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립된 블록 코폴리머 막을 포함하고, 상기 막은 상기 트렌치의 플로어를 노출하는 폴리머 매트릭스 내의 복수의 수직 배향된 원주형 개구부들을 포함하고, 상기 원주형 개구부들은 L0의 피치 거리로 상기 트렌치의 길이를 연장하고, 상기 막은 두께가 상기 블록 코폴리머의 적어도 2L0인 템플릿.
  39. 템플릿으로서,
    플로어, 측벽들, 폭 및 길이를 갖는 트렌치 내에 자기조립된 블록 코폴리머 막을 포함하고, 상기 막은 상기 트렌치의 플로어에 의해 지지되고 L0의 피치 거리로 상기 트렌치의 길이를 연장하는 복수의 수직 배향된 원주를 포함하고, 상기 트렌치의 플로어는 상기 원주들 사이에서 노출되고, 상기 막은 두께가 상기 블록 코폴리머의 적어도 2L0인 템플릿.
KR1020097024258A 2007-04-20 2008-04-09 부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장 KR101166619B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/738,169 2007-04-20
US11/738,169 US8372295B2 (en) 2007-04-20 2007-04-20 Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
PCT/US2008/059742 WO2008130847A1 (en) 2007-04-20 2008-04-09 Extensions of self-assembled structures to increased dimensions via a 'bootstrap' self-templating method

Publications (2)

Publication Number Publication Date
KR20100017210A KR20100017210A (ko) 2010-02-16
KR101166619B1 true KR101166619B1 (ko) 2012-07-18

Family

ID=39739559

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097024258A KR101166619B1 (ko) 2007-04-20 2008-04-09 부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장

Country Status (5)

Country Link
US (3) US8372295B2 (ko)
EP (1) EP2146925B1 (ko)
KR (1) KR101166619B1 (ko)
TW (1) TWI351382B (ko)
WO (1) WO2008130847A1 (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8207028B2 (en) 2008-01-22 2012-06-26 International Business Machines Corporation Two-dimensional patterning employing self-assembled material
US8215074B2 (en) 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
US8999492B2 (en) * 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) * 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
CN102983065B (zh) * 2011-09-06 2015-12-16 中芯国际集成电路制造(北京)有限公司 图案、掩模图案形成方法和半导体器件制造方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5764102B2 (ja) * 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9377683B2 (en) * 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
JP5802233B2 (ja) 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US10457088B2 (en) * 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9530733B2 (en) * 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
WO2015112874A1 (en) 2014-01-27 2015-07-30 Tokyo Electron Limited Defect-less direct self-assembly
FR3017395B1 (fr) * 2014-02-11 2017-11-03 Arkema France Procede de controle de l'energie de surface d'un substrat
KR101765387B1 (ko) * 2015-06-24 2017-08-23 서강대학교산학협력단 금속 코아 간 초미세 보이드를 가지는 나노 갭 구조체 및 이를 이용한 분자 검출 장치 및 방법, 선택적 에칭을 통한 상기 나노 갭 구조체의 제조 방법
JP2017111356A (ja) * 2015-12-18 2017-06-22 株式会社東芝 パターン形成方法
US20170255096A1 (en) * 2016-03-02 2017-09-07 Jsr Corporation Pattern-forming method
KR102353786B1 (ko) 2016-12-21 2022-01-19 리지필드 액퀴지션 블록 공중합체의 자기-조립을 위한 신규한 조성물 및 방법
KR102582668B1 (ko) * 2018-10-01 2023-09-25 삼성전자주식회사 집적회로 소자의 제조 방법
CN109712871B (zh) * 2018-12-27 2021-09-21 中国科学院微电子研究所 半导体结构与其制作方法
CN115248469B (zh) * 2022-07-11 2023-09-12 中国科学院上海技术物理研究所 一种长波红外宽波段吸收结构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030077452A1 (en) 2001-07-17 2003-04-24 Guire Patrick E. Self assembling monolayer compositions
US20040169007A1 (en) 2003-02-28 2004-09-02 Melissa Sander Template-assisted nanostructure formation
US20080093743A1 (en) 2006-10-19 2008-04-24 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same

Family Cites Families (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) * 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) * 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
AU4951690A (en) 1988-12-30 1990-08-01 David M. Anderson Stabilized microporous materials and hydrogel materials
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) * 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) * 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
ATE159978T1 (de) * 1992-08-07 1997-11-15 Fujikura Kasei Kk Elektrosensitive zusammensetzung
US5382373A (en) * 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
WO1994012912A1 (en) 1992-11-25 1994-06-09 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for photoresists
US5482656A (en) * 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (ko) * 1993-08-06 1996-03-21 Ciba Geigy Ag
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5538655A (en) * 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) * 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) * 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
DE69516528T2 (de) * 1995-08-04 2000-11-23 Ibm Lithografie oder dünnschicht modifizierung
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP0791856B1 (en) 1996-02-26 2001-10-17 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) * 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) * 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (ja) * 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JP3321392B2 (ja) 1997-08-29 2002-09-03 科学技術振興事業団 二重構造連続多孔体とその製造方法
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
IL136479A0 (en) 1997-12-09 2001-06-14 Univ California Block polymer processing for mesostructured inorganic oxide materials
US6111323A (en) * 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
US6362276B1 (en) 1998-01-07 2002-03-26 Debio Recherche Pharmaceutique S.A. Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
AU742976B2 (en) 1998-03-18 2002-01-17 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
US6310138B1 (en) * 1998-06-05 2001-10-30 Asahi Kasei Kabushiki Kaisha Hydrogenated block copolymer and polypropylene resin composition containing the same
US7074498B2 (en) 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) * 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
DE69930874T2 (de) 1998-11-24 2006-11-02 Dow Global Technologies, Inc., Midland Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix
AU778153B2 (en) * 1998-12-08 2004-11-18 Gene Logic, Inc. Process for attaching organic molecules to silicon
US6413587B1 (en) * 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) * 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
EP2239794A3 (en) 1999-07-02 2011-03-23 President and Fellows of Harvard College Nanoscopic wire-based devices, arrays, and methods of their manufacture
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
AU7094400A (en) * 1999-08-31 2001-03-26 E-Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP2004502554A (ja) * 2000-03-22 2004-01-29 ユニバーシティー オブ マサチューセッツ ナノシリンダー・アレイ
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) * 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) * 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
US6952436B2 (en) 2000-11-14 2005-10-04 Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) * 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) * 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
WO2002056021A2 (en) 2001-01-10 2002-07-18 Symyx Technologies Inc Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) * 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
WO2002073699A2 (en) * 2001-03-14 2002-09-19 University Of Massachusetts Nanofabrication
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
US6924341B2 (en) 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
WO2002081372A2 (en) 2001-04-06 2002-10-17 Carnegie Mellon University A process for the preparation of nanostructured materials
WO2002085639A1 (en) 2001-04-25 2002-10-31 The Trustees Of Columbia University In The City Of New York Edge transfer lithography
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) * 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
JP2005520668A (ja) * 2001-07-09 2005-07-14 プラスティック ロジック リミテッド 溶液に影響される整列
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) * 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030108664A1 (en) * 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
CA2467703A1 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
EP1483427A1 (en) 2002-02-11 2004-12-08 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) * 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) * 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) * 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
US20040142578A1 (en) * 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) * 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
EP1387169B1 (en) 2002-08-02 2006-05-24 Sony Deutschland GmbH Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface
EP2233564A3 (en) * 2002-10-30 2012-11-21 Hitachi, Ltd. Cell culture sheet comprising a functional substrate with a group of columnar micro-pillars and its manufacturing method
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US20040084298A1 (en) * 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
EP1558658B1 (fr) * 2002-11-07 2012-12-19 Rhodia Chimie Copolymere a structure controlee presentant une partie amphotere ou zwitterionique.
US6699797B1 (en) * 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) * 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) * 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
EP2383749A3 (en) 2003-02-12 2012-12-19 Nantero, Inc. Nanofabric articles and methods of making the same
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) * 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
US20060124467A1 (en) * 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US7632544B2 (en) * 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US6989426B2 (en) * 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) * 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
GB0318817D0 (en) * 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
WO2005080983A2 (en) 2003-09-23 2005-09-01 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) * 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (de) 2004-02-10 2005-08-11 Infineon Technologies Ag Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
CN101427182B (zh) 2004-04-27 2011-10-19 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US7244665B2 (en) * 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) * 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
CN101120433B (zh) 2004-06-04 2010-12-08 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法
KR20070029762A (ko) 2004-06-30 2007-03-14 코닌클리케 필립스 일렉트로닉스 엔.브이. 화학적으로 패터닝된 표면을 구비한 소프트 리소그라피스탬프
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) * 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) * 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
EP1657070B1 (en) 2004-11-10 2008-04-23 Sony Deutschland GmbH A stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
US8287957B2 (en) * 2004-11-22 2012-10-16 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) * 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) * 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) * 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
US20110182805A1 (en) 2005-06-17 2011-07-28 Desimone Joseph M Nanoparticle fabrication methods, systems, and materials
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
AU2006278328A1 (en) 2005-08-04 2007-02-15 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) * 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US20070183025A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
ATE392696T1 (de) * 2006-01-18 2008-05-15 Consiglio Nazionale Ricerche Nanometervorrichtung zur messung der leitfähigkeit und quanteneffekte einzelner moleküle sowie verfahren zur herstellung und verwendung
CN101370853B (zh) 2006-01-20 2011-11-16 普雷克托尼克斯公司 静电涂层和包含聚噻吩的制品
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) * 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) * 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) * 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US20080233297A1 (en) * 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US8835581B2 (en) 2012-06-08 2014-09-16 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030077452A1 (en) 2001-07-17 2003-04-24 Guire Patrick E. Self assembling monolayer compositions
US20040169007A1 (en) 2003-02-28 2004-09-02 Melissa Sander Template-assisted nanostructure formation
US20080093743A1 (en) 2006-10-19 2008-04-24 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same

Also Published As

Publication number Publication date
US9142420B2 (en) 2015-09-22
EP2146925A1 (en) 2010-01-27
EP2146925B1 (en) 2013-05-22
US20150380260A1 (en) 2015-12-31
US20120225243A1 (en) 2012-09-06
TWI351382B (en) 2011-11-01
US8372295B2 (en) 2013-02-12
TW200906709A (en) 2009-02-16
US20080286659A1 (en) 2008-11-20
WO2008130847A1 (en) 2008-10-30
KR20100017210A (ko) 2010-02-16

Similar Documents

Publication Publication Date Title
KR101166619B1 (ko) 부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장
US9257256B2 (en) Templates including self-assembled block copolymer films
KR101097557B1 (ko) 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이
US8114300B2 (en) Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8753738B2 (en) Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8101261B2 (en) One-dimensional arrays of block copolymer cylinders and applications thereof
TWI391992B (zh) 具有受限於以均等優先濕潤兩嵌段之上介面之嵌段共聚物膜的熱退火
JP6271598B2 (ja) ブロック共重合体の自己組織化によって基板上にリソグラフィフィーチャを提供する方法
US9458531B2 (en) Method for directed self-assembly (DSA) of block copolymers using guiding line sidewalls

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190710

Year of fee payment: 8