KR101142709B1 - 마스크 에칭 시스템 및 방법 - Google Patents

마스크 에칭 시스템 및 방법 Download PDF

Info

Publication number
KR101142709B1
KR101142709B1 KR1020067010398A KR20067010398A KR101142709B1 KR 101142709 B1 KR101142709 B1 KR 101142709B1 KR 1020067010398 A KR1020067010398 A KR 1020067010398A KR 20067010398 A KR20067010398 A KR 20067010398A KR 101142709 B1 KR101142709 B1 KR 101142709B1
Authority
KR
South Korea
Prior art keywords
layer
processing
critical dimension
trim amount
pattern
Prior art date
Application number
KR1020067010398A
Other languages
English (en)
Other versions
KR20070005921A (ko
Inventor
홍유 유에
아사오 야마시타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20070005921A publication Critical patent/KR20070005921A/ko
Application granted granted Critical
Publication of KR101142709B1 publication Critical patent/KR101142709B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명에 따르면, 상부층으로부터 하부층으로 패턴을 전사하면서, 이 패턴 내에 존재하는 형상부를 횡방향으로 트리밍하는 방법 및 시스템이 개시되어 있다. 패턴 전사는 처리 레시피에 따른 에칭 처리를 이용하여 수행되고, 여기서 상기 처리 레시피 내에서의 하나 이상의 가변 파라미터가 소정의 목표 트림량에 따라 조정된다. 가변 파라미터의 조정은 트림량과 가변 파라미터를 연관시키기 위해 설정된 처리 모델을 이용하여 달성된다.

Description

마스크 에칭 시스템 및 방법{SYSTEM AND METHOD FOR ETCHING A MASK}
본 발명은 마스크 에칭 시스템 및 방법 특히, 에칭에 의해 마스크의 패턴을 횡방향으로 트리밍하면서, 상부층으로부터 마스크층으로 패턴을 전사하는 방법 및 시스템에 관한 것이다.
반도체 공정 중에, (건식) 플라즈마 에칭 처리를 이용하여 실리콘 기판에 패터닝되어 있는 비아 또는 접점 내에서 또는 미세한 라인을 따라 재료를 에칭하거나 제거할 수 있다. 플라즈마 에칭 처리는 일반적으로 처리 챔버 내에서 반도체 기판에 상부 패터닝 보호층 예를 들어, 포토레지스트층을 배치하는 것을 포함하고 있다. 일단 기판을 챔버 내에 배치한 후, 이 챔버 내에 이온가능한 해리성 가스 혼합물을 미리 정해진 유량으로 주입하는 한편, 진공 펌프를 조절하여 대기 처리압으로 되게 한다. 그 후, 존재하는 가스 종류 중 일부가 예를 들어 전자 사이클론 공명(ECR)을 이용하는 마이크로파 전력, 또는 고주파(RF) 전력의 전달을 통해 유도식으로 또는 용량식으로 가열된 전자에 의해 이온화될 때 플라즈마가 형성된다. 또한, 가열된 전자는 대기 가스 종류 중 일부를 해리시켜 노출 표면 화학 에칭에 적합한 반응제 종을 생성한다.
일단 플라즈마가 형성되면, 이 플라즈마에 의해 기판의 선택된 표면이 에칭된다. 기판의 선택된 영역에서 다양한 형상부(예를 들어, 트렌치, 바이어스, 컨택츠, 게이트 등)를 에칭하기 위해 이온 집단과 원하는 반응제의 적절한 농도를 비롯하여 적절한 상태를 달성하도록, 처리를 조정한다. 에칭이 필요한 이러한 기판 재료로는 이산화규소(SiO2), 저유전율 재료(low-k dielectric material), 폴리 실리콘, 질화규소가 있다.
재료 처리 중에, 이러한 형상을 에칭하는 것은 일반적으로 상부층 내에 형성되어 있는 패턴을 각 형상부가 내부에 형성되는 하부층에 전사하는 것을 포함하고 있다. 상부층은 예를 들어, (네거티브 또는 포지티브) 포토레지스트와 같은 감광성 재료를 포함할 수 있다. 일단, 패턴이 상부층으로부터 하부층으로 전사되면, 하부층은 그 자체적으로 또는 상부층과 함께 하부막을 에칭하는 마스크로서 기능을 할 수 있다.
본 발명의 한 가지 양태에 따르면, 기판에 제1 층을 형성하는 단계와; 상기 제1 층에 제2 층을 형성하는 단계와; 상기 제2 층에 패턴을 형성하는 단계로서, 상기 패턴은 제2 층에 제1 임계 치수를 갖는 형상부를 포함하는 것인 패턴을 형성하는 단계와; 상기 제1 임계 치수를 제2 임계 치수로 감소시키도록 목표 트림량을 설정하는 단계와; 상기 목표 트림량과, 이 트림량을 가변 파라미터에 연관시키는 처리 모델을 이용하여 처리 레시피에 대한 가변 파라미터를 결정하는 단계와; 상기 처리 레시피를 이용하여 상기 패턴을 제2 층으로부터 제1 층으로 전사하면서, 상기 제1 층에서 상기 형상부의 제2 임계 치수를 달성하는 단계를 포함하고 있다.
본 발명의 다른 양태에 따르면, 처리 모델 작성 방법은 기판에서 상부층으로부터 하부층으로 제1 형상부 크기를 갖는 패턴을 전사하기 위한 명목 처리 레시피(nominal process recipe)를 규정하는 단계로서, 상기 명목 처리 레시피는 하나 이상의 가변 파라미터와 하나 이상의 상수 처리 파라미터를 포함하는 것인 명목 처리 레시피 규정 단계와; 상기 하나 이상의 가변 파라미터 중 하나 이상의 값에 대한 트림량을 측정함으로써, 상기 하나 이상의 가변 파라미터의 함수로서 트림량 데이타를 축적하는 단계와; 상기 하나 이상의 가변 파라미터의 함수로서 상기 트림량 데이타에 대한 곡선 피트(curved fit)를 결정하는 단계를 포함하고 있다.
본 발명의 또 다른 양태에 따르면, 에칭 시스템은 처리 챔버와; 이 처리 챔버에 연결되어 있고, 기판을 지지하도록 구성되어 있는 기판 홀더와; 상기 처리 챔버에 연결되어 있고, 이 처리 챔버에서 플라즈마를 생성하도록 구성되어 있는 플라즈마 소스와; 상기 처리 챔버에 연결되어 있고, 이 처리 챔버에 처리 가스를 도입하도록 구성되어 있는 가스 주입 시스템과; 상기 처리 챔버, 기판 홀더, 플라즈마 소스 및 가스 주입 시스템 중 하나 이상에 연결되어 있고, 상부층에서 제1 임계 치수를 갖는 형상부를 구비하는 패턴을 상기 기판 위의 하부층에 전사하도록 처리 레시피를 실행하면서, 처리 모델에 의해 설정된 목표 트림량에 따라 상기 제1 임계 치수를 제2 임계 치수로 감소시키도록 구성되어 있는 컨트롤러를 포함하고 있다.
도 1a 및 도 1b에는 적층막의 개략도가 도시되어 있다.
도 2에는 본 발명의 실시예에 따른 플라즈마 처리 시스템의 단순 개략도가 도시되어 있다.
도 3에는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도가 도시되어 있다.
도 4에는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도가 도시되어 있다.
도 5에는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도가 도시되어 있다.
도 6에는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도가 도시되어 있다.
도 7에는 가스비의 함수로서 에칭 속도 데이타가 도시되어 있다.
도 8에는 가스비의 함수로서 추가적인 에칭 속도 데이타가 도시되어 있다.
도 9에는 가스비의 함수로서 도 7 및 도 8에 제시되어 있는 에칭 속도비 데이타가 도시되어 있다.
도 10에는 가스비의 함수로서 2 개의 처리 모델과 에칭 속도비가 도시되어 있다.
도 11에는 가스비의 함수로서 트림량의 데이타가 도시되어 있다.
도 12에는 트림량 데이타를 가스비에 연관시킨 처리 모델이 도시되어 있다.
도 13에는 도 12의 처리 모델과 2차 다항식 및 3차 다항식의 트림량 데이타 이 비교되어 있다.
도 14에는 본 발명의 실시예에 따른 원스텝 마스크 개방 처리를 수행하는 방법이 예시되어 있다.
도 15에는 본 발명의 실시예에 따른 처리 모델을 작성하는 방법이 예시되어 있다.
재료 처리 방법론에 있어서, 패턴 에칭은 포토레지스트와 같은 박층의 감광성 재료를 기판의 상면에 도포한 후, 패터닝하여 에칭 도중에 이 패턴을 하부 박막에 전사하는 마스크를 제공하는 것을 포함하고 있다. 감광성 재료의 패터닝에는 일반적으로 예를 들어, 마이크로 리소그래피 시스템을 사용하여 감광성 재료의 레티클(그리고, 관련된 광학 물품)을 통해 방사선원에 노출되고, 이어서 현상 용매(developing solvent)를 이용하여 감광성 재료의 조사된 영역(포지티브 포토레지스트의 경우) 또는 조사되지 않은 영역(네거티브 레지스트의 경우)을 제거하는 것이 수반된다.
또한, 박막에 소정의 형상부를 에칭하기 위해 다층 마스크가 구현될 수 있다. 예를 들어, 이중층 마스크를 사용하여 박막에 형상부를 에칭하는 경우, 감광성 재료층과 같은 상부 마스크층에 있는 마스크 패턴은 박막에 대한 주 에칭 단계에 앞서 별개의 에칭 단계를 이용하여 하부 마스크층에 전사된다. 예를 들어, 하부 마스크층으로는 유기 반사 방지 코팅(ARC, 또는 바닥 ARC(BARC))과 같은 유기 박막, 무기 박막, 또는 하이브리드 유기-비유기 박막이 있을 수 있다.
박막에 형성되는 형상부의 크기를 감소시키기 위해, 상부 마스크층에 형성되어 있는 마스크 패턴을 하부 마스크층으로 전사하면서, 하부 마스크층을 횡방향으로 트리밍할 수 있다. 예를 들어, 도 1a에는 위에 박막(12)이 적층되어 있는 기판(10)을 포함하는 적층막(11)이 도시되어 있다. 이 적층막(11)은 박막(12)에 형성되어 있는 제1 층(14)을 더 포함하고, 제1 층(14) 상에 제2 층(16)이 형성되어 있다. 제1 층(14) 및 제2 층(16)은 스핀온 증착법(SOD), 및/또는 화학적 기상 증착법(CVD)과 같은 기상 증착법을 사용하여 형성될 수 있다. 상기 양 기법은 모두 재료 증착 기술의 당업자에게 널리 알려져 있다.
이 제2 층(16)은 포토레지스트와 같은 감광성 재료층을 포함할 수 있다. 제2 층(16)은 트랙 시스템을 이용하여 형성될 수 있다. 트랙 시스템은 248 nm 레지스트와, 193 nm 레지스트와, 157 nm 레지스트와, EUV 레지스트와, (상부/하부) 반사 방지 코팅(TARC/BARC), 상부 코팅을 처리하도록 구성될 수 있다. 예를 들어, 트랙 시스템은 도쿄 엘렉트론 가부시키가이샤(TEL)로부터 상업적으로 입수할 수 있는 클린 트랙 액트 8 또는 액트 12 레지스트 코팅 및 현상 시스템(Clean Track ACT 8 or 12 resist coating and developing system)을 포함할 수 있다. 기판에 포토레지스트 막을 형성하는 다른 시스템 및 방법들도 스핀-온 레지스트 기법 기술의 당업자에게는 널리 알려져 있다. 일단 제2 층(16)을 형성하면, 마이크로-리쏘그래피를 이용하여 제2 층(16)에 패턴(20)을 형성할 수 있다. 조사(노광)된 제2 층(16)을 현상한 후에는, 도 1a에 나타낸 바와 같이, 형상부(21)가 제1 임계 치수(critical dimension; CD; 22)를 가진 채 남아 있게 된다.
이제, 도 1b를 참조하면, 건식 플라즈마 에칭과 같은 에칭에 의해 제1 층(14)에 패턴(20)을 전사한다. 에칭 공정 중에, 종방향 에칭(도면 부호 24로 표시함)에 의해 패턴 전사가 완료되고, 횡방향 에칭(도면 부호 26으로 표시)에 의해 형상부(21)를 횡방향 치수로 트리밍하여 제1 임계 치수(22)가 제2 임계 치수(28)가 되도록 한다.
종방향 및 횡방향 에칭 모두를 수행하는 에칭 처리는 원스텝의 처리를 포함하고 있다. 원스텝의 화학 작용에 대한 처리 화학물은 CxFy 함유 가스(여기서, x, y는 1 이상의 정수이다)와, 산소 함유 가스를 포함하고 있다. 예를 들어, CxFy 함유 가스는 CF4, C2F6, C3F6, C4F6, C4F8, 또는 C5F8 혹은 이들 중 2 이상의 조합한 것을 포함할 수 있다. 또한, 예를 들어, 산소 함유 가스는 O2, CO, CO2, NO, NO2, 또는 N2O 혹은 이들 중 2 이상을 조합한 것을 포함할 수 있다. 선택적으로는, 원스텝 처리 화학물은 N2 및/또는 희소 가스(Noble gas; 예를 들어, He, Ar, Kr, Xe, 또는 Ne 혹은 이들 중 2 이상을 조합한 것)와 같은 불활성 가스를 더 포함할 수 있다.
한 가지 실시예에 따르면, 원스텝 에칭 처리를 수행하는 플라즈마 처리 시스템(1)이 도 2에 도시되어 있는데, 이 플라즈마 처리 시스템은 플라즈마 처리 챔버(10)와, 이 플라즈마 처리 챔버(10)에 연결되어 있는 진단 시스템(12)과, 상기 플라즈마 처리 챔버(10)와 진단 시스템(12)에 연결되어 있는 컨트롤러(14)를 포함하고 있다. 컨트롤러(14)는 제1 마스크 층을 에칭하기 위해 전술한 것과 동일한 화학물(즉, CxFy 함유 가스, 산소 함유 가스 등) 중 적어도 하나를 포함하는 처리 레시피를 수행하도록 구성되어 있다. 또한, 컨트롤러(14)는 진단 시스템(12)으로부터 적어도 하나의 종점 신호(endpoint signal)를 수신하도록 구성되어 있고, 이 적어도 하나의 종점 신호를 후처리하여 처리에 대한 종점을 정확하게 결정한다. 예시하는 실시예에 있어서, 도 2에 도시되어 있는 플라즈마 처리 시스템(1)은 재료 처리에 플라즈마를 사용한다. 플라즈마 처리 시스템(1)은 에칭 챔버를 포함하고 있다.
도 3에 도시되어 있는 실시예에 따르면, 플라즈마 처리 시스템(1a)은 플라즈마 처리 챔버(10)와, 처리될 기판(25)이 그 위에 고정되는 기판 홀더(20)와, 진공 펌프 시스템(30)을 포함할 수 있다. 기판(25)은 예를 들어 반도체 기판, 웨이퍼, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는 예를 들어, 기판(25)의 표면에 인접한 처리 영역(15)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있다. 이온화 가스 또는 가스 혼합물이 가스 주입 시스템(도시되어 있지 않음)을 통해 도입되고, 처리 압력이 조정된다. 예를 들어, 제어 기구(도시되어 있지 않음)를 사용하여 진공 펌프 시스템(30)을 조절할 수 있다. 플라즈마를 사용하여, 예정된 재료 처리에 특히 효과가 있는 재료를 생성하고, 및/또는 기판(25)의 노광된 표면으로부터 재료를 제거하는 데에 도움을 줄 수 있다. 플라즈마 처리 시스템(1a)은 200mm 기판, 300 mm 기판, 또는 임의의 크기의 기판을 처리하도록 구성될 수 있다.
기판(25)은 예를 들어, 정전(electrostatic) 클램핑 시스템을 통해 기판 홀더(20)에 고정될 수 있다. 또한, 기판 홀더(20)는 예를 들어, 재순환 냉매 흐름을 포함하는 냉각 시스템을 더 포함할 수 있는데, 상기 재순환 냉매 흐름은 기판 홀더(20)로부터의 열을 수용하여 이 열을 열교환기 시스템(도시되어 있지 않음)으로 전달하거나, 또는 가열 시에는, 열교환기 시스템으로부터 열이 전달된다. 또한, 예를 들어, 배면 가스 시스템을 통해 기판(25)의 배면으로 가스를 전달하여, 기판(25)과 기판 홀더(20) 사이의 가스 틈새 열 전도성을 향상시킬 수 있다. 상승 또는 저하된 온도로 기판의 온도가 제어될 필요가 있을 때, 이러한 시스템을 이용할 수 있다. 예를 들어, 배면 가스 시스템은 2 영역 가스 분배 시스템을 포함할 수 있고, 기판(25)의 중앙과 에지 사이에서 헬륨 가스 틈새 압력이 독립적으로 변화될 수 있다. 다른 실시예에 있어서, 기판 홀더(20) 뿐 아니라 플라즈마 처리 챔버(10)의 챔버 벽과 플라즈마 처리 시스템(1a) 내의 다른 구성 요소에도, 저항성 가열 요소, 또는 열-전기 히터/냉각기와 같은 가열/냉각 요소가 마련될 수 있다.
도 3에 도시되어 있는 실시예에 있어서, 기판 홀더(20)는 전극을 포함할 수 있는데, 이 전극을 통해 고주파(RF) 전력이 처리 공간(15) 내의 처리 플라즈마에 결합된다. 예를 들어, 기판 홀더(20)는 고주파 발생 장치(40)로부터 임피던스 정합 네트워크(impedance match network; 50)를 통한 기판 홀더(20)로의 고주파 전력의 전송을 통해 고주파 전압으로 전기적으로 바이어스될 수 있다. 고주파 바이어스는 전자를 가열하여 플라즈마를 형성 및 유지하는 기능을 할 수 있다. 이러한 구조에 있어서, 시스템은 반응성 이온 에칭(RIE) 반응기로서 작동될 수 있고, 여기서 챔버와 상부 가스 주입 전극은 접지면으로 역할을 할 수 있다. 고주파 바이어스의 주파수는 약 0.1 MHz 내지 100 MHz 사이의 범위에 있을 수 있다. 플라즈마 처리용 고주파 시스템은 당업계에 널리 알려져 있다.
변형례로서, 고주파 전력을 멀티 주파수로 기판 홀더 전극에 인가한다. 또한, 임피던스 정합 네트워크(50)는 반사되는 전력을 감소시켜 플라즈마 처리 챔버(10) 내의 플라즈마로의 고주파 전력의 전달성을 개선하는 역할을 한다. 정합 네트워크 토폴로지(match network topology; 예를 들어, L-타입, π-타입, T-타입 등)와 자동 제어법은 당업자에게 널리 알려져 있다.
진공 펌프 시스템(30)은 예를 들어, 펌핑 속도가 최대 초당 약 5000리터까지 가능한 터보 분자 진공 펌프(TMP)와, 챔버 압력을 조절하는 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 종래의 플라즈마 처리 장치에서는, 초당 약 1000 내지 3000리터의 터보 분자 진공 펌프가 일반적으로 채택된다. 터보 분자 진공 펌프는 일반적으로 약 50 mTorr 미만의 저압 처리에 유용하다. 고압 처리(즉, 약 100 mTorr 이상)에 대해서는, 기계식 부스터 펌프와 건식 러핑 펌프(dry roughing pump)를 사용할 수 있다. 또한, 플라즈마 처리 챔버(10)에는 챔버 압력을 모니터링하는 장치(도시되어 있지 않음)가 연결되어 있을 수 있다. 압력 측정 장치는 예를 들어, 미국 메사추세츠주 앤도버에 소재하는 MKS Instrumensts, Inc로부터 상업적으로 입수할 수 있는 타입 628B 바라트론 앱설루트 커패시턴스 마노미터(Type 628B Baratron absoulute capacitance manometer)일 수 있다.
컨트롤러(14)는 마이크로프로세서와, 메모리와, 디지탈 I/O 포트를 포함하고 있는데, 상기 디지탈 I/O 포트는 플라즈마 처리 시스템(1a)과 통신해 그 플라즈마 처리 시스템에 대한 입력을 기동할 뿐만 아니라 플라즈마 처리 시스템(1a)으로부터의 출력을 모니터링하는 데 충분한 제어 전압을 생성할 수 있다. 또한, 컨트롤러(14)는 고주파 발생 장치(40)와, 임피던스 정합 네트워크(50)와, 가스 주입 시스템(도시되어 있지 않음)과, 진공 펌프 시스템(30)뿐 아니라, 배면 가스 전달 시스템(도시되어 있지 않음), 기판/기판 홀더 온도 측정 시스템(도시되어 있지 않음), 및/또는 정전 클램핑 시스템(도시되어 있지 않음)에 연결되어 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장되어 있는 프로그램을 사용하여 처리 레시피에 따라 플라즈마 처리 시스템(1a)의 전술한 구성요소에 대한 입력을 기동시켜, 마스크층 에칭 방법을 수행할 수 있다. 컨트롤러(14)의 한 가지 예로는 미국 텍사스주 오스틴에 소재하는 델 코포레이션(Dell Corporation)으로부터 입수할 수 있는 델 프리시젼 워크스테이션(DELL PRECISION WORKSTATION) 610TM 이 있다.
진단 시스템(12)은 광학 진단 서브시스템(도시되어 있지 않음)을 포함할 수 있다. 이 광학 진단 서브시스템은 플라즈마로부터 사출된 빛의 강도를 측정하는 (실리콘) 광다이오드와 같은 검출기 또는 광전증배관(photomultiplier tube; PMT)을 포함할 수 있다. 진단 시스템(12)은 협대역 간섭 필터와 같은 광학 필터를 더 포함할 수 있다. 변형례에 있어서, 진단 시스템(12)은 라인 CCD(전하 결합 소자)와, CID(전하 주입 소자) 어레이와, 격자 또는 프리즘과 같은 광분산 장치 중 적어도 하나를 포함할 수 있다. 또한, 진단 시스템(12)은 예를 들어, 미국 특허 제5,888,337호에 기재되어 있는 장치와 같이, 주어진 파장에서 빛을 측정하는 모노크로미터(monochromator; 예를 들어, 격자/검출기 시스템) 또는 광 스펙트럼을 측정하는 스펙트로미터(예를 들어, 회전 격자를 갖는 것)를 포함할 수 있다.
진단 시스템(12)은 피크 센서 시스템즈(Peak Sensor Systems) 또는 베리티 인스트루먼트 인크(Verity Instruments, Inc)로부터 입수할 수 있는 고해상도 옵티컬 에미션 스펙트로스코피(Optical Emission Spectroscopy; OES) 센서를 포함할 수 있다. 이러한 OES 센서는 자외선(UV) 스펙트럼과, 가시광선(VIS) 스펙트럼과, 근적외선(NIR) 스펙트럼에 걸쳐 광범위한 스펙트럼을 가지고 있다. 해상도는 약 1.4 옹스트롬이고 즉, 센서는 240 내지 1000 nm에서 5550 파장을 수집할 수 있다. 예를 들어, OES 센서에는 2048 픽셀 선형 CCD 어레이와 일체화되어 있는 고감도 소형 광 섬유 UVVIS-NIR 스펙트로미터(high sensivity miniature fiber optic UVVIS-NIR spectrometer)가 설치되어 있을 수 있다.
스펙트로미터는 단일 및 다발의 광섬유를 통해 투과된 빛을 수광하고, 여기서 광섬유로부터의 광 출력은 고정식 격자를 사용한 라인 CCD 어레이를 통과하여 분산된다. 전술한 구조와 마찬가지로, 광학 진공창을 통해 사출된 빛이 볼록 구면 렌즈를 통해 광섬유의 입력 단부 상에 집광된다. 소정의 스펙트럼 범위(UV, VIS, 및 NIR)에 맞게 각각 정밀하게 튜닝된 3 개의 스펙트로미터가 처리 챔버의 센서를 형성한다. 각 스펙트로미터는 독립적인 A/D 컨버터를 포함하고 있다. 그리고, 마지막으로, 센서 사용에 따라, 완전 발광 스펙트럼이 0.1 내지 1.0 초마다 기록될 수 있다.
도 4에 도시되어 있는 실시예에 있어서, 플라즈마 처리 시스템(1b)은 예를 들어, 도 2 또는 도 3의 실시예와 유사하고, 도 2 및 도 3을 참조하여 설명한 구성요소에 추가하여, 고정형 또는, 기계식 또는 전기식의 회전형 자기장 시스템(60)을 더 포함하고 있어서, 잠재적으로 플라즈마 밀도를 증가시키고, 및/또는 플라즈마 처리 균일성을 개선시킬 수 있다. 또한, 컨트롤러(14)는 자계 시스템(60)에 연결되어 회전 속도와 자계 강도를 조절할 수 있다. 회전 자계의 구조와 실시는 당업자에게 널리 알려져 있다.
도 5에 도시되어 있는 실시예에 있어서, 플라즈마 처리 시스템(1c)은 예를 들어, 도 2 또는 도 3의 실시예와 유사하고, 고주파 전력이 고주파 발생 장치(72)로부터 임피던스 정합 네트워크(74)를 통해 결합될 수 있는 상부 전극(70)을 더 포함할 수 있다. 상부 전극에 고주파 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 200 MHz의 범위에 있을 수 있다. 또한, 하부 전극에 고주파 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 100 MHz의 범위에 있을 수 있다. 또한, 컨트롤러(14)는 고주파 발생 장치(72)와 임피던스 정합 네트워크(74)에 연결되어 상부 전극(70)에 고주파 전력을 인가하는 것을 제어한다. 상부 전극의 설계와 실시는 당업자에게 널리 알려져 있다.
도 6에 도시되어 있는 실시예에 있어서, 플라즈마 처리 시스템(1d)은 예를 들어, 도 2 및 도 3의 실시예와 유사하고, 고주파 전력이 고주파 발생 장치(82)를 거쳐 임피던스 정합 네트워크(84)를 통해 연결되는 유도 코일(80)을 더 포함할 수 있다. 고주파 전력은 유도 코일(80)로부터 유전체창(도시되어 있지 않음)을 통해 플라즈마 처리 영역(15)으로 유도식으로 결합되어 있다. 고주파 전력을 유도 코일(80)에 인가하기 위한 주파수는 10 MHz 내지 100 MHz의 범위에 있을 수 있다. 마찬가지로, 척 전극(chuck electrode)에 전력을 인가하기 위한 주파수는 0.1 MHz 내지 100 MHz의 범위에 있을 수 있다. 또한, 슬롯형 패러데이 실드(slotted Faraday shield; 도시되어 있지 않음)를 채용하여 유도 코일(80)과 플라즈마 사이의 정전용량결합(capacity coupling)을 감소시킬 수 있다. 또한, 컨트롤러(14)는 고주파 발생 장치(82)와 임피던스 정합 네트워크(84)에 연결되어, 유도 코일(80)에 전력을 인가하는 것을 제어한다. 변형례에 있어서, 유도 코일(80)은 변압기 결합 플라즈마(transformer coupled plasma; TCP) 반응기에서와 같이 위에서부터 플라즈마 처리 영역(15)과 통신하는 스파이럴형 코일(spiral coil) 또는 팬케익형 코일(pancake coil)일 수 있다. 유도식 결합 플라즈마(iductively coupled plasma; ICP) 소스 또는 변압기 결합 플라즈마(TCP) 소스의 구조 및 작용은 당업자에게 널리 알려져 있다.
변형례로서, 전자 사이클론 공명(ECR)을 이용하여, 플라즈마를 형성할 수 있다. 그러나, 다른 실시예에서는 헬리콘 웨이브(Helicon wave)의 여기에 의해 플라즈마가 형성된다. 또 다른 실시예에 있어서는, 표면파를 전파시켜 플라즈마를 형성한다. 전술한 각 플라즈마 소스는 당업자에게 널리 알려져 있다.
한 가지 실시예에 있어서, 원스텝 에칭 처리를 수행하여, 종방향 에칭에 의해 제2 층으로부터 제1 층으로의 패턴 전사를 완료하고, 횡방향 에칭에 의해 에칭 공정에 후속하여 형성되는 형상부에 대한 목표 임계 치수(CD)를 달성하게 된다. 예를 들어, 플라즈마 처리 장치는 도 2 내지 도 6 중 하나에서 설명한 다양한 요소 또는 이들을 조합한 것을 포함할 수 있다.
한 가지 실시예에 있어서, 에칭 방법은 CxFy 함유 가스와 산소 함유 가스를 구비하는 처리 화학물을 포함하고 있다. 예를 들어, 처리 화학물은 CF4와 O2를 포함할 수 있다. 처리 파라미터 공간은 약 1 내지 1000 mTorr의 챔버 압력과, 약 5 내지 1000 sccm 범위의 CF4 처리 가스 유량과, 약 5 내지 1000 sccm 범위의 O2 처리 가스 유량과, 약 200 내지 2500W 범위의 상부 전극[예를 들어, 도 5의 요소(70)] 고주파 바이어스와, 약 10 내지 2500W 범위의 하부 전극[예를 들어, 도 5의 요소(20)] 고주파 바이어스를 포함할 수 있다. 또한, 상부 전극 바이어스 주파수는 약 0.1MHz 내지 200 MHz의 범위에 있을 수 있고, 예를 들어, 60 MHz일 수 있다. 또한, 하부 전극 바이어스 주파수는 약 0.1MHz 내지 100MHz의 범위에서 있을 수 있고, 예를 들어, 2 MHz일 수 있다.
첫번째 예에 있어서, 트림량[제1 임계 치수(22)와 제2 임계 치수(28) 사이의 차이; 도 1a 및 1b 참조]과 가스양 사이의 관계를 형성하기 위해, 처리 모델이 준비된다. 예를 들어, 처리 레시피가 정해지고, 이에 따라 총 처리 가스 유량(즉, CF4 및 O2)과, 챔버 압력과, 상부 전극 상의 고주파 바이어스와, 하부 전극 상의 고주파 바이어스와, 기판 홀더의 온도와, 그리고 챔버의 온도가 일정하게 유지되는 반면, O2 비율은 변경된다. O2 비율은 처리 가스의 전체양(예를 들어, O2의 몰 유량과 CF4의 몰 유량)에 대한 O2 양(예를 들어, O2의 몰 유량)의 비율이다.
도 7에는 O2의 비율의 함수로서 종방향(또는 수직 방향) 에칭 속도가 도시되어 있다. 종방향 에칭 속도는 제1 층(14)의 공지된 두께와 제1 층(14)을 에칭할 때 종점에 도달하는 시간과의 비율을 고려하여 결정할 수 있다. 별표(*)는 데이타를 나타내고, 실선은 데이타에 대한 곡선 피트{curved fit; 예를 들어, 다항식 피트(polynomial fit), 멱수 법칙 피트(power law fit), 또는 지수함수 피트(exponential fit)}를 나타내며, 점선은 예상되는 95% 신뢰 한계를 나타낸다. 도 7의 데이타에 대한 곡선 피트는 ER1(에칭 속도)=3.328x + 0.976(여기서 x는 횡좌표 데이타이다)에 의해 제시된다.
도 8에는 O2의 비율의 함수로서 횡방향 에칭 속도가 도시되어 있다. 종방향 에칭 속도는 측정된 트림량을, 제1 층(14)을 에칭할 때 종점에 도달하는 시간과의 비율을 고려하여 결정될 수 있다. 별표(*)는 데이타를 나타내고, 실선은 곡선 피트(예를 들어, 다항식 피트, 멱수 법칙 피트, 또는 지수함수 피트)를 나타내며, 점선은 예상되는 95% 신뢰 한계를 나타낸다. 도 8의 데이타에 대한 곡선 피트는 ER2(에칭 속도)=1.233x + 0.056에 의해 제시된다.
도 9에는 종방향 에칭 속도에 대한 횡방향 에칭 속도비가 도시되어 있다. 별표(*)는 데이타(즉, 미가공 데이타)를 나타내고, 곡선 피트(예를 들어, 다항식 피트, 멱수 법칙 피트, 또는 지수함수 피트)를 나타내며, 점선은 예상되는 95% 신뢰 한계를 나타낸다. 도 9의 데이타에 대한 곡선 피트는 ERR(에칭 속도비)=(x + 0.035)/(2.999x + 0.685)에 의해 제시된다. 종방향 에칭 속도 데이타(도 7)와 횡방향 에칭 속도 데이타(도 7)의 곡선 피트로부터의 에칭 속도비는 (x + 0.044)/(2.699 + 0.791)(즉, ERR ~ ER2/ER1)으로 표현될 수 있다.
도 10에는 미가공 데이타와, 에칭 속도비의 곡선 피트(즉, 데이타 모델)와, 종방향 및 횡방향 에칭 속도 곡선 피트의 비율(즉, ER 모델)을 비롯한 도 9의 데이타가 도시되어 있다.
원스텝 에칭 처리 중의 트림량(TA; 즉, 제1 임계 치수(22)와 제2 임계 치수(28) 사이의 차이)은 다음의 수식으로 표현될 수 있다.
TA = 2 OE ERlateral(τ/ERlongitudinal) ------ (1)
여기서, OE는 오버에칭의 양(예를 들어, 10% 오버에칭은 OE=1.1)을 나타내고, ERlateral는 횡방향 에칭 속도를 나타내며, ERlongitudinal는 종방향 에칭 속도를 나타내고, τ는 제1 층(14)의 두께를 나타낸다. 수식 (1)을 검토해 보면, 트림량(TA)은 에칭 속도비(ERR)에 정비례한다. 이제 도 11을 참조하면, 트림량 데이타는 O2 비율의 함수로 표현되어 있다. 별표(*)는 데이타(즉, 미가공 데이타)를 나타내고, 실선은 데이타의 곡선 피트를 나타내며, 점선은 예상되는 95% 신뢰 한계를 나타내고 있다. 곡선 피트는 다음과 같다.
TA = (x + a)/(bx + c) ------ (2)
여기서, a, b, c는 상수이다. 도 12에 도시되어 있는 바와 같이, 모델의 원래의 경계(예를 들어, 0.25<02 비율<0.4) 외부에서의 처리 모델에 대한 외삽법에 의해 다항식 피트에 대한 개선을 나타낸다. 예를 들어, 표 1에는 2차 다항식과, 3차 다항식과, 수식 (2)의 형태의 식(즉, ER에 기초한 모델)에 대한 곡선 피트 통계가 예시되어 있다. 곡선 피트 통계에는 예상 R2와, 에러의 평균 제곱근(RSME)과, 최대 예상 에러와, 평균 예상 에러와, 예상 RMSE가 포함되어 있다.
표 1 및 도 13에 도시되어 있는 바와 같이, ER에 기초한 모델은 3차 다항식에 필적한다. 그러나, 이것은 3차 다항식에 의해 표현되는 것과 같은 과잉 피팅(over-fitting)을 나타내지는 않는다.
도 14에는 플로우 차트(100)를 사용하여 원스텝 에칭 공정을 수행하는 방법이 도시되어 있다. 플로우 차트(100)는 기판에 제1 층을 형성하는 단계 110으로 시작하고 있다. 제1 층은 예를 들어, 유기층을 포함하고 있다.
2차 모델 3차 모델 ER에 기초한 모델
예상 R2 0.9802 0.9953 0.9888
RMSE 1.1641 0.6331 0.8752
최대 예상 에러 1.0988 0.7455 0.8987
평균 예상 에러 0.4972 0.4439 0.4023
예상 RMSE 0.3812 0.2468 0.2369
단계 120에서는, 제1 층 상에 제2 층을 형성한다. 제2 층은 예를 들어, 감광성 재료층을 포함할 수 있다. 단계 103에서는 제2 층에 패턴을 형성하는데, 상기 패턴은 제2 층에 제1 임계 치수를 갖는 형상부를 포함할 수 있다. 패턴은 예를 들어, 마이크로 리쏘그래피를 이용하여 형성될 수 있다.
단계 140에서는, 제1 임계 치수를 제2 임계 치수로 트리밍하기 위해 목표 트림량을 설정한다. 단계 150에서는, 목표 트림량과, 이 목표 트림량 데이타와 가변 파라미터를 연관시키는 처리 모델을 이용하여 처리 레시피에 대한 가변 파라미터를 결정한다. 예를 들어, 가변 파라미터는 처리 가스량과, 챔버 압력과, 고주파 전력과, 온도 등을 포함할 수 있다. 또한, 예를 들어, 가스의 양은 질량, 몰수, 질량 유량, 몰 유량, 질량 분율, 몰분율, 부분 압력, 또는 농도 등을 포함할 수 있다. 또한, 예를 들어, 처리 모델은 도 11 내지 13에 도시되어 있는 바와 같이 트림량을 몰분율과 연관시킬 수 있다.
단계 160에서는, 상기 처리 레시피에 따른 에칭 처리를 이용하여 패턴이 제2 층(또는 상부층)으로부터 제1 층(또는 하부층)으로 전사된다. 패턴을 제1 층을 통해 제1 층에 전사하는 동안, 제2 층에 형성된 형상부의 제1 임계 치수는 형상부가 제1 층에 형성됨에 따라 제2 임계 치수로 감소된다.
변형례에 있어서, 이어서 제1 층으로 패턴이 전사되고, 제2 임계 치수가 측정되며, 제1 임계 치수와 제2 임계 치수 사이의 차이가 결정된다. 이 차이를 목표 트림량과 비교하고, 이러한 비교로부터 오프셋(또는 오차)을 결정한다. 그 후, 다른 기판에 대한 새로운 목표 트림량을 선택할 때에, 앞서 수행한 기판에 이어서, 오프셋을 이용하여 새로운 목표 트림량을 조절한다. 예를 들어, 이러한 조정은 아래와 같은 식을 갖는 필터를 사용할 수 있다.
Xnew , a = (1-λ)Xnew + λy (3)
이 식에서, Xnew ,a 는 조정된 새로운 목표 트림량이고, Xnew 는 새로운 목표 트림량이며, y는 오프셋이고, λ는 필터 상수이다.(0<λ<1)
이제 도 15를 참조하여, 처리 모델을 준비하는 방법을 설명하겠다. 이 방법은 기판에서 상부층으로부터 하부층으로 제1 형상부를 갖는 패턴을 전사하는 명목 처리 레시피(nominal process recipe)를 규정하는 단계 210으로 시작되는 플로우 차트를 포함하고 있는데, 상기 명목 처리 레시피는 적어도 하나의 가변 파라미터와 적어도 하나의 일정한 파라미터를 포함하고 있다.
단계 220에서, 트림량 데이타는 하나 이상의 가변 파라미터에 대한 트림량을 측정함으로써, 하나 이상의 가변 파라미터의 함수로서 축적된다. 단계 230에서, 하나 이상의 가변 파라미터의 함수로서의 트림량 데이타에 대한 곡선 피트가 결정되는 것이다. 예를 들어, 곡선 피트는 y = (x+a)/(bx+c)라는 수식을 포함할 수 있는데, 여기서 a, b, c는 상수이고, x는 하나 이상의 가변 파라미터이며, y는 트림량이다.
비록, 본 발명의 소정의 실시예만을 자세히 설명하였지만, 당업자라면 본 발명의 새로운 교시와 장점으로부터 실질적으로 벗어나지 않는 한 실시예에 많은 변형이 있을 수 있다는 것을 쉽게 이해할 것이다. 따라서, 이러한 모든 변형례는 본 발명의 보호범위 내에 포함되는 것으로 의도된 것이다.

Claims (20)

  1. 원스텝 (one-step) 마스크 개방 처리를 수행하는 방법으로서,
    기판에 제1 층을 형성하는 단계와;
    상기 제1 층에 제2 층을 형성하는 단계와;
    상기 제2 층에 패턴을 형성하는 단계로서, 상기 패턴은 제2 층에 제1 임계 치수를 갖는 형상부를 포함하는 것인 패턴을 형성하는 단계와;
    상기 제1 임계 치수를 제2 임계 치수로 감소시키도록 목표 트림량을 설정하는 단계와;
    상기 목표 트림량과, 이 트림량을 가변 파라미터에 연관시키는 처리 모델을 이용하여, 처리 레시피(process recipe)에 대한 가변 파라미터를 결정하는 단계와;
    상기 제1 층에서 상기 형상부의 제2 임계 치수를 얻으면서, 상기 처리 레시피를 이용하여 상기 패턴을 제2 층으로부터 제1 층으로 전사하는 단계
    를 포함하는 원스텝 마스크 개방 처리 수행 방법.
  2. 제1항에 있어서, 상기 목표 트림량 설정 단계는 상기 제1 임계 치수와 제2 임계 치수 사이의 차이를 결정하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  3. 제1항에 있어서, 상기 가변 파라미터를 결정하는 단계는 제1 처리 가스의 양, 제2 처리 가스의 양, 상기 제1 처리 가스와 제2 처리 가스의 총량, 챔버 압력, 하나 이상의 고주파(RF) 전력, 또는 이들 중 2 이상의 조합을 설정하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  4. 제3항에 있어서, 상기 가변 파라미터를 결정하는 단계는 CF4의 유량, O2의 유량, 챔버 압력, 상부 전극에 대한 고주파 전력, 하부 전극에 대한 고주파 전력, 또는 이들 중 2 이상의 조합을 설정하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  5. 제3항에 있어서, 상기 가변 파라미터를 결정하는 단계는 상기 처리 모델로부터 상기 제1 처리 가스의 양을 결정하는 단계와, 상기 제1 처리 가스의 양과 상기 제1 처리 가스 및 제2 처리 가스의 총량으로부터 상기 제2 처리 가스의 양을 결정하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  6. 제1항에 있어서, 상기 가변 파라미터를 결정하는 단계는 트림량 데이타(y)를 수식 y = (x+a)/(bx+c){여기서, a,b,c는 상수이다}의 가변 파라미터(x)에 연관시키는 처리 모델을 사용하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  7. 제1항에 있어서, 상기 제1 층을 형성하는 단계는 스핀-온 증착법과 기상 증착법 중 한쪽 또는 양쪽을 이용하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  8. 제1항에 있어서, 상기 제1 층을 형성하는 단계는 유기층을 형성하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  9. 제1항에 있어서, 상기 제2 층을 형성하는 단계는 스핀-온 증착법과 기상 증착법 중 한쪽 또는 양쪽을 이용하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  10. 제1항에 있어서, 상기 제2 층을 형성하는 단계는 감광성 재료층을 형성하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  11. 제1항에 있어서, 상기 제2 층에 패턴을 형성하는 단계는 마이크로-리쏘그래피를 이용하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  12. 제1항에 있어서, 상기 패턴을 제2 층으로부터 제1 층으로 전사하는 단계는 상기 처리 레시피에 따른 건식 플라즈마 에칭을 이용하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  13. 제1항에 있어서, 상기 패턴을 제2 층으로부터 제1 층으로 전사하는 것은 종방향 에칭에 의해 달성되고, 상기 제1 임계 치수로부터 제2 임계 치수를 얻는 것은 횡방향 에칭에 의해 달성되는 것인 원스텝 마스크 개방 처리 수행 방법.
  14. 제13항에 있어서, 상기 종방향 에칭과 횡방향 에칭은 동시에 수행되는 것인 원스텝 마스크 개방 처리 수행 방법.
  15. 제1항에 있어서,
    상기 패턴을 전사한 후, 상기 형상부의 제2 임계 치수를 측정하는 단계와;
    상기 목표 트림량을 상기 제1 임계 치수와 제2 임계 치수 사이의 차이와 비교하는 단계와;
    상기 비교 단계로부터 오프셋을 결정하는 단계와;
    다른 기판에 대한 새로운 목표 트림량을 설정하는 단계와;
    상기 오프셋을 이용하여 상기 새로운 목표 트림량을 조정하는 단계
    를 더 포함하는 원스텝 마스크 개방 처리 수행 방법.
  16. 제15항에 있어서, 상기 새로운 목표 트림량을 조정하는 단계는 필터를 사용하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  17. 제16항에 있어서, 상기 필터를 사용하는 단계는 수식 xnew,a = (1-λ)xnew + λy{여기서, xnew,a 는 조정된 새로운 트림량이고, xnew 는 새로운 트림량이며, λ는 필터 상수이고, y는 오프셋이다} 의 필터를 이용하는 단계를 포함하는 것인 원스텝 마스크 개방 처리 수행 방법.
  18. 기판에서 상부층으로부터 하부층으로 제1 형상부 크기를 갖는 패턴을 전사하기 위한 명목 처리 레시피(nominal process recipe)를 규정하는 단계로서, 상기 명목 처리 레시피는 하나 이상의 가변 파라미터와 하나 이상의 상수 파라미터를 포함하는 것인 명목 처리 레시피 규정 단계와;
    상기 하나 이상의 가변 파라미터 중 하나 이상의 값에 대한 트림량을 측정함으로써, 상기 하나 이상의 가변 파라미터의 함수로서 트림량 데이타를 축적하는 단계와;
    상기 하나 이상의 가변 파라미터의 함수로서 상기 트림량 데이타에 대한 곡선 피트(curved fit)를 결정하는 단계
    를 포함하는 처리 모델 작성 방법.
  19. 제18항에 있어서, 상기 곡선 피트를 결정하는 단계는 수식 y = (x+a)/(bx+c){여기서, a,b,c는 상수이고, x는 하나 이상의 가변 파라미터이며, y는 트림량이다}을 이용하여 상기 가변 파라미터의 함수로서 상기 트림량 데이타에 대한 곡선 피트를 결정하는 단계를 포함하는 것인 처리 모델 작성 방법.
  20. 처리 챔버와;
    이 처리 챔버에 연결되어 있고, 기판을 지지하도록 구성되어 있는 기판 홀더와;
    상기 처리 챔버에 연결되어 있고, 이 처리 챔버에서 플라즈마를 생성하도록 구성되어 있는 플라즈마 소스와;
    상기 처리 챔버에 연결되어 있고, 이 처리 챔버에 처리 가스를 도입하도록 구성되어 있는 가스 주입 시스템과;
    상기 처리 챔버, 기판 홀더, 플라즈마 소스, 가스 주입 시스템, 또는 이들 중 2 이상의 조합체에 연결되어 있고, 상부층에서 제1 임계 치수를 갖는 형상부를 구비하는 패턴을 상기 기판 위의 하부층에 전사하도록 처리 레시피를 실행하면서, 처리 모델에 의해 설정된 목표 트림량에 따라 상기 제1 임계 치수를 제2 임계 치수로 감소시키도록 구성되어 있는 컨트롤러
    를 포함하는 에칭 시스템.
KR1020067010398A 2004-03-31 2005-02-08 마스크 에칭 시스템 및 방법 KR101142709B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/813,570 US6893975B1 (en) 2004-03-31 2004-03-31 System and method for etching a mask
US10/813,570 2004-03-31
PCT/US2005/004070 WO2005104217A2 (en) 2004-03-31 2005-02-08 System and method for etching a mask

Publications (2)

Publication Number Publication Date
KR20070005921A KR20070005921A (ko) 2007-01-10
KR101142709B1 true KR101142709B1 (ko) 2012-05-03

Family

ID=34574882

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067010398A KR101142709B1 (ko) 2004-03-31 2005-02-08 마스크 에칭 시스템 및 방법

Country Status (7)

Country Link
US (2) US6893975B1 (ko)
EP (1) EP1730769B1 (ko)
JP (1) JP2007531054A (ko)
KR (1) KR101142709B1 (ko)
CN (1) CN100511621C (ko)
TW (1) TWI270121B (ko)
WO (1) WO2005104217A2 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US8075732B2 (en) * 2004-11-01 2011-12-13 Cymer, Inc. EUV collector debris management
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7291285B2 (en) * 2005-05-10 2007-11-06 International Business Machines Corporation Method and system for line-dimension control of an etch process
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
CN101296554B (zh) * 2008-06-19 2011-01-26 友达光电股份有限公司 等离子体处理装置及其上电极板
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8236700B2 (en) * 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8334083B2 (en) 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
JP2016529736A (ja) * 2013-08-27 2016-09-23 東京エレクトロン株式会社 ハードマスクを横方向にトリミングする方法
US9159561B2 (en) 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
CN105609415B (zh) * 2015-12-25 2018-04-03 中国科学院微电子研究所 一种刻蚀方法
KR102576706B1 (ko) * 2016-04-15 2023-09-08 삼성전자주식회사 반도체 소자의 제조 방법
US11675274B2 (en) * 2017-02-24 2023-06-13 Asml Netherlands B.V. Etch bias characterization and method of using the same
CN109950140B (zh) * 2019-04-18 2021-11-05 上海华力微电子有限公司 一种自对准双层图形的形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100270588B1 (ko) * 1996-03-08 2000-12-01 니시무로 타이죠 노광용 마스크와 그 제조방법 및 노광용 마스크의 패턴 데이타 작성 방법
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0394597A1 (en) * 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6235609B1 (en) * 2000-04-03 2001-05-22 Philips Electronics North America Corp. Method for forming isolation areas with improved isolation oxide
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6716570B2 (en) * 2002-05-23 2004-04-06 Institute Of Microelectronics Low temperature resist trimming process
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
US6849151B2 (en) * 2002-08-07 2005-02-01 Michael S. Barnes Monitoring substrate processing by detecting reflectively diffracted light
US20050081781A1 (en) * 2003-10-17 2005-04-21 Taiwan Semiconductor Manufacturing Co. Fully dry, Si recess free process for removing high k dielectric layer
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100270588B1 (ko) * 1996-03-08 2000-12-01 니시무로 타이죠 노광용 마스크와 그 제조방법 및 노광용 마스크의 패턴 데이타 작성 방법
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법

Also Published As

Publication number Publication date
EP1730769B1 (en) 2016-07-06
TWI270121B (en) 2007-01-01
KR20070005921A (ko) 2007-01-10
JP2007531054A (ja) 2007-11-01
TW200537598A (en) 2005-11-16
CN1906747A (zh) 2007-01-31
WO2005104217A3 (en) 2005-12-29
WO2005104217A2 (en) 2005-11-03
US6893975B1 (en) 2005-05-17
CN100511621C (zh) 2009-07-08
US20050221619A1 (en) 2005-10-06
EP1730769A2 (en) 2006-12-13

Similar Documents

Publication Publication Date Title
KR101142709B1 (ko) 마스크 에칭 시스템 및 방법
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
KR101220073B1 (ko) 기판 상의 실리콘층을 에칭하는 방법, 기판 상의 실리콘층을 에칭하기 위한 플라즈마 처리 시스템 및 컴퓨터 판독가능한 매체
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
US20070032087A1 (en) Damage-free ashing process and system for post low-k etch
JP2007529899A (ja) エッチング特性を改良するためのハードマスクを処理する方法およびシステム。
JP4594235B2 (ja) Arc層をエッチングする方法
KR100989107B1 (ko) 다층 포토레지스트 건식 현상을 위한 방법 및 장치
KR20070051846A (ko) 게이트 스택 에칭을 위한 방법 및 시스템
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
TWI390627B (zh) 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統
US20050136666A1 (en) Method and apparatus for etching an organic layer
US20070056927A1 (en) Process and system for etching doped silicon

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190418

Year of fee payment: 8