TWI390627B - 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統 - Google Patents

利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統 Download PDF

Info

Publication number
TWI390627B
TWI390627B TW096109695A TW96109695A TWI390627B TW I390627 B TWI390627 B TW I390627B TW 096109695 A TW096109695 A TW 096109695A TW 96109695 A TW96109695 A TW 96109695A TW I390627 B TWI390627 B TW I390627B
Authority
TW
Taiwan
Prior art keywords
plasma processing
forming
gas
plasma
etching
Prior art date
Application number
TW096109695A
Other languages
English (en)
Other versions
TW200802594A (en
Inventor
Vaidyanathan Balasubramaniam
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200802594A publication Critical patent/TW200802594A/zh
Application granted granted Critical
Publication of TWI390627B publication Critical patent/TWI390627B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統 相關申請案之交互參照
本發明係關於申請中之美國專利申請案第10/640577,名稱為”METHOD AND APPARATUS FOR MULTILAYER PHOTORESIST DRY DEVELOPMENT”,而申請於西元2003年8月14日。以及申請中之美國專利申請案第10/736782,名稱為”METHOD AND APPARATUS FOR BILAYER PHOTORESIST DRY DEVELOPMENT”以及申請於西元2003年12月17日。這些內容皆作為參考包含於其中。
本發明係關於以電漿處理基板的方法與設備,尤其是關於多層遮罩乾式顯影的方法。
半導體處理過程中,一(乾式)電漿蝕刻製程可被用以沿著細線移除或蝕刻材料,或者在矽基板上之圖案化之介層洞或接觸窗內移除或蝕刻材料。電漿蝕刻製程一般包含:在處理腔裡,以一覆蓋於其上圖案化之保護層,如光阻層,定位一半導體基板上。一旦該基板被置於處理腔裡,一可離子化解離氣體混合物以一預先指定的流率導入該腔室中,而此時真空泵被調節至達到周圍製程壓力。
然後,當出現的一部份氣體種類是由下述電子離子化時形成電漿,該電子係藉由電感式或電容式或是使用如電子迴旋共振(ECR)之微波功率而進行射頻(RF)功率之轉換所加熱。此外,熱電子用以使某些周圍氣體種類解離,並且製造適合於暴露表面蝕刻化學物之反應物種類。一旦電漿形成,則被選擇的基板表面會被電漿蝕刻。將該製程調整而達到適當的條件,包含理想反應物與離子總數之適當濃度,以蝕刻在基板的選擇區域中之不同形狀(如溝、介層洞、接觸窗等等)。蝕刻所需的基板材料包含二氧化矽(SiO2 )、低介電常數材料、多矽晶以及氮化矽。
本發明係關於基板之電漿處理的方法與設備,以及多層光阻乾式顯影的方法與設備。也係關於多層遮罩本身。
根據一實施例,提出一形成蝕刻材料用多層遮罩之方法,以及一具有程式指令之電腦可讀取媒體,該電腦指令使電腦系統控制處理腔而形成蝕刻材料用多層遮罩。一有機層形成於該材料上。一感光遮罩層形成於該有機層上。一圖案形成於該感光遮罩層中。藉由使用包含一或多種氣體之製程氣體蝕刻該有機層,而將該感光遮罩層中之該圖案轉移到該有機層,該一或多種氣體包括:氮與氫;具有碳氫化合物作為初期成分之第一鈍化氣體;以及具有含氧氣體作為初期成分之第二鈍化氣體。
根據其他實施例,為了將圖案藉由蝕刻從感光遮罩層轉移到有機層,用來蝕刻基板上有機層之電漿處理系統包含:一電漿處理腔,以促使電漿藉製程氣體形成;一控制器,連接到電漿處理腔,用以利用製程氣體來實施製程配方,其中該製程氣體包含一或多種氣體,該一或多種氣體包括:氮與氫;具有碳氫化合物作為初期成分之第一鈍化氣體;以及具有含氧氣體作為初期成分之第二鈍化氣體。
根據又其他實施例,一多層遮罩包含:一有機抗反射塗層(ARC);一感光層,置於該抗反射塗層之上,因此感光層與抗反射塗層定義了一形體;一第一鈍化層,置於該形體的側壁之上;一第二鈍化層,配置於一部份感光層之上。
接下來的描述係以說明為目的,並非限制之目的,如電漿處理系統的特定幾何形狀以及各種製程說明之特殊細節係敘述如下。然而吾人需明瞭本發明也許會以其他不同於這些細節的實施例被實行。
在材料處理方法學裡,圖案蝕刻包含把如光阻之感光材料薄層施加到後來圖案化的基板上表面,以在蝕刻期間提供遮罩來轉移此圖案到基板上下層的薄膜。感光材料的圖案形成通常包含:藉由輻射源經過下述感光材料之初縮遮罩(reticle)(以及伴隨的光學元件)而曝光,該感光材料使用如一顯微蝕刻系統,接著使用顯影溶劑而移除感光材料照射區(如在正光阻的情形下),或是感光材料非照射區(如在負光阻的情形下)。多層遮罩可用來在薄膜上蝕刻圖案,如圖1A-C所示,一多層遮罩6可被用作一遮罩以蝕刻如基板5上之薄膜4之材料,該多層遮罩6包含:具有使用習知蝕刻技術形成之圖案2之感光層3,如含矽感光遮罩層;以及如有機抗反射塗層(ARC)之有機層7,其中,在對薄膜4之主要蝕刻步驟進行之前,感光層3中的遮罩圖案2藉使用獨立的蝕刻步驟被轉換到有機層7。
在一實施例中,用以蝕刻有機層7之製程氣體包含一或多種氣體,該一或多種氣體包括:氮與氫;具有碳氫化合物作為初期成分之第一鈍化氣體,能促使有機層側壁鈍化;以及具有含氧氣體作為初期成分之第二鈍化氣體,能促使至少一部份感光層鈍化。例如,包含一或多種氣體之製程氣體包括作為初期成分的N2 與H2 或氨(NH3 ),或是其組合,且該一或多種氣體具有氮與氫。此外,舉例來說,第一鈍化氣體能包含C2 H4 、CH4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 或C6 H12 ,或是上述兩個或多種的任何組合作為初期成分。而且,第二鈍化氣體能包含例如O2 、CO、CO2 、NO、NO2 或N2 O,或是上述兩個或多種的組合作為初期成分。雖然本實施例以上係描述基板5上薄膜4之蝕刻,但本實施例能是對於具有薄膜4的基板5或沒有薄膜4的基板5本身之蝕刻,或是任何其他材料之蝕刻。
根據一實施例,描述於圖2之電漿處理系統1包含:一電漿處理腔10;一連接電漿處理腔10之診斷系統12;以及一與診斷系統12和電漿處理腔10連接之控制器14。控制器14用以執行一製程配方,該製程配方包含至少一種上述相關之化學物以蝕刻有機層。此外,將控制器14配置以接受至少一來自診斷系統12之終點信號,並且後處理至少一終點信號以精確決定製程終點。在示例性的實施例裡,圖2的電漿處理系統1使用電漿以處理材料。電漿處理系統1可包含一蝕刻腔。
根據圖3的本實施例,電漿處理系統1a可包含:電漿處理腔10;基板托架20;附於基板托架20上之即將處理的基板25;以及真空泵系統30。基板25可為半導體基板、晶圓片或液晶顯示器。電漿處理腔10可以被用以促使在鄰近基板25表面之處理區15生成電漿。可離子化氣體或氣體混合物經由一氣體注入系統(未表示出)導入並且調整製程壓力。舉例來說,一控制機構(為未表示出)可被用來調整真空泵系統30。電漿可用以產生對於預定材料製程之特定的材料,而且/或幫助從基板25的曝光表面移除材料。電漿處理系統1a能用以處理任何尺寸的基板,如200mm基板、300mm基板或更大基板。
基板25可以藉由靜電箝位系統而固定於基板托架20,而且,基板托架20可更包含具有再循環冷卻流之冷卻系統,該再循環冷卻流從基板托架20接受熱,然後轉移到熱交換系統(未表示出),或是當生熱時,從熱交換系統轉移熱。而且氣體可以經由背側氣體系統被送到基板25的背側,以改善基板25與基板托架20間之氣體對氣體的熱導,這樣的系統可以利用於在提昇或降低溫度的情況下基板溫度控制之時。舉例來說,背側氣體系統包含一兩區氣體分配系統,其中,基板25中心及邊緣間的氦氣隙壓力可以獨立被改變。在其他的實施例中,加熱/冷卻元件,如電阻式加熱元件,或是熱電加熱器/冷卻器,可以包含在基板托架20裡,也可以包含在電漿處理腔10的腔壁以及電漿處理系統1a中的任何其他元件裡。
在圖3的實施例裡,基板托架20可包含一電極,射頻功率經過此電極連接到處理區15的處理電漿。舉例來說,基板托架20可以藉由從射頻產生器40經過任意阻抗匹配網絡50傳送射頻功率到基板托架20,而被電性偏壓於射頻電壓。射頻偏壓可用來加熱電子以形成與保持電漿。在此構造裡,該系統可如一反應性離子蝕刻(RIE)反應器操作,其中該腔室與上層氣體注入電極作為地表面。射頻偏壓的典型頻率範圍可以從0.1MHz到100MHz。電漿處理之射頻系統廣為熟習此技藝者所知悉。
另外,在多頻率狀況下,射頻功率施加於基板托架電極。而且,阻抗匹配網絡50用以藉由降低反射功率改善射頻功率轉移到電漿處理腔10中之電漿的情形。匹配網絡拓樸(如L型、π型、T型等等)與自動控制方法廣為熟習此技藝者所知悉。
真空泵系統30可包含一能夠將渦輪速度提昇至每秒5000公升(以及更高)的渦輪分子真空泵(TMP)與一調節腔壓的閘閥。在利用於乾式電漿蝕刻的習知電漿處理裝置中,能使用每秒1000到3000公升的TMP。複數之TMP可用於一般低於50mTorr之低壓處理。對高壓處理(即高於100 mTorr)的狀況,則可以使用機械升壓泵與乾式粗抽泵。而且,一腔壓監控裝置(未表示出)可連接至電漿處理腔10。舉例來說,腔壓測量裝置可為一628B型Baratron絕對電容壓力計,市場上可從MKS儀器股份有限公司(Andover,MA)購得。
控制器14包含一微處理機、記憶體與一數位I/O埠,該數位I/O埠能產生控制電壓,且足以連通並啟動對電漿處理系統1a的輸入,也監控來自電漿處理系統1a的輸出。而且控制器14能與射頻產生器40、阻抗匹配網絡50、氣體注入系統(未表示出)、真空泵系統30、背側氣體傳送系統(未表示出)、基板/基板托架溫度測量系統(未表示出)以及/或靜電箝位系統(未表示出)連接並交換訊息。存放在記憶體裡的程式能利用來根據製程方法建立輸入至前述之電漿處理系統1a元件,以執行蝕刻有機ARC層之方法。一控制器14範例係為一DELL PRECISION WORKSTATION 610TM ,可從德州奧斯汀Dell公司購得。
控制器14可設置在對應於電漿處理系統1a附近位置,或藉由網際網路或內部網路設置在對應於電漿處理系統1a遠距的位置。於是控制器14可使用直接連接、內部網路或者網際網路三者至少一者與電漿處理系統1a交換資料。控制器14可以連接到顧客部(即裝置製造者等)的內部網路,或是連接到賣主部(即設備廠商等)的內部網路。此外,另一電腦(即控制器、伺服器等)可以經由直接連接、內部網路或者網際網路三者至少一者來存取控制器14以交換資料。
診斷系統12能包含一光學診斷系統(未表示出)。該光學診斷系統可以包含如(矽)光電二極體或光倍增管(PMT)之檢測器以測量從電漿射發出的光強度。診斷系統12更可包含如窄頻干涉濾光器之濾光器。在另一實施例中,診斷系統12可以包含一線陣CCD(電荷耦合元件),一CID(電荷注入元件)陣列,或是一如光柵或稜鏡之散光裝置。此外,診斷系統12可以包含一單色器(如光柵/檢測器系統)以測量於特定波長範圍的光,或是包含一光譜儀(如具有旋轉光柵)以測量光譜,例如美國專利第5888337號所描述的裝置,其內容參考合併於此。
診斷系統12能包含一如來自於Peak Sensor Systems或Verity儀器股份有限公司之高解析光發射譜(OES)感應器。這樣一個OES感應器能具有延伸至紫外線(UV)、可見光(VIS)以及近紅外線(NIR)的各式光譜。其解析度大概為1.4埃(Angstroms),所以該感應器能收集自240到約1000nm之間大概5550種波長。舉例來說,該OES感應器可配備高感度微型光纖UV-VIS-NIR光譜儀,該光譜儀依次與2048畫素的線陣CCD陣列結合。
光譜儀接收經由單一光纖以及光纖束傳遞的光線,其中自光纖輸出的光線穿過使用固定光柵的線陣CCD陣列產生色散。相似於上述構造,經過一光學真空窗之光線可經由球面凸透鏡被聚焦到光纖輸入端上。三個光譜儀形成一處理腔感應器,且每一個光譜儀特別調到特定的光譜範圍(UV、VIS與NIR)。每一個光譜儀包含一獨立類比/數位訊號轉換器。而且最後藉著感應器的使用,可於每0.1到1.0秒紀錄一完整的發射光譜。
圖4所示之實施例中,電漿處理系統1b相似於圖2或3的實施例,並且為了能增加電漿密度以及/或改善電漿處理的一致性,電漿處理系統1b除了包含參考圖2或3所描述的元件,更包含固定的,或者物理或電子的旋轉磁場系統60。又,將控制器14連接磁場系統60以調節旋轉速度以及場強度。旋轉磁場的設計與實施為熟習此技藝者廣知。
在圖5所示之實施例中,電漿處理系統1c相似於圖2或3的實施例,且更包含一上電極70,且射頻功率從射頻產生器72經過一光學阻抗匹配網絡74被連接到該上電極70。施加於該上電極之射頻功率之典型頻率範圍從約0.1MHz到約200MHz。此外,施加於下電極之功率之典型頻率範圍從約0.1MHz到約100MHz。再者,將控制器14與射頻產生器以及阻抗匹配網絡74相連接以控制施加於該上電極70之射頻功率。上電極的設計與實施為熟習此技藝者廣知。
在圖6所示之實施例中,電漿處理系統1d相似於圖2及3的實施例,且更包含一感應線圈80,並且射頻功率藉由射頻產生器82通過光學阻抗匹配網絡84而連接到感應線圈80。射頻功率從感應線圈80通過一介質窗(未表示出)而感應連接到電漿處理區15。射頻功率施加於感應現圈80的典型頻率範圍可從約10MHz到約100MHz。同樣的,施加於夾頭電極的功率之典型頻率範圍可從約0.1MHz到約100MHz。此外,一有槽法拉第屏障(未表示出)可被用於降低感應現圈80與電漿之間的電容耦合。再者,為了控制使用到感應現圈80的功率,控制器14連接到射頻產生器82以及阻抗匹配網絡84。在另外的實施例中,感應現圈80可以是”螺狀”線圈或”平繞”線圈,當在變壓耦合電漿(TCP)反應器裡,該線圈與來自上述的電漿處理系統15連通。感應耦合電漿(ICP)源以及變壓耦合電漿(TCP)源的設計及使用為熟習本技藝者廣知。
另外,電漿可使用電子迴旋共振(ECR)形成。在其他實施例中,電漿係自螺旋波的發射而形成。再另外的實施例裡,電漿係從表面波擴散形成。上述之每一電漿源皆廣為熟習本技藝者知悉。
以下的討論將呈現使用電漿處理裝置之有機層蝕刻方法。舉例來說,電漿處理裝置包含多種如圖2到圖6之元件,以及其組合。
在一實施例中,有機層蝕刻方法包含使用以下材料作為初期成分,包含:NH3 ;碳氫化合物氣體,如C2 H4 、CH4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、C6 H12 等等或上述組合;以及含氧氣體,如O2 、CO、CO2 、NO、NO2 、N2 O等等或上述組合。舉例來說,一製程參數空間可包含:約20到約1000mTorr的腔壓;範圍從約50到約1000sccm之NH3 製程氣體流率;範圍從約5到約100sccm之碳氫化合物製程氣體流率;範圍從約5到約100sccm之含氧氣體流率;範圍從約500到約2000W之上電極(如圖5之元件70)射頻偏壓;以及範圍從約10到約500W之下電極(如圖5之元件20)射頻偏壓。上電極偏壓頻率範圍也可從約0.1MHz到約200MHz,如約60MHz。此外,下電極偏壓頻率範圍可從約0.1MHz到約100MHz,如約2MHz。
另一實施例中,有機層蝕刻方法包含使用以下材料作為初期成分,包含:N2 與H2 ;碳氫化合物氣體,如C2 H4 、CH4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、C6 H12 等等或任何上述組合;以及含氧氣體,如O2 、CO、CO2 、NO、NO2 、N2 O等等或任何上述組合。舉例來說,製程參數空間可包含:約20到約1000mTorr的腔壓;範圍從約50到約1000sccm之N2 製程氣體流率;範圍從約50到約1000sccm之H2 製程氣體流率;範圍從約5到約100sccm之碳氫化合物製程氣體流率;範圍從約5到約100sccm之含氧氣體流率;範圍從約500到約2000W之上電極(如圖5之元件70)射頻偏壓;以及範圍從約10到約500W之下電極(如圖5之元件20)射頻偏壓。並且,上電極偏壓頻率範圍可從約0.1MHz到約200MHz,如約60MHz。此外,下電極偏壓頻率範圍可從約0.1MHz到約100MHz,如約2MHz。
在另外的實施例中,製程化學更包含一惰性氣體,如鈍氣。惰性氣體可包含氦(He)或氬(Ar)。舉例而言,氦導入至製程可降低形體側壁的粗糙度。
一般使用實驗設計(DOE)技術可決定蝕刻時間,也可利用終點檢波決定。終點檢波的一種可能方法係為監控一部份來自於電漿區域之發射光譜,該光譜顯示於當電漿化學物中產生變化時,而電漿化學物中產生變化係由於有機層蝕刻的大致接近完成並且接觸下材料膜。舉例來說,顯示如此變化的部分光譜包含387.2nm(碳-氮(CN))的波長,且能使用光發射譜(OES)感應器來測量。在發射位準相符於那些超過特定臨界值(如降到實際零度或增加至特定位準以上)之頻率後,一終點被認為完成。提供終點訊息的其他波長也可被使用。而且,為了包含過蝕刻期間,蝕刻時間可以被延長,其中過蝕刻期間構成介於該蝕刻處理起始間之時間以及該結合終點檢波時間之分數(即1到100%)。
圖7係為一流程圖,呈現根據本發明之實施例,於電漿處理系統中,在基板上蝕刻如有機抗反射塗層(ARC)之有機層的方法。程序400開始於410。在410中,一製程氣體被導入電漿處理系統,其中製程氣體包含一種或多種氣體,該一種或多種氣體包括:氮與氫;具有碳氫化合物作為初期成分之第一鈍化氣體;以及具有含氧氣體作為初期成分之第二鈍化氣體。舉例來說,製程氣體包含具有氮與氫之一或多種氣體,並可以包含N2 與H2 或氨(NH3 ),或是其相關組合作為初期成分。此外,例如第一鈍化氣體可以包含C2 H4 、CH4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、C6 H12 或任何其相關之組合作為初期成分。又,例如第二鈍化氣體可以包含O2 、CO、CO2 、NO、NO2 、N2 O或任何其相關組合作為初期成分。製程氣體更可以另外包含氦氣(He)。
在420裡,電漿自製程氣體使用如圖2到6描述之任何一系統或其相關組合而在電漿處理系統形成。
在430裡,包含有機層的基板暴露於在420中形成的電漿。一段時間之後,程序400便結束了。具有有機層之基板暴露於電漿期間的時間通常取決於蝕刻有機層所需要的時間,或是取決於將光阻圖案轉移到有機層所需要的時間。一般經過一有機層厚度而轉移光阻圖案所需的時間是預定的。另外,可因第二時間間隔或是過蝕刻時間間隔而增加時間。如上所述,過蝕刻時間可以包含第一時間的一時間分數,如1到100%,並且此過蝕刻期間可以包含除了終點檢測外之蝕刻延長。
圖8呈現一根據本發明之實施例,電漿處理系統的基板上形成蝕刻薄膜用多層遮罩之方法。該方法以圖示說明於流程圖500,流程圖500開始於在基板上形成薄膜的510。該薄膜包含如二氧化矽(SiO2 )的氧化物,並且可藉由包括化學氣相沈積法(CVD)之多種製程形成。
在520中,如有機抗反射塗層(ARC)之有機層形成於基板上並位於薄膜之上。該有機層,舉例來說,可係為如使用如旋轉塗佈系統之習知技術而形成之有機ARC層。
在530中,具有圖案的感光遮罩層形成於基板上並位於有機層之上。感光遮罩層可包含如含矽光阻層。感光膜可藉由使用如光阻旋轉塗佈系統之習知技術而形成。圖案可藉由使用如步進式或掃瞄式顯微蝕刻系統之習知技術與顯影劑,而形成於光阻膜內。
在540中,為了形成多層遮罩,在感光層上的圖案被轉移到有機層。圖案轉移藉由使用乾式蝕刻技術完成,其中蝕刻製程在使用具有一或多種種氣體之製程氣體的電漿處理系統中執行,該一或多種種氣體包含氮及氫、具有碳氫化合物作為初期成分之第一鈍化氣體以及具有含氧氣體作為初期成分之第二鈍化氣體。舉例來說,製程氣體可以包括N2 與H2 或氨(NH3 ),或是其相關組合作為初期成分。此外,例如第一鈍化氣體可以包含C2 H4 、CH4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 、C6 H12 或任何上述之組合作為初期成分。又,例如第二鈍化氣體可以包含O2 、CO、CO2 、NO、NO2 、N2 O或任何上述組合作為初期成分。另外,如上所述,製程氣體更可以包含氦氣(He)。自使用如圖2到6中描述的任何一系統形成之製程氣體,形成電漿於電漿處理腔中,且具有有機層的基板暴露於該形成電漿。具有有機層之基板暴露於電漿期間之時間通常決定於蝕刻有機層所需要的時間,或是將光阻圖案轉移到有機層所需要的時間。一般經過有機層厚度而轉移光阻圖案所需的時間是預定的。然而,通常時間會因第二時間間隔或是過蝕刻時間間隔而更增加。如上所述之過蝕刻時間可以包含第一時間的一分數,如1到100%,並且此過蝕刻期間可以包含除了終點檢測外之蝕刻延長。
圖9A與9B分別顯示一被蝕刻的多層遮罩之側視圖以及俯視圖。形體600包含經過感光層640及有機層650之側壁610,表面粗糙部分620可在蝕刻期間形成於機層650之側壁上。參見圖9B,第一鈍化氣體促使鈍化膜630形成,以在蝕刻期間保護有機層650之側壁,然而也使得蝕刻多層遮罩的表面粗糙部分620能變得平滑。第二鈍化氣體促使其他鈍化膜660形成於至少一部份之感光層640以保護並保持如形成於感光層之圖案角落。
雖然本發明僅有某種實施例於以上細節描述,熟習本技藝者應知悉在不違反本發明新穎技術及優點的情況下,本實施例的許多修正都是可能的達成的。因此類似的修正都包含於本發明的範圍裡。
1、1a、1b、1c...電漿處理系統
2...遮罩圖案
3...感光層
4...薄膜
5...基板
6...多層遮罩
7...有機層
10...電漿處理腔
12...診斷系統
14...控制器
15...處理區
20...基板托架
25...基板
30...真空泵系統
40、72、82...射頻產生器
50...任意阻抗匹配網絡
60...磁場系統
70...電極
74、84...光學阻抗匹配網絡
80...感應線圈
600...形體
610...側壁
620...表面粗糙部分
630、660...鈍化膜
640...感光層
650...有機層
圖1A、1B、1C表示一蝕刻薄膜圖案的典型程序之略圖。
圖2表示根據本發明一實施例之電漿處理系統之簡單略圖。
圖3表示根據本發明其他實施例之電漿處理系統之略圖。
圖4表示根據本發明其他實施例之電漿處理系統之略圖。
圖5表示根據本發明其他實施例之電漿處理系統之略圖。
圖6表示根據本發明其他實施例之電漿處理系統之略圖。
圖7呈現根據本發明一實施例之在電漿處理系統之基板上蝕刻一有機層的方法。
圖8呈現根據本發明之其他實施例之用以蝕刻一薄膜於基本上之多層遮罩之形成方法。
圖9A與9B表示一多層遮罩之略圖。
1a...電漿處理系統
10...電漿處理腔
12...診斷系統
14...控制器
15...處理區
20...基板托架
25...基板
30...真空泵系統
40...射頻產生器
50...任意阻抗匹配網絡

Claims (21)

  1. 一種形成一蝕刻材料用多層遮罩之方法,包含如下步驟:a)形成一有機層於該材料上;b)形成一感光遮罩層於該有機層上,其中該感光遮罩層包含一含矽感光遮罩層;c)形成一圖案於該感光遮罩層中;以及d)藉由使用包含一或多種氣體之製程氣體以電漿蝕刻該有機層,而將該感光遮罩層中之該圖案轉移到該有機層,該一或多種氣體包括氮與氫,該製程氣體具有以碳氫化合物作為初期成分之第一鈍化氣體,用以鈍化該有機層之一側壁,以及該製程氣體具有以含氧氣體作為初期成分之第二鈍化氣體,用以鈍化該感光遮罩層之一側壁。
  2. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法,其中形成該有機層之步驟包含形成一有機抗反射塗層(ARC)層。
  3. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法,其中形成該圖案於該感光遮罩層之步驟包含使用顯微蝕刻形成一圖案於該感光遮罩層中。
  4. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法,其中使用該製程氣體的步驟包含使用一具有N2 與H2 作為初期成分的製程氣體。
  5. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法,其中使用該製程氣體的步驟包含使用一具有氨(NH3 )作為初期成分的製程氣體。
  6. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法,其中該第一鈍化氣體包含C2 H4 、CH4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 或C6 H12 或上述二種或多種之任何組合作為初期成分。
  7. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法, 其中該第二鈍化氣體包含O2 、CO、CO2 、NO、NO2 或N2 O或是上述二種或多種之任何組合作為初期成分。
  8. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法,其中該製程氣體更包含一惰性氣體。
  9. 如申請專利範圍第8項之形成一蝕刻材料用多層遮罩之方法,其中該惰性氣體包含氬或氦。
  10. 如申請專利範圍第1項之形成一蝕刻材料用多層遮罩之方法,更包含於一基板上形成一薄膜作為該材料。
  11. 一種電漿處理系統,用以蝕刻在一基板上之一有機層,包含:a)一電漿處理腔,以促使電漿自一製程氣體形成,以藉由該蝕刻將一圖案從一感光遮罩層轉移到該有機層;以及b)一控制器,連接到該電漿處理腔,用以利用該製程氣體實施一製程方法而形成電漿,以藉由電漿蝕刻而將一含矽感光遮罩層中之一圖案轉移到一下方有機層,其中該製程氣體包含一或多種氣體,該一或多種氣體包含氮與氫,該製程氣體具有以碳氫化合物作為初期成分之第一鈍化氣體,用以鈍化該有機層之一側壁,以及該製程氣體具有以含氧氣體作為初期成分之第二鈍化氣體,用以鈍化該含矽感光遮罩層之一側壁。
  12. 如申請專利範圍第11項之電漿處理系統,更包含一連接到該電漿處理腔以及連接到該控制器之診斷系統。
  13. 如申請專利範圍第12項之電漿處理系統,其中該診斷系統用以接收一與從該電漿發射之光線相關之信號。
  14. 如申請專利範圍第11項之電漿處理系統,其中該第一鈍化氣體包含C2 H4 、CH4 、C2 H2 、C2 H6 、C3 H4 、C3 H6 、C3 H8 、C4 H6 、C4 H8 、C4 H10 、C5 H8 、C5 H10 、C6 H6 、C6 H10 或C6 H12 或上述二種或多種之任何組合作為初期成分。
  15. 如申請專利範圍第11項之電漿處理系統,其中該第二鈍化氣體包含O2 、CO、CO2 、NO、NO2 或N2 O或是上述二種或多種之任何組合作為初期成分。
  16. 如申請專利範圍第11項之電漿處理系統,其中該製程氣體更包含氦氣。
  17. 如申請專利範圍第11項之電漿處理系統,其中該控制器使該基板被暴露於該電漿一段時間。
  18. 如申請專利範圍第17項之電漿處理系統,其中該時間係取決於該診斷系統所決定的終點檢測。
  19. 如申請專利範圍第18項之電漿處理系統,其中該診斷系統包含一光發射譜裝置。
  20. 如申請專利範圍第18項之電漿處理系統,其中該時間對應於蝕刻該有機層的時間,而且被延長第二時間間隔。
  21. 如申請專利範圍第20項之電漿處理系統,其中該第二時間間隔係為該時間的一分數。
TW096109695A 2006-03-29 2007-03-21 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統 TWI390627B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/391,672 US7767926B2 (en) 2006-03-29 2006-03-29 Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation

Publications (2)

Publication Number Publication Date
TW200802594A TW200802594A (en) 2008-01-01
TWI390627B true TWI390627B (zh) 2013-03-21

Family

ID=38574067

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096109695A TWI390627B (zh) 2006-03-29 2007-03-21 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統

Country Status (3)

Country Link
US (1) US7767926B2 (zh)
TW (1) TWI390627B (zh)
WO (1) WO2007117743A2 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8177990B2 (en) * 2006-03-31 2012-05-15 Tokyo Electron Limited Etching method, plasma processing system and storage medium

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3590416B2 (ja) 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
US6303270B1 (en) 1999-03-01 2001-10-16 The Curators Of The University Of Missouri Highly plasma etch-resistant photoresist composition containing a photosensitive polymeric titania precursor
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP4594235B2 (ja) 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
US7279428B2 (en) 2003-11-19 2007-10-09 Taiwan Semiconductor Manufacturing Company Method of preventing photoresist residues

Also Published As

Publication number Publication date
WO2007117743A2 (en) 2007-10-18
TW200802594A (en) 2008-01-01
US20070235424A1 (en) 2007-10-11
US7767926B2 (en) 2010-08-03
WO2007117743A3 (en) 2008-10-16

Similar Documents

Publication Publication Date Title
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
US7595005B2 (en) Method and apparatus for ashing a substrate using carbon dioxide
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
KR101220073B1 (ko) 기판 상의 실리콘층을 에칭하는 방법, 기판 상의 실리콘층을 에칭하기 위한 플라즈마 처리 시스템 및 컴퓨터 판독가능한 매체
EP1730769B1 (en) Method for etching a mask
TWI295815B (en) Method and system for treating a hard mask to improve etch characteristics
JP5468113B2 (ja) シリコンに対する誘電材料の選択エッチング方法及びシステム
JP4594235B2 (ja) Arc層をエッチングする方法
KR100989107B1 (ko) 다층 포토레지스트 건식 현상을 위한 방법 및 장치
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
TWI390627B (zh) 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
US20050136666A1 (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees