JP2007529899A - エッチング特性を改良するためのハードマスクを処理する方法およびシステム。 - Google Patents

エッチング特性を改良するためのハードマスクを処理する方法およびシステム。 Download PDF

Info

Publication number
JP2007529899A
JP2007529899A JP2007503903A JP2007503903A JP2007529899A JP 2007529899 A JP2007529899 A JP 2007529899A JP 2007503903 A JP2007503903 A JP 2007503903A JP 2007503903 A JP2007503903 A JP 2007503903A JP 2007529899 A JP2007529899 A JP 2007529899A
Authority
JP
Japan
Prior art keywords
hard mask
layer
plasma
substrate
photosensitive material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007503903A
Other languages
English (en)
Other versions
JP2007529899A5 (ja
Inventor
モスデン、アエラン
ファン、ダング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007529899A publication Critical patent/JP2007529899A/ja
Publication of JP2007529899A5 publication Critical patent/JP2007529899A5/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 エッチング特性を改良するためにハードマスクを処理する方法およびシステムを提供することである。
【解決手段】 パターンを膜スタックに転写する間、ハードマスク層、例えば調整可能なエッチング耐性反射防止コーティング(TERA)は、下地層をエッチングするときに消費され、エッチング性能を低下させ、下地層のダメージの可能性、例えば形態制御性の喪失に繋がる。基板上の構造を準備する方法およびシステムは、薄膜を含む膜スタックを準備することと、薄膜上に形成されたハードマスクと、ハードマスク上に形成された感光材料の層とを有する膜スタックを準備することと;感光材料の層にパターンを形成することと;ハードマスクにパターンを転写することと;感光材料の層を取り除くことと;表面を改質するためにハードマスクの表面層を処理することと;薄膜にパターンを転写することとを含む事が記載される。
【選択図】

Description

本発明は、エッチング特性を改良するためのハードマスクを処理する方法に関し、より詳しくは、ハードマスクの表面層を改質するためにハードマスクを処理する方法に関する。
材料処理手順において、パターンエッチングは、基板の上面上の薄膜へのフォトレジストのような放射線感光性材料のパターン化されたマスクを適用し、エッチングによって下層の薄膜へマスクパターンを転写することを含む。一般に放射線感光性材料をパターニングすることは、基板の上面を放射線感光性材料の薄膜でコーティングすることと、そして、次に、例えば、フォトリソグラフィシステムを使用してレチクル(および、関連した光学部品)を介して放射源に放射線感光性材料の薄膜を曝す(露光する)こととを含む。次に、基剤の現像液または溶媒を使用して、放射線感光性材料の照射を受けた領域の除去がされ(ポジ型フォトレジストの場合)、または非照射領域の除去がされ(ネガ型レジストの場合)、現像プロセスは、実行される。残っている放射線感光性材料は、基板表面にエッチングを行われやすいように、パターン状に下層の基板表面を露出させる。上記の材料処理手順を実行するフォトリソグラフィシステムは、この30年の間、半導体デバイスパターニングの主力であり、そして、65nm若しくはより高分解能に至るまでその役割が続いていくと予想される。
フォトリソグラフィシステムの分解能(r)は、このシステムを使用して形成されることができるデバイスの最小サイズを決定する。所定のリソグラフィ定数k1を有する場合、分解能は、次の式で与えられる。
Figure 2007529899
kは、使用可能な波長であり、NAは、次の式によって与えられる開口数(numerical aperture)である。
Figure 2007529899
角度θは、システムの角度半開口(angular semi−aperture)であり、nは、システムと、パターニングされる基板との間の空間を埋めている材料の屈折率である。
従って、現在のリソグラフィの傾向は、よりさらに小さい構造をプリントするために、開口数(NA)を増加させることを含んでいる。しかしながら、増加するNAがより高分解能を可能にするが、感光材料に投射されるイメージの焦点深度は低下し、そして、より薄いマスク層へと至る。感光層の厚さが薄くなると、パターニングされた感光層は、パターンエッチングに対するマスクとしての効果が無くなり、すなわち、大部分の(感光)マスク層は、エッチング中に消費される。エッチング選択比の劇的な改善なしに、単一層マスクは、高解像度リソグラフィに適している必要なリソグラフィおよびエッチング特性を提供することに対して不十分であるようになった。
単一層マスクのさらなる欠点は、限界寸法(CD)を制御することである。紫外線(UV)、および深紫外(DUV)波長での基板の反射は、薄膜干渉による感光層内の定在波を生じさせることが知られている。この干渉は、感光層内の垂直方向に間隔をおいた光条の露光による感光層の光強度の周期的な変化として、そしてCDロスとして、現れる。
次のパターンエッチング転写に対してより厚いマスクを提供するのと同じように、感光層内の定在波の影響を打ち消すために、二層または多層マスクは、底部反射防止コーティング(bottom anti−reflective coating:BARC)を取り入れて形成されることができる。BARC層は、薄膜干渉を減少させるように、薄い吸収膜を含み、しかしながら、BARC層は、スピンオン法堆積技術のため部分的に、劣った厚さ均一性を含むいくつかの限界が、依然として欠点であり得る。
ハードマスクは、また、限界寸法の改良された維持を提供するために用いられる可能性がある。ハードマスクは、単独の感光層より、十分なエッチング選択比を提供するように感光層の下層に提供された気相成長された薄膜であり得る。ハードマスク材料のこのエッチング選択比は、また、より深いエッチングプロセスを可能とすると共に、より高い分解能を可能とするより薄いマスクの使用を許容する。しかしながら、本発明の発明者は、従来のハードマスクの使用が、さらに微細な構造を有する次世代デバイスでこれらの使用を限定するエッチングプロセスに対して、エッチング選択比およびエッチング耐性(resilience)の限界を呈していることを認識している。
本発明の一態様は、上記の問題のいくつかまたは全てを低減または除去することである。
本発明の別の目的は、エッチング特性を改良するようにハードマスクを処理する方法を提供することである。
本発明の更に別の態様は、有機ケイ酸塩(organosilicate)層を処理する方法を提供することである。
本発明のさらにもう1つの態様は、調整可能なエッチング耐性反射防止(TERA:tunable etch resistant anti−reflective)コーティングを処理する方法を提供することである。
本発明のこれらおよび/または他の態様は、薄膜と、この薄膜上に形成されたハードマスクと、このハードマスク上に形成された感光材料の層とを有する膜スタックを準備することと;感光材料の層にパターンを形成することと;ハードマスクにパターンを転写することと;前記感光材料の層を除去することと;表面層を改質するためにハードマスクの表面層を処理することと;パターンを薄膜に転写することと;を含む基板上の構造を準備する方法によって提供されることができる。
さらにもう一つの態様に係る、化学的に変更されたハードマスクは、ハードマスク層と、ハードマスク層の化学的に変更された表面層とを包含する。
さらにもう一つの態様に係る、基板上の薄膜に形態をエッチングするために使用されるハードマスクを処理するプラズマ処理システムは、処理チャンバと;この処理チャンバに組み合わせられ、基板を支持するように構成された基板ホルダと;処理ガスを導入するための手段と;プラズマを形成するための手段と;処理ガスを導入するための手段およびプラズマを形成するための手段に組み合わせられ、ハードマスクの表面層を化学的に変更するようにプラズマを利用するプロセスレシピを実行するように構成されたコントローラと;を包含する。
前述のように、ハードマスクの使用は、リソグラフィ構造を補うために採用され、限界寸法に対する仕様が厳しいアプリケーションにおいて利用されることができる。ハードマスクの1つの種類は、概して有機ケイ酸塩材料として分類されることができ、そのような有機ケイ酸塩材料は、調整可能なエッチング耐性反射防止(TERA)コーティングである。これらのTERAコーティングは、基板の光学的性質を結像感光層と適合させるように膜厚に沿って任意に傾斜付けられることができる調整可能な屈折率および減衰係数を有して作成されることができる。インターナショナルビジネスマシーンズによる米国特許番号6,316,167号を参照すること。この特許にて説明したように、TERA膜は、ゲート形成のような基板工程(FEOL:front end of line)オペレーションに対するリソグラフィ構造において用いられ、そこにおいて、限界寸法の制御は、非常に重要である。これらのアプリケーションにおいて、TERAコーティングは、65nm若しくはそれより微細なデバイスノードでゲートデバイスを形成するためのリソグラフィ構造に対して実質的な改良を提供する。
しかしながら、本発明の発明者は、TERAコーティングのような従来のハードマスク膜が処理ステップの間、ダメージを受け得るということを見いだした。上記の如く、材料処理手順で、このようなリソグラフィ構造を用いるパターンエッチングは、エッチング中に下層のハードマスクにパターンを転写するためのマスクを提供するために、後にパターン化される感光材料例えばフォトレジストの薄膜層の基板上面への塗布(application)を一般に包含する。一旦パターンがハードマスクに転写されると、感光材料の層は、酸素添加されたプラズマを使用して一般的に除去され、ハードマスクに形成されたパターンは、下層の薄膜に転写されることができる。本発明の発明者は、従来のハードマスクがハードマスク材料に固有のエッチング選択比を有し、ハードマスクの与えられた厚さに対してエッチング深さを限定すると認識している。本発明の発明者は、本発明に係るハードマスク材料の処理がハードマスクの改善された特性を提供することを見いだした。
図1A〜図1Cは、本発明のハードマスク層処理プロセスが適用され得る従来のエッチングプロセスを示す。図1Aに示すように、従来のリソグラフィー技術を用いて形成されるパターン2を有する感光層3を含む二層マスク6は、基板5の上部の薄膜4上に形成されるハードマスク層7の上に形成される。図1Bに示すように、ハードマスク7は、薄膜4をエッチングするためのマスクとして利用されることができ、そこにおいて、感光層3のマスクパターン2は、薄膜4に対するメインエッチングステップの前に、別個のエッチングステップを使用してハードマスク7に転写される。膜4に対するメインエッチングステップは、図1Cに示される。
本発明の一実施態様において、酸素含有ガスを含むプロセスガスは、酸素プラズマを形成するために、プラズマ処理システムに導入される。その後、パターン化された有機ケイ酸塩層のようなハードマスクを有する基板は、ハードマスクの露出された表面層を処理するために酸素プラズマに曝される。代わりの実施形態では、ハードマスクの処理は、基板から感光層を除去する間に実行される。さらにもう1つの代わりの実施形態において、ハードマスクを処理することは、感光層の除去に続いて実行される。本発明の発明者は、このような方法でハードマスクを処理することがハードマスクのエッチング特性を改善するということを見いだしました。
具体的には、処理されたハードマスクは、未処理のハードマスク上の改良されたエッチング選択比を有する酸素で処理され硬化した表面を有する。これによって、与えられたハードマスク厚さに対してより深いエッチングプロセスが実行されることができる。さらに、本発明の処理されたハードマスクは、限界寸法のより高い制御を提供する。この限界寸法改良に関しては、本発明の発明者は、従来の未処理のハードマスクが、与えられたエッチングプロセスを実行するように適切な厚さを提供する場合であっても、パターンエッジでのハードマスクの劣った耐性(resiliency)が、エッチング中にこれらのエッジを崩し、その結果として、デバイス形態の限界寸法の相対的に劣った制御になると認識した。本発明に係るハードマスクを処理することは、半導体プロセスに対してより強いハードマスクのパターンエッジを作成し、それによって限界寸法の制御がより十分にできると考えられる。
別の実施形態において、ここで図2Aから図2C、および図3を参照し、膜スタックの形態を準備する方法は、記載されている。図2Aから図2Cは、膜スタック構造に明らかにし、さらに図3は、図2Aから図2Cの膜スタックで実行されるプロセスステップ310〜380を記載する。図2A、および図3にて図示するように、膜スタック200は、基板230上に準備され、そこにおいて、膜スタック200は、ステップ310で基板230上に形成される薄膜225と、ステップ320で薄膜225上に形成されるハードマスク220と、ステップ330でハードマスク220上に形成される感光材料210の層とを包含する。薄膜225は、単結晶シリコン、ポリシリコン、ドーピングされたシリコン、窒化シリコン、二酸化珪素、低誘電率(low−k)誘電体などのうちの少なくとも1つを包含することができる。薄膜は、化学気相成長(CVD)およびスピンオン法技術の少なくとも1つを使用し堆積することができ、そして、それぞれは、当業者にとって周知である。
ハードマスク220は、有機ケイ酸塩層を含むことができる。例えば、ハードマスクは、調整可能なエッチング耐性反射防止(TERA)コーティングを含むことができる。
TERAコーティングは、構造式R:C:H:Xを包含し、そこにおいて、Rは、Si、Ge、B、Sn、Fe、Ti、およびその組合せからなる群から選択され、Xは、存在しないか、またはO、N、S、およびFの1つ以上からなる群から選択される。TERAコーティングは、ほぼ1.40<n<2.60の屈折率およびほぼ0.01<k<0.78の減衰係数の光学的範囲を示すように製造されることができる。代わりとして、屈折率および減衰係数のうちの少なくとも一方は、TERAコーティングの厚さ方向に沿って、傾斜付けられる(若しくは変化付けされる)ことができる。さらに詳細は、インターナショナルビジネスマシーンズに対し付与された名称「反射防止コーティング、ハードマスク、および組み合わせられた反射防止コーティング/ハードマスクとしての調整可能な気相成長材料およびその製造方法およびそのアプリケーション」の米国特許番号6,316,167号にて提供され、これらの全体の内容は、それら全体としてここに取り入れられる。さらにまた、2003年8月21日に出願された名称「調整可能な光学的性質およびエッチング特性を有する材料を堆積させる方法と装置」の係属中の米国特許出願シリアル番号10/644,958号により詳細に記載されているように、TERAコーティングは、PECVDを使用して形成されることができ、これらの全体の内容は、それら全体としてここに取り入れられる。屈折率のようなTERAコーティングの光学的性質は、実質的に単層の下地層または複数の下地層の光学的性質に整合するように選ばれることができる。例えば、非多孔質誘電体膜(non−porous dielectric films)のような下地層は、1.4<n<2.6の屈折率を達成することを必要とすることができ、多孔質誘電体膜(porous dielectric films)のような下地層は、1.2<n<2.6の屈折率を達成することを必要とすることができる。
加えて、例えば、感光材料210の層は、フォトレジストを含むことができる。例えば、感光材料210の層(または複数の層)は、トラックシステムを使用して形成されることができる。トラックシステムは、248nmレジスト、193nmレジスト、157nmレジスト、EUVレジスト、(上部/下部)反射防止コーティング(TARC/BARC)、およびトップコートを処理するために構成されることができる。例えば、トラックシステムは、東京エレクトロン株式会社(TEL)から市販されているClean Track ACT8またはACT12レジストコーティングおよび現像システムを含むことができる。フォトレジスト膜を基板上に形成する他のシステムおよび方法は、スピンオン法レジスト技術の当業者にとって周知である。
一旦、感光材料210の層が膜スタック200上に形成されると、それは、ステップ340にてマイクロリソグラフィを使用してパターンで型どられることができ、そして、続いて、感光材料の照射を受けた領域(ポジ型フォトレジストの場合)、または非照射領域(ネガ型レジストの場合)は、現像溶媒を用いて除去される。マイクロリソグラフィシステムは、何らかの適切な従来のステッピングリソグラフィシステムまたはスキャンニングリソグラフィシステムを含むことができる。図2Bに示すように、パターンは、例えば、ドライプラズマエッチングを使用してステップ350にてハードマスク220に転写されることができる。ドライプラズマエッチングプロセスは、酸素、弗素、塩素、臭素、水素、およびその組合せからなる群から選択された種の少なくとも1つを含むプラズマ化学を包含することができる。別の形態として、プラズマ化学は、窒素または不活性ガス、例えば希ガス(すなわちヘリウム、ネオン、アルゴン、キセノン、クリプトン、ラドン)を更に含むことができる。さらに代わりとして、プラズマ化学は、ハードマスクのエッチング速度と、感光材料の上部のパターン層のエッチング速度との間の高いエッチング選択比を呈するように選ばれる。さらに代わりとして、プラズマ化学は、TERAコーティングのエッチング速度と、下層の薄膜のエッチング速度との間の高いエッチング選択比を呈するように選ばれる。一旦、パターンがハードマスク220に転写されると、パターン化されたハードマスクは、パターンを下層の薄膜に転写するように利用されることができる。
ステップ360において、残っている感光材料210は、その後、酸素を含有するプラズマを使用して除去される。例えば、酸素を含有するプラズマは、酸素(O)を導入することによって形成されることができる。ステップ370において、露出されたハードマスクの表面は、ハードマスク220の化学的に変更された層250を形成するために酸素を含有するプラズマを使用して処理される。1つの実施形態において、感光材料の層は除去され、ハードマスクの表面層は、同時に処理される。代わりとして、ハードマスクの表面層は、感光材料の層の除去に続いて処理される。例えば、上記の通りに、感光材料を除去し、ハードマスクを処理する処理プロセスは、20℃から400℃まで基板ホルダ温度範囲で20〜1400秒間、酸素を含有するプラズマに層を曝すことを含むことができる。例えば、ほぼ1000Aの厚さを有するハードマスクを備えた基板は、感光材料の残っている層を除去するために、250℃の基板ホルダ温度で、60秒間、酸素プラズマに曝されることができ、続いて、10A(すなわち、部分的に処理された)から1000A(すなわち、完全処理された)までの範囲の厚さを有する化学的に変更された層を形成するために、250℃の基板ホルダ温度で120秒間(すなわち200%の「オーバー処理(over−treatment)」)の酸素プラズマに曝される。加えて、例えば、もし基板ホルダ温度が低下する(例えば、250℃から)ならば、その結果、曝す時間は、よりスローなプロセスに合わせるように増加することができる。加えて、例えば、感光材料は、20℃〜400℃の基板ホルダ温度で10秒〜200秒間、酸素を含有するプラズマに曝されることができ、ハードマスク層は、20℃〜400℃の基板ホルダ温度で10秒〜1200秒間、酸素を含有するプラズマに曝されることができる。
その後、ステップ380で、ハードマスクのパターンは、例えば、ドライプラズマエッチングを用いて下層の薄膜に転写される。例えば、シリコン膜をエッチングするとき、エッチングガス組成は、一般にSF、HBr、Clなどのうちの少なくとも1つを含む。加えて、例えば、酸化シリコン、二酸化珪素などのような酸化物誘電体膜をエッチングするときに、あるいは、カーボンがドープされた酸化シリコン材料のような無機のlow−k誘電体膜をエッチングするときに、エッチングガス組成は、一般に、C4F8、C5F8、C3F6、C4F6、CF4などのうちの少なくとも1つのようなフルオロカーボンベースの化学と、不活性ガス、酸素、および一酸化炭素のうちの少なくとも1つとを含む。加えて、例えば、有機low−k誘電体膜にエッチングするときに、エッチングガス組成はフルオロカーボンガス、窒素含有ガス、水素含有ガスまたは酸素含有ガスのうちの少なくとも1つを包含する可能性がある。加えて、例えば、有機low−k誘電体膜をエッチングするときに、エッチングガス組成は、フルオロカーボンガス、窒素含有ガス、水素含有ガス、および酸素含有ガスのうちの少なくとも1つを包含する可能性がある。選択的に誘電体膜、例えば以前に記載されているそれらをエッチングする技術は、誘電体のエッチングプロセスの当業者にとって周知である。
本発明のさらにもう一つの実施形態において、ここで図4A〜図4Cを参照して、膜スタックの形態を準備する方法は、記載されている。図4Aにて図示するように、膜スタック400は、基板440上に準備され、そこにおいて、膜スタック400は、基板440上に形成されたポリシリコン膜435と、ポリシリコン膜435上に形成されたドーピングされたシリコン膜430と、ドーピングされたシリコン膜430上に形成された窒化シリコン膜425と、窒化シリコン膜425上に形成されたハードマスク420と、ハードマスク420上に形成された感光材料410の層とを含む。一旦、パターンが感光材料410の層に形成されると、パターンは、ハードマスクに、そして下層の窒化シリコン膜425に転写される。その後、感光材料410の層は除去され、ハードマスク420の表面層は、化学的に変更された層450を形成するために処理される。
本発明のハードマスクの処理プロセスに関するエッチングプロセス(複数のエッチングプロセスも含む)、アッシングプロセス、および処理プロセスは、プラズマ処理システムで実行されることができる。プロセスは、同じプラズマ処理システムで、または別個のプラズマ処理システムで実行されることができる。
例えば、図5は、本発明のプロセスを実施するために用いる可能性がある典型的なプラズマ処理システム1を示す。この図に示すように、プラズマ処理システム1は、プラズマ処理チャンバ10と、プラズマ処理チャンバ10に組み合わせられた診断システム12と、診断システム12およびプラズマ処理チャンバ10に組み合わせられたコントローラ14とを包含する。コントローラ14は、エッチングプロセスと、アッシングプロセスとのうちの少なくともの一方を含むプロセスレシピを実行するように構成される。加えて、コントローラ14は、正確にプロセスに対する終点を決定するために、診断システム12から少なくとも1つの終点信号を受けるように、および、少なく1つの終点信号を後で処理するように構成される。図示の実施形態において、図5において記載されるプラズマ処理システム1は、材料を処理するためにプラズマを利用する。プラズマ処理システム1は、エッチングチャンバまたはアッシングチャンバを包含することができる。
図6において記載される実施形態に係る、本発明において使用されるプラズマ処理システム1aは、プラズマ処理チャンバ10と、被処理基板25が固定される基板ホルダ20と、真空排気システム30とを包含することができる。基板25は、例えば、半導体基板、ウェハ、または液晶ディスプレイであり得る。例えばプラズマ処理チャンバ10は、基板25の表面に隣接する処理領域15においてプラズマの生成を容易にするように構成することができる。イオン化可能なガスまたは複数のガスの混合物は、ガス注入システム(例えばガス注入パイプまたはガス注入シャワーヘッド)を介して導入され、そして処理圧力は、調整される。例えば、制御機構(図示せず)は、真空排気システム30をスロットル調整するように用いることができる。プラズマは、所定の材料処理に特有な材料を生成するように、および/または基板25の曝された表面から材料の除去を促進するように利用されることができる。プラズマ処理システム1aは、200mm基板、300mm基板、またはより大きい基板を処理するように構成されることができる。
基板25は、例えば、静電クランピングシステムを介して基板ホルダ20に固定されることができる。さらにまた、基板ホルダ20は、例えば、基板ホルダ20から熱を受け、熱を熱交換器システム(図示せず)に移送し、または、加熱するときには、熱交換器システムから熱を移送する再循環クーラント流れを含む冷却システムを更に含むことができる。さらに、例えば、ガスは、基板25と、基板ホルダ20との間のガスギャップ熱伝導を改良するように、裏面ガスシステムを介して基板25の裏面に供給されることができる。上昇された温度または低下された温度で基板の温度制御が必要なときに、このようなシステムは、利用されることができる。例えば、裏面ガスシステムは、2−ゾーンガス分配システムを包含することができ、そこにおいて、ヘリウムガスギャップ圧力は、基板25のセンターと、エッジとの間で独立して変化させることができる。他の実施の形態において、加熱/冷却部材、例えば抵抗加熱部材、または熱電式ヒータ/冷却器は、プラズマ処理システム1a内のプラズマ処理チャンバ10のチャンバ壁および他のいかなる部品と同様に基板ホルダ20内にも内在させることができる。
図6に示された実施形態において、基板ホルダ20は、処理空間15の処理プラズマに組み合わせられるRF電力を通す電極を含むことができる。例えば、基板ホルダ20は、基板ホルダ20にインピーダンスマッチングネットワーク50を経由してRF発振器40からRF電力の伝達を介して、RF電圧で電気的にバイアスをかけられることができる。RFバイアスは、プラズマを形成し、維持するための電子を加熱するのに役に立つことができる。この構成において、システムは、反応性イオンエッチング(RIE)リアクタとして動作することができ、そこにおいて、チャンバおよび上部ガス注入電極は、接地面としての機能を果たす。RFバイアスの典型的な周波数は、0.1MHzから100MHzまでの範囲であり得る。プラズマ処理のためのRFシステムは、当業者にとって周知である。
代わりとして、RF電力は、複数の周波数で基板ホルダ電極に印加される。さらにまた、インピーダンスマッチングネットワーク50は、反射されたパワーを低下させることによって、プラズマ処理チャンバ10内のプラズマへRF電力の伝送を改良するために役に立つ。マッチングネットワークトポロジ(例えばL−タイプ、π−タイプ、T−タイプなど)および自動制御法は、当業者にとって周知である。
真空ポンプシステム30は、例えば、1秒あたり5000リットルまでの(および、より高速な)排気速度と、チャンバ圧力をスロットル制御するためのゲートバルブとが可能なターボ分子真空ポンプ(TMP)を含むことができる。ドライプラズマエッチングのために利用される従来のプラズマ処理装置において、1秒あたり1000〜3000リットルのTMPは一般に使用される。TMPは、一般的に50のmTorr未満の低圧処理に有効である。高圧処理(すなわち100mTorrを超える)に対しては、メカニカルブースターポンプおよびドライ荒引きポンプが用いられることができる。さらにまた、チャンバ圧力をモニタリングのためのデバイス(図示せず)は、プラズマ処理チャンバ10に組み合わせられることができる。圧力を測定するデバイスは、例えば、MKS Instruments社(Andover、MA)から市販されているタイプ628B Baratron絶対キャパシタンスマノメータであり得る。
コントローラ14は、マイクロプロセッサと、メモリと、プラズマ処理システム1aにコミュニケートし、入力を起動(activate)させるのに十分な制御電圧を生成し、同様にプラズマ処理システム1aからの出力をモニタすることが可能なデジタルI/Oポートとを備えている。さらに、コントローラ14は、RF発振器40、インピーダンスマッチングネットワーク50、ガスインジェクションシステム(図示せず)、真空ポンプシステム30、診断システム12、同じく裏面ガス供給システム(図示せず)、基板/基板ホルダ温度計測システム(図示せず)、および/または静電クランピングシステム(図示せず)に、接続されることができ、情報を交換することができる。例えば、メモリーに格納されたプログラムは、エッチングプロセスを、アッシングプロセスを、若しくは処理プロセス、またはそれらいくつかの組み合わせを実行するために、プロセスレシピに従ってプラズマ処理システム1aの上述したコンポーネントへの入力を起動させるように使用されることができる。コントローラ14の1つの実施例は、テキサス州オースティンのデル社(Dell Corporation)から市販されている、DELL PRECISION WORKSTATION 610(登録商標)である。
コントローラ14は、プラズマ処理システム1aに対して局所的に位置づけられることができ、またそれは、プラズマ処理システム1aに対して遠く離れて位置づけられることができる。例えば、コントローラ14は、直接接続、イントラネット、およびインターネットのうちの少なくとも1つを使用してデータをプラズマ処理システム1aと交換することができる。コントローラ14は、例えば、顧客サイト(すなわちデバイスメーカーなど)でのイントラネットに、接続させられることができ、または、それは、例えばベンダーサイト(すなわち装置製造業者)でのイントラネットに、接続されることができる。加えて、例えば、コントローラ14は、インターネットに接続されることができる。さらに、別のコンピュータ(すなわちコントローラ、サーバなど)は、例えば、直接接続、イントラネット、およびインターネットのうちの少なくとも1つを介してデータを交換するように、コントローラ14にアクセスすることができる。
診断システム12は、光学診断サブシステム(図示せず)を含むことができる。光学診断サブシステムは、プラズマから放射される光の強度を測定するための、(シリコン)フォトダイオードまたは光電子増倍管(PMT)のような検出器を含むことができる。診断システム12は、狭帯域干渉フィルタのような光学フィルタを更に含むことができる。代わりの実施形態では、診断システム12は、ラインCCD(電荷結合デバイス)、CID(電荷注入デバイス)アレイ、および回折格子またはプリズムのような光分散デバイスの少なくとも1つを含むことができる。加えて、診断システム12は、与えられた波長で光を測定するモノクロメータ(例えば回折格子/検出器システム)または、例えば、米国特許番号5,888,337に記載されているデバイスのような光スペクトルを測定する分光計(例えば、回転回折格子を有する)を含むことができる。
診断システム12は、例えばPeak Sensor SystemsまたはVerity Instruments, Inc.からの高解像度光学発光分光法(Optical Emission Spectroscopy:OES)センサを含むことができる。このようなOESセンサは、紫外線(UV)、可視(VIS)、および近赤外線(NIR)の光スペクトルにまたがる幅広いスペクトルを有する。分解能は、ほぼ1.4オングストロームであり、すなわち、センサは、240ナノメートルから1000ナノメートルまでの5550の波長を収集することが可能である。例えば、OESセンサは、次に、2048ピクセルの線形CCDアレイと一体化される高感度ミニチュアファイバ光学部品UV―VIS―NIR分光計を備えることができる。
分光計は、1本および束ねられた光ファイバを介して伝えられた光を受け、ここで、光ファイバから出力された光は、固定した回折格子を使用してラインCCDアレイ全体に渡り分散される。上で記載されている構成と同様で、光学真空窓を通した光放射は、凸面球面レンズにより光ファイバの入口側端部に集中(focus)される。与えられたスペクトル域(UV、VIS、およびNIR)に対して特に調整された各々3つの分光計は、プロセスチャンバに対してセンサを形成する。各々の分光計は、独立A/Dコンバータを含んでいる。そして、最後に、センサを使用することによって、全発光スペクトルは、0.1〜1.0秒ごとに記録されることができる。
さらにまた、診断システム12は、例えばTimbre Technologies, Inc.(2953 Bunker Hill Lane, Suite 301, Santa Clara, CA 95054)によって提供されるシステムのような光学的デジタル形状測定法(optical digital profilometry)を実行するシステムを含むことができる。
図7に示される実施形態において、本発明を実施するために用いる可能性があるプラズマ処理システム1bは、例えば、図5または図6の実施形態と類似していることがあり得て、可能性を持ってプラズマ密度を増やし、および/またはプラズマ処理均一性を改善するために、図5および図6に関して記載したそれらのコンポーネントに加えて、静止しているか、または機械的に若しくは電気的に回転しているかのどちらかの磁界システム60を更に含むことができる。さらに、コントローラ14は、回転速度および磁界の強さを調整するために、磁界システム60に接続されることができる。回転磁界の設計および実装は、当業者にとって周知である。
図8に示された実施形態において、本発明を実施するために用いる可能性があるプラズマ処理システム1cは、例えば、図5および図6の実施形態と類似していることがあり得て、RF電力がインピーダンスマッチングネットワーク74を介してRF発振器72から接続され得る上部電極70(upper electrode 70)を更に含むことができる。上部電極へのRF電力の供給(application of RF power)に対する典型的周波数は、0.1MHzから200MHzまでの範囲とすることができる。加えて、下部電極への電力供給(application of power)に対する典型的周波数は、0.1MHzから100MHzまでの範囲とすることができる。さらに、コントローラ14は、上部電極70へのRF電力の供給を制御するために、RF発振器72およびインピーダンスマッチングネットワーク74に接続される。上部電極の設計および実装は、当業者にとって周知である。
図9に示された実施形態において、本発明を実施するために用いる可能性があるプラズマ処理システム1dは、例えば、図5および6の実施形態と類似していることがあり得て、RF電力がインピーダンスマッチングネットワーク84を通ってRF発振器82により接続される誘導コイル80を更に含むことができる。RF電力は、プラズマ処理領域15に誘電体窓(図示せず)を介して誘導コイル80から誘導的に結合される。誘導コイル80へのRF電力の供給に対する典型的周波数は、10MHzから100MHzまでの範囲とすることができる。同様に、チャック電極への電源の供給に対する典型的周波数は、0.1MHzから100MHzまでの範囲とすることができる。加えて、スロット付きファラデーシールド(slotted Faraday shield)(図示せず)は、誘導コイル80と、プラズマとの間の容量結合を減らすように使用されることができる。さらに、コントローラ14は、誘導コイル80への電力供給を制御するために、RF発振器82およびインピーダンスマッチングネットワーク84に接続される。代わりの実施形態では、誘導コイル80は、上記記載から、変成器結合型プラズマ(transformer coupled plasma:TCP)リアクタにおいては、プラズマ処理領域15と連通する「スパイラル」コイルまたは「パンケーキ」コイルであり得る。誘導結合型プラズマ(inductively coupled plasma:ICP)ソースまたは変成器結合型プラズマ(TCP)ソースの設計および実装は、当業者にとって周知である。
代わりとして、プラズマは、電子サイクロトロン共鳴(electron cyclotron resonance:ECR)を使用して形成されることができる。さらに別の実施形態では、プラズマは、ヘリコン波(Helicon wave)のラウンチング(launching)から形成される。さらに別の実施形態では、プラズマは、伝搬性表面波(propagating surface wave)から形成される。上で記載されている各々のプラズマソースは、当業者にとって周知である。
一般に、感光材料の層を除去する時間は、実験計画法(DOE)技術を使用して決定されることができるが、しかしながら、それは、また、終点検出を使用しても決定されることができる。終点検出の1つの可能な方法は、基板から感光材料の層の除去が実質的に完了に近づき、下層の材料膜が現れることにより、プラズマ化学の変化が起こるときを示すプラズマ領域からの一部の放出光スペクトルをモニタすることである。例えば、このような変化を示すスペクトルの一部は、482.5nm(一酸化炭素)の波長を含み、光学発光分光法(OES)を使用して測定されることができる。それらの周波数に対応する放射レベルが指定された閾値(例えば、実質的にゼロに落ちるか、または特定のレベルを上回る増加があるか)を横切るとき、終点は完了されたものと考えられることができる。終点情報を提供する他の波長が、また、使用されることができる。さらにまた、アッシング時間は、オーバーアッシング期間を含むように延長されることができ、そこにおいて、オーバーアッシング期間は、アッシングプロセスの開始時と、終点検出時との間の時間の割合い(すなわち1〜100%)である。
加えて、化学的にハードマスクの表面層を変更するハードマスクの処理時間は、実験計画法(DOE)技術によって、または化学的に変更された表面層の厚さをモニタリングすることによって決定されることができる。上記の通りに、化学的に変更された表面層の厚さは、光学的デジタル形状測定法(ODP)を使用して決定されることができる。厚さが指定された閾値(例えば、特定のレベルに、またはより上に増加)を越えた後、処理プロセスの終点は、完了されたものと考えられることができる。さらにまた、処理時間は、オーバー処理の期間を含むように延長されることができ、そこにおいて、オーバー処理期間は、処理プロセスの開始時と、終点検出時との間の時間の割合い(すなわち1〜1000%)である。
例えば、上記の通りに、感光材料を除去し、ハードマスクを処理する処理プロセスは、20℃から400℃までの範囲の基板ホルダ温度で20〜1400秒間、酸素を含有するプラズマに層を曝すことを含むことができる。例えば、ほぼ1000A(オングストローム)の厚さを有するハードマスクを備えた基板は、感光材料の残っている層を除去するために250℃の基板ホルダ温度で60秒間の酸素プラズマに曝されることができ、引き続いて、10Aから1000A(すなわち、完全に酸化する)までの範囲の厚さを有する化学的に変更された層を形成するために250℃の基板ホルダ温度で120秒の間(すなわち200%の「オーバー処理」)、酸素プラズマに曝す。加えて、例えば、もし基板ホルダ温度が低下する(例えば、250℃から)ならば、その結果、曝す時間は、よりスローなプロセスに合わせるように増加することができる。加えて、例えば、感光材料は、20℃〜400℃の基板ホルダ温度で10秒〜200秒間、酸素を含有するプラズマに曝されることができ、そしてハードマスク層は、20℃〜400℃の基板ホルダ温度で10秒〜1200秒間、酸素を含有するプラズマに曝されることができる。
実施形態は、ハードマスク、例えばTERAコーティングの処理のために示されたが、他のハードマスク材料は、一般に、有機金属化合物または有機ケイ素化合物を含むことができる。
本発明の特定の典型的な実施形態のみが上で詳述されたが、当業者は、本発明の新規進歩の事項から逸脱することなく、典型的な実施形態において多数の変更態様が可能であることを容易に理解する。したがって、全てのこのような変更態様は、本発明の範囲内に包含されることを目的とする。
薄膜をパターンエッチングするための典型的な手順の別の概略的表現として示す図である。 薄膜をパターンエッチングするための典型的な手順の別の概略的表現として示す図である。 薄膜をパターンエッチングするための典型的な手順の別の概略的表現を示す図である。 本発明の1つの実施形態に係るハードマスクを処理する方法の概略表現を示す図である。 本発明の1つの実施形態に係るハードマスクを処理する方法の概略表現を示す図である。 本発明の1つの実施形態に係るハードマスクを処理する方法の概略表現を示す図である。 本発明の1つの実施形態に係るハードマスクを処理する方法を示す図である。 本発明の他の実施形態に係るハードマスクを処理する方法の概略表現を示す図である。 本発明の他の実施形態に係るハードマスクを処理する方法の概略表現を示す図である。 本発明の他の実施形態に係るハードマスクを処理する方法の概略表現を示す図である。 本発明の1つの実施形態に係るプラズマ処理システムの簡略化された概略図を示す図である。 本発明の他の実施形態に係るプラズマ処理システムの概略図を示す図である。 本発明の他の実施形態に係るプラズマ処理システムの概略図を示す図である。 本発明の他の実施形態に係るプラズマ処理システムの概略図を示す図である。 本発明の他の実施形態に係るプラズマ処理システムの概略図を示す図である。

Claims (28)

  1. 薄膜と、この薄膜上に形成されたハードマスクと、このハードマスク上に形成された感光材料の層とを備えた膜スタックを準備することと;
    前記感光材料の層にパターンを形成することと;
    前記ハードマスクに前記パターンを転写すること;
    前記感光材料の層を除去することと;
    前記ハードマスクの表面層を改質するために前記表面層を処理することと;
    前記パターンを前記薄膜に転写することとを具備する基板上に構造を準備する方法。
  2. 前記準備することは、有機シリコン層および有機金属層のうちの少なくとも一方を含む前記ハードマスクを形成することを有している請求項1の方法。
  3. 前記準備することは、構造式R:C:H:Xを有する前記膜スタック内に形成される調整可能な反射防止コーティングを含む前記ハードマスクを形成することを含み、
    前記Rは、Si、Ge、B、Sn、Fe、Ti、およびその組み合わせからなる群から選択され、
    前記Xは、存在しないか、またはO、N、S、およびFの1つ以上からなる群から選択される請求項1の方法。
  4. 前記感光材料を前記除去することは、酸素を含有するプラズマに前記感光材料を曝すことを有している請求項1の方法。
  5. 前記ハードマスクの前記表面層を前記処理することは、酸素を含有するプラズマに前記ハードマスク層を曝すことを有している請求項1の方法。
  6. 前記酸素を含有するプラズマに前記ハードマスク層を前記曝すことは、酸素(O)の導入から形成されたプラズマに前記ハードマスク層を曝すことを有している請求項4または5の方法。
  7. 前記感光層を前記除去することと、前記ハードマスクの前記表面層を前記処理することとは、同時に実行される請求項1の方法。
  8. 前記感光材料の層を前記除去することの完了についての終点を決定することを、更に具備する請求項1の方法。
  9. 前記ハードマスクの前記表面層を前記処理することの完了についての終点を決定することを更に具備する請求項1の方法。
  10. ハードマスク層と;
    前記ハードマスク層の化学的に変更された表面層とを具備する化学的に変更されたハードマスク。
  11. 前記ハードマスク層は、有機ケイ酸塩層を含んでいる請求項10の化学的に変更されたハードマスク。
  12. 前記ハードマスク層は、構造式R:C:H:Xを有する調整可能な反射防止コーティングを含み、
    前記Rは、Si、Ge、B、Sn、Fe、Ti、およびそれの組合せからなる群から選択され、
    前記Xは、存在しないか、またはO、N、S、およびFの1つ以上のからなる群から選択される請求項10の化学的に変更されたハードマスク。
  13. 前記化学的に変更された表面層は、酸化されたハードマスク表面層を含んでいる請求項10の化学的に変更されたハードマスク。
  14. 基板上の薄膜に形態をエッチングするために使用されるハードマスクを処理するプラズマ処理システムであって:
    処理チャンバと;
    前記処理チャンバに組み合わせられ、前記基板を支持するように構成された基板ホルダと;
    処理ガスを導入するための手段と;
    プラズマを形成するための手段と;
    前記処理ガスを導入するための前記手段と、前記プラズマを形成するための前記手段とに組み合わせられ、化学的に前記ハードマスクの表面層を変更するように前記プラズマを利用するプロセスレシピを実行するように構成されているコントローラとを含んでいるシステム。
  15. 前記処理ガスは、酸素(O)を含んでいる請求項14のシステム。
  16. エッチングガスを導入するための手段を更に具備する請求項14のシステム。
  17. アッシングガスを導入するための手段を更に具備する請求項14のシステム。
  18. 前記処理チャンバと、前記コントローラとに組み合わせられ、化学的に前記ハードマスクの表面層を変更するように前記プラズマを前記利用することの終点を決定するように構成されている診断システムを備えている請求項14のシステム。
  19. 前記診断システムは、光学的デジタル形状測定法(ODP)システムを備えている請求項18のシステム。
  20. 前記診断システムは、エッチングプロセスと、アッシングプロセスとのうちの少なくとも一方の終点を検出する光学発光分光法(OES)システムを更に備えている請求項18のシステム。
  21. 前記酸素を含有するプラズマに前記感光材料を前記曝すことは、曝す時間と、前記曝すあいだの基板ホルダ温度とを設定することを含んでいる請求項4の方法。
  22. 前記曝す時間を前記設定することは、前記曝す時間をほぼ10秒間〜ほぼ200秒間に設定することを含んでいる請求項21の方法。
  23. 前記基板ホルダ温度を前記設定することは、前記基板ホルダ温度をほぼ20℃〜400℃に設定することを含んでいる請求項21の方法。
  24. 前記酸素を含有するプラズマに前記ハードマスク層を前記曝すことは、曝す時間と、前記曝すあいだの基板ホルダ温度とを設定することを含んでいる請求項5の方法。
  25. 前記曝す時間を前記設定することは、前記曝す時間をほぼ10秒間〜ほぼ1200秒間に設定することを含んでいる請求項24の方法。
  26. 前記基板ホルダ温度を前記設定することは、前記基板ホルダ温度をほぼ20℃〜400℃に設定することを含んでいる請求項24の方法。
  27. 前記感光材料を前記除去することの後は、前記ハードマスクの前記表面層を前記処理することであり、
    曝すことと、前記処理することとは、前記基板を基板ホルダ温度で曝す時間の間、酸素を含有するプラズマに曝すことを含んでいる請求項1の方法。
  28. 前記基板を前記基板ホルダ温度で前記曝す時間の間、前記酸素を含有するプラズマに前記曝すことは、前記基板をほぼ20℃から400℃までの範囲の前記基板ホルダ温度で、ほぼ20秒から1400秒までの範囲の前記曝す時間の間、曝すことを含んでいる請求項27の方法。
JP2007503903A 2004-03-17 2005-02-10 エッチング特性を改良するためのハードマスクを処理する方法およびシステム。 Withdrawn JP2007529899A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/801,571 US7291446B2 (en) 2004-03-17 2004-03-17 Method and system for treating a hard mask to improve etch characteristics
PCT/US2005/004047 WO2005091796A2 (en) 2004-03-17 2005-02-10 Method and system for treating a hard mask to improve etch characteristics

Publications (2)

Publication Number Publication Date
JP2007529899A true JP2007529899A (ja) 2007-10-25
JP2007529899A5 JP2007529899A5 (ja) 2008-03-27

Family

ID=34986730

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007503903A Withdrawn JP2007529899A (ja) 2004-03-17 2005-02-10 エッチング特性を改良するためのハードマスクを処理する方法およびシステム。

Country Status (4)

Country Link
US (1) US7291446B2 (ja)
JP (1) JP2007529899A (ja)
TW (1) TWI295815B (ja)
WO (1) WO2005091796A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009104507A1 (ja) * 2008-02-19 2009-08-27 東京エレクトロン株式会社 薄膜およびその薄膜を用いた半導体装置の製造方法
JP2011082260A (ja) * 2009-10-05 2011-04-21 Asahi Kasei Corp ドライエッチング方法
KR20150107584A (ko) * 2014-03-14 2015-09-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 패터닝 방법
WO2022219977A1 (ja) * 2021-04-14 2022-10-20 東京エレクトロン株式会社 基板処理方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153780B2 (en) * 2004-03-24 2006-12-26 Intel Corporation Method and apparatus for self-aligned MOS patterning
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
KR100694412B1 (ko) * 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
TW200806567A (en) * 2006-07-26 2008-02-01 Touch Micro System Tech Method of deep etching
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
US8980706B2 (en) * 2008-09-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double treatment on hard mask for gate N/P patterning
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US10043672B2 (en) * 2016-03-29 2018-08-07 Lam Research Corporation Selective self-aligned patterning of silicon germanium, germanium and type III/V materials using a sulfur-containing mask
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
KR20210126214A (ko) * 2020-04-10 2021-10-20 에스케이하이닉스 주식회사 반도체 장치 제조방법

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4493855A (en) * 1982-12-23 1985-01-15 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
JPH0775226B2 (ja) * 1990-04-10 1995-08-09 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン プラズマ処理方法及び装置
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US7816188B2 (en) * 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
JP2003209046A (ja) * 2002-01-16 2003-07-25 Mitsubishi Electric Corp レジストパターン形成方法および半導体装置の製造方法
US6984529B2 (en) * 2003-09-10 2006-01-10 Infineon Technologies Ag Fabrication process for a magnetic tunnel junction device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009104507A1 (ja) * 2008-02-19 2009-08-27 東京エレクトロン株式会社 薄膜およびその薄膜を用いた半導体装置の製造方法
JP2011082260A (ja) * 2009-10-05 2011-04-21 Asahi Kasei Corp ドライエッチング方法
KR20150107584A (ko) * 2014-03-14 2015-09-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 패터닝 방법
KR101671592B1 (ko) * 2014-03-14 2016-11-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 패터닝 방법
WO2022219977A1 (ja) * 2021-04-14 2022-10-20 東京エレクトロン株式会社 基板処理方法

Also Published As

Publication number Publication date
WO2005091796A2 (en) 2005-10-06
US7291446B2 (en) 2007-11-06
US20050208434A1 (en) 2005-09-22
TW200534380A (en) 2005-10-16
WO2005091796A3 (en) 2005-12-15
TWI295815B (en) 2008-04-11

Similar Documents

Publication Publication Date Title
JP2007529899A (ja) エッチング特性を改良するためのハードマスクを処理する方法およびシステム。
JP4861987B2 (ja) 膜スタックをエッチングするための方法およびシステム
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
US20050221619A1 (en) System and method for etching a mask
WO2008073379A1 (en) Method and apparatus for ashing a substrate using carbon dioxide
JP4594235B2 (ja) Arc層をエッチングする方法
KR100989107B1 (ko) 다층 포토레지스트 건식 현상을 위한 방법 및 장치
KR20070051846A (ko) 게이트 스택 에칭을 위한 방법 및 시스템
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
US20050136666A1 (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080206

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080206

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090327