KR100904866B1 - 컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체 - Google Patents

컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체 Download PDF

Info

Publication number
KR100904866B1
KR100904866B1 KR1020037016638A KR20037016638A KR100904866B1 KR 100904866 B1 KR100904866 B1 KR 100904866B1 KR 1020037016638 A KR1020037016638 A KR 1020037016638A KR 20037016638 A KR20037016638 A KR 20037016638A KR 100904866 B1 KR100904866 B1 KR 100904866B1
Authority
KR
South Korea
Prior art keywords
conditioning
pad
removal rate
material removal
polishing
Prior art date
Application number
KR1020037016638A
Other languages
English (en)
Other versions
KR20040010753A (ko
Inventor
페이크영조세프
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040010753A publication Critical patent/KR20040010753A/ko
Application granted granted Critical
Publication of KR100904866B1 publication Critical patent/KR100904866B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/40Minimising material used in manufacturing processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Human Computer Interaction (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Grinding-Machine Dressing And Accessory Apparatuses (AREA)

Abstract

평탄화 표면을 컨디셔닝하는 방법, 장치 및 매체는, 연마될 웨이퍼를 연마 패드(1080) 및 컨디셔닝 디스크(1030)를 갖는 화학적 기계적 연마(CMP) 장치(100)에 장착하는 단계, 웨이퍼 재료 제거율을 미리 선택된 최소 및 최대 제거율로 유지하도록 선택된 제1 세트의 패드 컨디셔닝 파라미터 하에서 웨이퍼를 연마하는 단계, 연마 단계 중에 생기는 웨이퍼 재료 제거율을 측정하는 단계, 갱신된 패드 컨디셔닝 파라미터를 계산하여 웨이퍼 재료 제거율을 최대 및 최소 제거율 이내로 유지하는 단계, 및 갱신된 패드 컨디셔닝 파라미터를 사용하여 연마 패드(1080)를 컨디셔닝하는 단계를 포함하고, 갱신된 패드 컨디셔닝 파라미터는 컨디셔닝 하향력 및 컨디셔닝 디스크의 회전 속도 등의 컨디셔닝 파라미터에 기초하여 연마 패드의 웨이퍼 재료 제거율을 예측하는 패드 웨어 및 컨디셔닝 모델을 사용하여 계산된다.
패드 컨디셔닝 파라미터, 웨이퍼 재료 제거율, 컨디셔닝 디스크

Description

컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체{A COMPUTER IMPLEMENTED METHOD FOR CONDITIONING, CONDITIONING APPARATUS AND COMPUTER-READABLE MEDIUM}
본 출원은, 2001년 6월 19일자로 제출되고 "Advanced Process Control for Semiconductor Manufacturing Process"로 명명된 미국특허출원 제60/298,878호, 2001년 7월 16일자로 제출되고 "Feedforward and Feedback Control for Conditioning of Chemical Mechanical Polishing Pad"로 명명된 미국특허출원 제60/305,782호, 2001년 9월 12일자로 제출되고 "Feedforward and Feedback Control for Conditioning of Chemical Mechanical Polishing Pad"로 명명된 미국특허출원 제60/318,741호, 및 2001년 11월 30일자로 제출되고 "Feedforward and Feedback Control for Conditioning of Chemical Mechanical Polishing Pad"로 명명된 미국특허출원 제09/998,384호로부터 우선권 주장되어 있고, 본 명세서에서는 상기한 모든 우선권을 참조하여 구체화하고 있다.
본 발명은 일반적으로 연마 패드의 수명을 향상시키기 위한 연마 영역 및 방법에 관한 것이다.
화학적 기계적 연마(CMP)는 반도체 웨이퍼의 완전한 평탄화를 얻기 위한 반 도체 제조 공정에서 사용된다. 이 방법은 기계적 접촉 및 화학적 부식(예를 들면, 슬러리로 포화된 이동형 연마 패드)을 사용하여, 웨이퍼(통상, 이산화 실리콘(SiO2))로부터 재료(예를 들면, 표면 재료의 희생층)를 제거하는 것을 포함한다. 연마는, 높은 지형의 영역(hill)을 낮은 지형의 영역(valley)보다도 빠르게 제거하기 때문에, 높이차를 평평하게 한다. 도 1a는 CMP 기기(100)의 상면도를 나타내고, 도 1b는 도 1a의 A-A선에 따른 측면도를 나타낸다. CMP 기기(100)는 웨이퍼를 연마하기 위해 제공된다. 통상, CMP 기기(100)는 암(arm)(101)으로 웨이퍼(105)를 집어 들어 회전식 연마 패드(102) 상에 놓는다. 연마 패드(102)는 탄력성(resilient) 재료로 이루어지고, 연마 공정을 돕도록 텍스쳐를 갖는 경우도 있다. 연마 패드(102)는 플래튼(platen)(104) 또는 연마 패드(102) 바로 아래에 놓이는 턴 테이블 상에서 소정 속도로 회전한다. 웨이퍼(105)는 암(101)에 의해 연마 패드(102) 상에 제자리에 보유된다. 웨이퍼(105)의 하면은 연마 패드(102)에 대향하도록 위치한다. 웨이퍼(105)의 상면은 암(101)의 웨이퍼 캐리어(106)의 하면에 대향하고 있다. 연마 패드(102)가 회전함에 따라, 암(101)은 소정 속도로 웨이퍼(105)를 회전시킨다. 암(101)은 소정양의 하향력(down force)으로 웨이퍼(105)를 연마 패드(102) 내에 구속시킨다. CMP 기기(100)는 또한 연마 패드(102)의 반경을 횡단하여 연장되는 슬러리 디스펜스 암(slurry dispense arm)(107)을 포함한다. 슬러리 디스펜스 암(107)은 슬러리의 흐름을 연마 패드(102) 상에 분배한다.
주어진 연마 패드에 의해 제공되는 재료 제거율은 도 2에 나타낸 바와 같이 시간이 지남에 따라 기하급수적으로 감소되는 것이 알려져 있다. 그 결과, 연마 사이클 사이에서 (예를 들면, 컨디셔닝 디스크(108)를 사용하여) 연마 패드를 컨디셔닝해야만 한다. 이렇게 함으로써, 패드의 표면을 거칠게 하여 적어도 일시적으로 원래의 재료 제거율로 복원시킨다. 패드를 더 이상 보수(recondition)할 수 없으면 교체한다.
종래의 컨디셔닝 방법은 오버컨디션, 예를 들어 평탄화 표면을 닳아 없어지게 하여, 연마 패드의 패드 수명을 감소시킬 수 있다는 문제점이 있다. 패드 간의 재료 제거율의 변동 때문에, CMP 툴은 패드가 변경될 때마다 원하는 재료 제거율을 달성하도록 재교정되어야만 한다. 패드 변경 중에 소실된 제조 시간은 처리를 지연시키고 효율을 저하시킨다.
패드의 수명을 연장시키는 시도로서, 연마 패드를 선택적으로 컨디셔닝하고, 평탄화 표면을 횡단하는, 있을 수 있는 인식된 허용할 수 없는 패드 컨디션의 분포에 기초하여 CMP 패드의 표면을 따라 컨디셔닝 소자(예를 들면, 컨디셔닝 디스크(108))의 하향력을 가변시키는 다양한 방법이 보고되어 있다. 다른 방법으로는, 연마 패드 불균일에 따라서 연마 패드의 표면을 횡단하여 컨디셔닝 레시피(recipe)를 가변하는 방법이 보고되어 있다. 그러나, 이들 보고된 CMP 공정들은 통상 CMP 공정을 향상시키는 것, 예를 들어 패드 수명의 연장보다는 웨이퍼내 불균일을 개선하는 것에 보다 더 역점을 두고 있다.
패드 수명을 연장함으로써 패드 교체 빈도를 줄이고자 하는 방법 및 장치는 웨이퍼 제조 공정에 상당한 비용 절감을 제공한다.
본 발명은 패드의 작업 수명을 연장하기 위해서 연마 패드의 평탄화 표면을 컨디셔닝하는 방법, 장치 및 매체에 관한 것이다. 본 발명은 연마 패드 성능을 예측하여 패드 수명을 연장하기 위해서 패드 웨어(pad wear) 및 평탄화 공정의 물리적 화학적 모델(단일 모델 또는 다중 모델로 구현될 수 있음)을 사용한다. 이렇게 함으로써, 단일 연마 패드로 연마될 수 있는 반도체 웨이퍼 또는 다른 기판의 수를 증가시켜, CMP 공정에서 상당한 비용 절감을 제공하고, 필요한 패드 수를 감소시킴과 동시에 패드 교체에 걸리는 시간도 감소시킨다.
모델은 컨디셔닝 공정의 "컨디셔닝" 동작 파라미터에 기초하여 연마 유효성(웨이퍼 재료 제거율)을 예측한다. 본 발명의 적어도 일부 실시예에서, 컨디셔닝 파라미터는 압력(컨디셔닝 디스크 하향력) 및 속도(컨디셔닝 디스크의 회전 속도)를 포함하고, 또한 컨디셔닝 빈도, 컨디셔닝 지속기간 및 패드 표면을 횡단하는 컨디셔닝 디스크의 이송 속도 등의 다른 팩터도 포함할 수 있다. 모델은 허용가능한 웨이퍼 재료 제거율을 제공하면서 패드를 오버컨디셔닝하지 않는 범위 내에서 연마 패드 컨디셔닝 파라미터를 선택하고 나서 유지한다. 이와 같이, 본 발명은 CMP 연마 공정의 피드포워드 및 피드백 제어 공정을 제공한다. 본 발명은 표면이 침식(abrading)되고 패드에 대하여 밀려 이동되는 디스크의 사용에 대하여 설명했지만, 본 발명의 기술은 다른 컨디셔닝 기구에 적용될 수도 있다.
본 발명의 제1 형태에서는, 웨이퍼가 재료의 제거를 위해 연마 패드에 위치되고, 컨디셔닝 디스크가 상기 연마 패드의 컨디셔닝을 위해 상기 연마 패드에 위치되는 연마 패드를 갖는 화학적 기계적 연마(CMP) 장치에서의 평탄화 표면을 컨디셔닝하는 컴퓨터 구현 방법이 제공된다. 이 방법은, 웨이퍼 재료 제거율을 최대 및 최소값을 갖는 적어도 하나의 패드 컨디셔닝 파라미터의 함수로서 정의하는 패드 웨어 및 컨디셔닝 모델을 제공하고, 상기 컨디셔닝 파라미터는 컨디셔닝 디스크 하향력, 컨디셔닝 디스크의 회전 속도, 컨디셔닝의 빈도, 컨디셔닝의 지속시간, 및 컨디셔닝 디스크의 이송 속도로 이루어지는 그룹으로부터 선택된 하나 이상의 파라미터를 포함하는 단계; 웨이퍼 재료 제거율을 미리 선택된 최대 및 최소 제거율 이내로 유지하도록 선택된 제1 세트의 패드 컨디셔닝 파라미터 하에서 상기 CMP 장치 내의 웨이퍼를 연마하는 단계; 상기 연마 단계 중에 생기는 웨이퍼 재료 제거율을 측정하는 단계; 상기 단계 에서 결정된 웨이퍼 재료 제거율 및 패드 웨어 및 컨디셔닝 모델에 기초하여, 갱신된 패드 컨디셔닝 파라미터를 계산하여, 웨이퍼 재료 제거율을 최대 및 최소 제거율 이내로 유지하는 단계; 및 상기 갱신된 컨디셔닝 파라미터를 사용하여 연마 패드를 컨디셔닝하는 단계를 포함한다.
적어도 일부 실시예에서, 상기 방법은 웨이퍼 재료 제거율을 미리 선택된 최소 및 최대 제거율(적어도 일부 실시예에서는 연마와 동시에 컨디셔닝이 생김) 이내로 유지하도록 선택된 제1 세트의 패드 컨디셔닝 파라미터 하에 CMP 장치 내의 웨이퍼를 연마하는 단계; 연마 단계 중에 생기는 웨이퍼 재료 제거율을 측정하는 단계; 웨이퍼 재료 제거율에 기초하여 갱신된 패드 컨디셔닝 파라미터를 계산하여, 웨이퍼 재료 제거율을 최대 및 최소 제거율 이내로 유지하는 단계; 및 갱신된 패드 컨디셔닝 파라미터를 사용하여 연마 패드를 컨디셔닝하는 단계를 포함한다. 적어도 일부 실시예에서, 상기 연마 단계는 1개의 웨이퍼를 연마하는 것을 포함하거나, 2개 이상의 웨이퍼를 연마하는 것, 즉 연마 사이클을 포함한다. 웨이퍼 재료 제거율은 평균화될 수 있고, 또는 최종 연마된 웨이퍼 재료 제거율이 패드 컨디셔닝 파라미터를 갱신하는데 사용될 수 있다.
갱신된 패드 컨디셔닝 파라미터는, 웨이퍼 재료 제거율을 컨디셔닝 디스크 하향력 및 컨디셔닝 디스크의 속도를 포함하는 패드 컨디셔닝 파라미터의 함수로서 결정하고, 계산된 그리고 측정된 웨이퍼 재료 제거율 간의 차이를 결정하며, 상기 차이를 줄이도록 갱신된 패드 컨디셔닝 파라미터를 계산함으로써 패드 웨어 및 컨디셔닝 모델을 사용하여 계산되고, 갱신 패드 컨디셔닝 파라미터는 식 k=(k1 )+g*(k-(k1 ))에 따라 갱신되고, 여기서 k는 측정된 웨이퍼 재료 제거율이고, k1 은 계산된 웨이퍼 재료 제거율이며, g는 이득이고, (k-(k1 ))은 예측 오차(prediction error)이다.
적어도 일부 실시예에서, 제1 세트의 패드 컨디셔닝 파라미터는 실험적으로(empirically), 또는 이력 데이터(historical data)를 사용하거나, 모델을 정의하는데 사용되는 실험 세트인 실험 설계(DOE: design of experiment)의 결과를 사용하여 결정된다.
적어도 일부 실시예에서, 패드 웨어 및 컨디셔닝 모델의 패드 컨디셔닝 파라미터는 컨디셔닝 빈도, 컨디셔닝 시간, 또는 컨디셔닝 중 컨디셔닝 디스크의 이송 속도(디스크 회전과 다른 디스크의 동작 속도)를 포함한다.
적어도 일부 실시예에서, 웨이퍼 재료 제거율은 연마 전후에 웨이퍼 두께를 측정하는 것을 포함한다. 갱신된 패드 컨디셔닝을 계산하는 단계는 순환적 최적화 공정(recursive optimization process)을 행하는 것을 포함한다.
적어도 일부 실시예에서, 이득 g는 측정된 파라미터의 변화도 또는 신뢰도를 표시하기 위해 사용되는 값이다.
적어도 일부 실시예에서, 패드 수명은 다음의 식에 따라 정의되고,
Figure 112003048590250-pct00001
여기서, F disk 는 컨디셔닝 중에 컨디셔닝 디스크에 의해 CMP 패드에 인가되는 하향력이고, ω disk 는 연마 패드의 컨디셔닝 중에 컨디셔닝 디스크의 각속도이며, t 는 컨디셔닝 시간이고, f는 컨디셔닝 빈도이며, T 2는 컨디셔닝 중의 컨디셔닝 디스크의 스위프(sweep) 속도이다.
적어도 일부 실시예에서, 상기 웨이퍼 재료 제거율은 다음의 식으로 정의되고,
Figure 112003048590250-pct00002
여기서, F disk 는 컨디셔닝 중에 컨디셔닝 디스크에 의해 CMP 패드에 인가되는 하향력이고, ω disk 는 연마 패드의 컨디셔닝 중에 컨디셔닝 디스크의 각속도이며, t 는 컨디셔닝 시간이고, f는 컨디셔닝 빈도이며, T 2는 컨디셔닝 중의 컨디셔닝 디스크의 스위프 속도이다. 적어도 일부 실시예에서, 웨이퍼 재료 제거율의 최대값은 웨이퍼 재료 제거율 대 컨디셔닝 하향력 곡선의 포화점이고, 즉 하향력의 증가는 제거율에 영향을 미치지 않는다. 적어도 일부 실시예에서, 웨이퍼 재료 제거율 및 최소 허용가능한 컨디셔닝 파라미터는 최대 허용가능한 웨이퍼 연마 시간에 의해 정의된다.
적어도 일부 실시예에서, 웨이퍼 재료 제거율은 다음의 식에 따라 정의되고,
Figure 112003048590250-pct00003
여기서,
Figure 112003048590250-pct00004
는 컨디셔닝 파라미터 x i 의 웨이퍼 재료 제거율이고,
Figure 112003048590250-pct00005
는 기울기이며, I i
Figure 112003048590250-pct00006
x i 간의 관계를 정의하는 곡선의 절편(intercept)이고, 웨이퍼 연마에 영향을 미칠 수 있는 다른 팩터들은 일정하게 유지된다.
적어도 일부 실시예에서, 갱신된 패드 컨디셔닝 파라미터 x i +는 다음의 식을 품으로써 결정되고,
Figure 112003048590250-pct00007
여기서,
Figure 112008082901710-pct00008
는 목표 웨이퍼 재료 제거율이고, Wi 은 컨디셔닝 파라미터 xi 의 가중 계수(weighting coefficient)이며, Δy는 웨이퍼 재료 제거율의 예측 오차이다.
본 발명의 적어도 일부 형태에서, 재료의 제거에 의해 기판을 평탄화하는데 사용되는 연마 패드를 컨디셔닝하는 장치는, 연마 패드의 평탄화 표면 상에 위치할 수 있는 암을 갖는 캐리어 어셈블리; 캐리어 어셈블리에 부착된 컨디셔닝 디스크; 컨디셔닝 디스크의 동작 파라미터를 제어할 수 있는 액추에이터(actuator); 및 액 추에이터에 작동적으로(operatively) 결합되는 제어기를 포함하고, 상기 제어기는 액추에이터를 동작시켜 컨디셔닝 디스크의 동작 파라미터를, 연마 패드 및 웨이퍼 파라미터에 기초하여 연마 패드의 웨이퍼 재료 제거율을 예측하는 패드 웨어 및 컨디셔닝 모델의 함수로서 조정한다. 컨디셔닝 디스크의 컨디셔닝 하향력 및 회전 속도는 웨이퍼 재료 제거율을 컨디셔닝 디스크 하향력 및 컨디셔닝 디스크 회전 속도를 포함하는 패드 컨디셔닝 파라미터의 함수로서 결정함으로써 모델에 의해 예측된다.
적어도 일부 실시예에서, 웨이퍼 재료 제거율은 다음의 식에 따라 정의되고,
Figure 112003048590250-pct00009
여기서,
Figure 112003048590250-pct00010
는 컨디셔닝 파라미터 x i 의 웨이퍼 재료 제거율이고,
Figure 112003048590250-pct00011
는 기울기이며, I i
Figure 112003048590250-pct00012
x i 간의 관계를 정의하는 곡선의 절편이다.
적어도 일부 실시예에서, 갱신된 패드 컨디셔닝 파라미터 x i +는 다음의 식을 품으로써 결정되고,
Figure 112003048590250-pct00013
여기서,
Figure 112008082901710-pct00014
는 목표 웨이퍼 재료 제거율이고, Wi 은 컨디셔닝 파라미터 xi 의 가중 계수이며, Δy는 웨이퍼 재료 제거율의 예측 오차이다.
따라서, 웨이퍼 재료 제거율의 허용 범위 이내로 유지하면서 소망의 컨디셔 닝 디스크 하향력 및 각속도를 사용하고, 제거율이 허용가능한 제거율 이하로 떨어지더라도 컨디셔닝 파라미터를 조정함으로써 연마 패드 수명이 연장된다. 종래의 공정은 패드 컨디셔닝 파라미터에 "하나의 크기로 모든 경우를 맞추는(one size fits all)" 접근 방법을 적용함으로써(예를 들면, 실제 웨이퍼 재료 제거율의 변화를 고려하지 않고서 컨디셔닝 파라미터를 결정함으로써), 과잉 보상하여, 패드 재료를 필요 이상으로 제거하여 패드 웨어를 가속시킨다. 따라서, 본 발명은 보다 최적의 컨디셔닝 파라미터, 즉 손상된 패드를 보수하는데 필요한 힘(force)만을 제공한다.
본 발명의 다양한 목적, 특징 및 이점은 첨부된 도면과 연계했을 때 본 발명의 상세한 설명을 참조하여 더욱 완전하게 명백해질 수 있다.
도 1a 및 도 1b는 종래의 CMP 기기를 나타내는 도면으로, 도 1a는 종래의 CMP 기기의 상면도이고, 도 1b는 도 1a의 A-A선에 따른 종래 기술의 CMP 기기의 측단면도이다.
도 2는 도 3b와 도 3c 간에 생기는 웨이퍼 재료 제거율의 지수형 감쇠 및 제거율의 평형 상태를 나타내는 도면이다.
도 3a 내지 도 3c는 연마 패드와 연마 슬러리 간에 생기는 화학 반응을 나타내는 도면으로, 도 3a는 일반적으로 폴리우레탄 연마 패드의 화학 구조 및 NCO 그룹 간에 형성되는 이온 결합을 나타내고, 도 3b는 일반적으로 폴리우레탄 합성물 내의 NCO 그룹 간의 이온 결합을 항복(breakdown)시킴으로써 폴리우레탄 연마 패드 와 물이 이온 결합을 형성하는 방법을 나타내고, 도 3c는 일반적으로 실리콘 슬러리가 물 및 폴리우레탄 연마 패드와 수소 결합을 형성하는 방법을 나타내는 도면이다.
도 4a 내지 도 4c는 연마 패드의 횡단면도로서, 도 4a는 새로운 연마 패드의 도면이고, 도 4b는 오래된 연마 패드의 도면이며, 도 4c는 오래된 연마 패드를 계속 사용하기 위해 재활용하는 방법을 나타내는 도면이다.
도 5는 CMP 공정 최적화에서 사용되는 피드백 루프의 흐름도이다.
도 6은 패드 웨어 및 컨디셔닝 모델의 데이터 수집 및 생성을 나타내는 흐름도이다.
도 7은 일반적으로 컨디셔닝 디스크에 의해 연마 패드 상에 가해지는 압력을 고려하여 웨이퍼 재료 제거율을 나타내는 그래프이다.
도 8은 일반적으로 컨디셔닝 디스크에 의해 연마 패드 상에 가해지는 회전 속도를 고려하여 웨이퍼 재료 제거율을 나타내는 그래프이다.
도 9는 다음의 웨이퍼 제거를 위한 제거율을 예측하여 변경시키는 도 7 및 도 8에 기초한 모델이다.
도 10은 본 발명의 적어도 일부 실시예의 방법에서 사용하는 CMP 기기의 측단면도이다.
도 11은 본 발명의 적어도 일부 실시예에서 사용하는 툴 표시 및 액세스 제어를 포함하는 컴퓨터 시스템의 블럭도이다.
도 12는 본 발명의 적어도 일부 실시예에 따른 소프트웨어의 각부를 저장할 수 있는 플로피 디스크를 나타내는 도면이다.
여기서는, 연마 패드의 수명을 최대화하기 위한 CMP 공정의 피드포워드 및 피드백 제어의 새로운 방법에 대하여 설명한다. 패드 수명의 연장에 의해서 CMP 공정을 위한 시간이 감소되는데, 그 이유는 연마 패드가 필요한 교체나 조정(예를 들면, 패드의 제거)없이 장기간에 걸쳐서 보다 많은 웨이퍼를 연마할 수 있기 때문이다. 상기 웨이퍼란 용어는 일반적인 관점에서 연마를 받는 소정의 대체로 평탄한 객체를 포함하여 사용된다. 웨이퍼는 모놀리식 구조와 함께, 하나 이상의 층 또는 상부에 퇴적되는 박막을 갖는 기판을 포함한다.
대부분의 CMP 패드 재료는 물에 노출되었을 때에 유연해지는 우레탄 또는 그 외의 폴리머를 포함한다. 도 3a 내지 도 3c에 나타낸 패드에 관한 화학 반응은 유연성(softening)이 생길 수 있는 공정을 설명한다. 특히, 새로운 브랜드 패드의 우레탄 내의 이소시안산염(NCO) 그룹은 통상 도 3a에 나타낸 바와 같이 수소 결합을 통하여 교차 결합(cross-link)된다. 연마 슬러리부터의 물이 패드와 접촉하면, 물은 도 3b에 나타낸 바와 같이 교차 결합된 우레탄 구조 내의 수소 결합을 중단시켜 우레탄과의 수소 결합을 형성한다. 물이 교차 결합된 우레탄 구조를 치환시키면, 패드는 유연해진다. 또한, 도 3b의 구조는 슬러리 내의 실리카(SiO2)(연마 공정으로부터 제거된 물질로부터의)와 반응하여 도 3c에 나타낸 바와 같이 우레탄 패드 내의 NCO 그룹과 추가적인 수소 결합을 생성할 수 있다. 실리카가 우레탄 구 조와 화학적으로 반응함으로써 패드는 "기능을 잃게(poisoned)" 된다. 슬러리로부터 물이 증발하면, 실리카는 패드를 경화시킨다. 슬러리 조성과 패드의 수소 결합은 패드 내의 슬러리 이동의 평균 자유 행로(mean free path)를 차단하고 물과 패드 간의 활성 접촉 영역을 감소시켜, 최종 연마된 웨이퍼에서 웨이퍼의 제거율 및 표면 균일성이 감소된다. 도 2는 도 3b 및 도 3c에 나타낸 화학 반응에서 생기는 평형 상태를 고려하여 시간이 지남에 따라 제거율이 감소하는 것을 나타낸다. 평형 상태에 일단 도달하면, 패드 연마는 정지할 것이다.
도 4a, 도 4b 및 도 4c는 패드 컨디셔닝을 나타내는 간단한 모델이다. 도 4a에 나타낸 바와 같이, 활성 패드 사이트(site)(2)의 높이(또는 깊이(1)를 패드(3)의 수명과 동등하다고 가정한다. 높이(1)가 감소할수록, 예상되는 패드(3)의 수명도 감소한다. 도 4b의 패드(3)의 기능 상실(poisoned) 영역(4)은 평형 상태에서 생기고, 도 2b 및 도 2c에서 화학적으로 표현되어 있다. 기능 상실 영역(4)은 일반적으로 패드 컨디셔닝에 의해 도 4c에 나타낸 바와 같이 물리적으로 제거되어, 새로운 활성 사이트(2)가 재차 노출될 것이다. 도 4a, 도 4b 및 도 4c에 나타낸 공정은 활성 사이트를 더이상 사용할 수 없을 때까지 패드의 전체 수명 사이클동안 반복된다.
연마 패드의 평탄화 및 컨디셔닝 중에 상기한 화학적 기계적 공정은 평탄화 공정의 최적화를 위한 모델을 제공한다. 이 모델을 사용함으로써, 패드 수명은 웨이퍼 연마 중에 컨디셔닝 파라미터를 조정하여 웨이퍼 재료 제거율과의 절충없이 연장될 수 있다. 특히, 컨디셔닝 디스크 하향력(F) 및 컨디셔닝 디스크 회전(또는 각) 속도(RPM), 그리고 선택적으로 그외의 컨디셔닝 파라미터, 예를 들어 컨디셔닝 빈도, 디스크 이송 속도, 및 컨디셔닝 지속기간은, 패드 컨디셔닝 동작 파라미터를 예측하고 나서 최적화하는 피드백 및 피드포워드 루프의 연마 동작 중에 조정된다.
본 발명의 적어도 일부 실시예에 따르면, 초기 모델은 웨이퍼 연마 공정의 정보에 기초하여 전개되고, 흐름도(도 5)에 나타낸 바와 같이 본 발명의 적어도 일부 실시예에서 사용된다. 주어진 웨이퍼 연마 레시피의 초기 모델에 기초하여, 예를 들어 웨이퍼 및 연마 패드 파라미터가 일정하게 유지되고, 초기 처리 조건이 식별되어, 소정의 컨디셔닝 파라미터 세트에 대해서 미리 선택된 최소값과 최대값 사이에서 웨이퍼 제거율을 제공하게 되는데, 이후 이것을 웨이퍼 재료 제거율의 "허용가능한(acceptable)" 범위라 한다. 이러한 조건은 패드의 오버컨디셔닝을 방지하기 위해서 선택된다. 단계 500에서, 웨이퍼들은 초기 패드 컨디셔닝 파라미터를 사용하여 주어진 웨이퍼 연마 레시피에 따라 연마된다. 단계 510에서, 상기 연마된 웨이퍼의 두께가 측정되고, 웨이퍼 재료 제거율이 계산되는데, 그 정보는 피드백 루프에서 웨이퍼 재료 제거율을 허용가능한 범위 내로 유지하도록 사용된다. 실제 제거율은 (패드 웨어 모델로부터 유도된) 예측 제거율과 비교된다. 편차, 즉 예측 오차는 본 발명의 모델에 따라 단계 520에서 패드 컨디셔닝 파라미터를 계산하여, 모델에서의 식별에 따라서 연마 패드의 연마 능력 감소를 보상하거나 및/또는 소정의 모델링되지 않은 결과를 정정하기 위해 사용된다. 연마 패드는 단계 530에서 갱신된 컨디셔닝 파라미터에 따라 컨디셔닝된다. 연마는 단계 540에서 반복되고, 그 연마 결과는 단계 510~530을 반복함으로써 연마 상태를 더 갱신하기 위 해 사용된다.
웨이퍼 재료 제거율 및 컨디셔닝 파라미터를 미리 선택된 최소와 최대 범위 내로 유지함으로써, 패드의 오버컨디셔닝이 방지되는데, 즉, 컨디셔닝 파라미터는 연마 패드의 효율을 회복하기에는 충분하지만, 패드를 부적절하게 손상시키지는 않는다. 동작시에는, 최소의 허용가능한 제거율에 근접한 웨이퍼 재료 제거율로 되는 패드 컨디셔닝 파라미터를 선택하여, 상기 컨디셔닝력을 보다 약화시키고, 따라서 연마 패드의 오버컨디셔닝을 회피할 가능성을 높일 수 있는 것이 바람직하다. 그러나, 패드 상태의 급격한 열화는 웨이퍼 재료 제거율을 최소의 허용가능한 제거율 아래로 떨어뜨릴 수 있기 때문에, 최소 제거율에 너무 근접한 곳에서 동작하는 것에 대해서 주의(또는, 적어도 인식)하고 있어야 한다.
상기한 바와 같이, 종래기술의 CMP 공정은 예를 들어 컨디셔닝 이벤트마다 표면에 걸처 균일한 컨디셔닝 하향력(즉, 컨디셔닝 디스크에 의해 패드 상에 가해지는 압력) 또는 회전 속도를 변경하지 못한다. 여기서, 단일의 컨디셔닝 이벤트는 예를 들어 연마 패드 전체 또는 연마 중에 웨이퍼와 접촉하는 연마 패드의 일부분의 컨디셔닝일 수 있다. "하나의 크기로 모든 경우를 맞추는" 접근 방법을 패드 컨디셔닝 파라미터에 적용함으로써, 종래의 공정은 과잉 보상하여, 패드 재료를 필요 이상으로 제거하여 패드 웨어를 가속시킨다. 따라서, 본 발명은 더욱 더 최적의 컨디셔닝 파라미터를 제공한다.
패드 컨디셔닝 최적화는 특정한 연마 시스템과 관련하여 수행된다. 즉, 패드 수명을 향상시키는 조건이 연마되는 웨이퍼의 형태, 연마에 사용되는 슬러리 및 연마 패드의 구성에 지정된다. 일단, 웨이퍼/슬러디/연마 패드 시스템이 식별되면, 시스템은 전개된 본 명세서에서 설명한 모델을 사용하여 특정지워진다. 연마 패드와 웨이퍼 파라미터의 일례로는, 연마 패드 크기, 연마 패드 조성, 슬러리 조성, 웨이퍼 조성, 연마 패드의 회전 속도, 연마 패드 압력, 및 웨이퍼의 회전 및 이송 속도를 포함한다.
본 발명의 적어도 일부 실시예에서는, 독립적 모델(또는, 적어도 합성 모델에 추가한 모델)이 각 슬러리/연마 패드 웨이퍼 조합(즉, 소정 형태의 웨이퍼로 제조시에 사용될 수 있는 각 슬러리의 다른 형태/브랜드 및 각 패드의 형태/브랜드)마다 생성됨을 감안하였다.
도 6은 본 발명의 적어도 일부 실시예에서 패드 웨어와 컨디셔닝 모델의 개발에 사용되는 단계의 흐름도를 나타낸다. 본 발명의 적어도 일부 실시예로부터 기대되는 바와 같이 모델 개발의 제1 단계 600에서는, 웨이퍼 재료 제거율과 제 1 컨디셔닝 파라미터 x1 간의 관계, 예를 들면 컨디셔닝 디스크 하향력(F disk )은 선택된 연마 시스템에서 결정된다. 이 관계는 일정하게 유지되는 연마력, 연마 기간 등의 웨이퍼 파라미터에 의해 다른 컨디셔닝 하향력에서 웨이퍼 재료 제거율을 측정함으로써 결정된다. 따라서, 웨이퍼는, 예를 들면 특정한 시간동안, 특정한 연마 패드와 웨이퍼 속도 등의 특정한 조건하에서 연마될 수 있어, 그 재료 제거율이 결정될 수 있다. 패드 컨디셔닝 및 웨이퍼 연마("연마 이벤트")는 도 10에 나타낸 바와 같은 장치를 사용하여 동시에 수행되거나, 또는 패드 컨디셔닝에 이어서 웨이 퍼 연마가 수행될 수 있다. 컨디셔닝 하향력은 일정하게 유지되는 모든 다른 파라미터에 의해 웨이퍼마다(또는, 두께 측정시마다) 증분해서 증가하여, 웨이퍼 제거율이 다시 결정된다. 소정의 연마 시스템의 웨이퍼 재료 제거율(다른 모든 파라미터는 일정하게 유지)에 대한 컨디셔닝 디스크 하향력의 효과를 예시한 도 7에 나타낸 바와 같은 곡선이 생성된다.
도 7을 참조하면, 곡선(700)의 제1 부분에서, 기울기는 하향력의 변화에 대한 선형 응답을 나타내고, 각도 θ 1로 나타낸다. θ 1의 값은 컨디셔닝 하향력에 대한 연마 공정의 응답을 나타낸다. θ 1의 값이 클수록, 컨디셔닝 하향력에 대하여 곡선의 기울기가 보다 가파르며, 평탄화 공정이 보다 민감하다. 곡선(720)의 제2 영역에서, 곡선은 평탄하게 되고 컨디셔닝 하향력의 증가에 실질적으로 반응하지 않게 된다. 이것을 포화점이라 칭한다. 포화의 개시는 θ 2로 표시되어 있다. θ 2의 값이 클수록, 포화(기능 상실)의 개시가 보다 점진적이다.
모델 변수의 최소 및 최대값은 도 6의 단계 610에서 결정된다. 포화점은 다른 모든 연마 파라미터가 일정하게 유지되는 연마 시스템의 최대(또는 실질적으로 최대) 제거율을 식별한다. 마찬가지로, 추가적인 압력이 패드를 오버컨디셔닝하여 실질적으로 연마율을 향상시키지 못하기 때문에, 최대 컨디셔닝 하향력을 식별한다. 최소의 웨이퍼 처리율(throughput rate)이 필요하기 때문에, 최소 재료 제거율은 생산 목표에 의해 지시된다. 따라서, 최소의 컨디셔닝 하향력도 처리량에 기초하여 정의된다. 컨디셔닝 하향력의 최소 및 최대값이 일단 정의되면, 범위는 컨 디셔닝 하향력의 허용가능한 작업 범위를 포함하는 n 단계, 예를 들면 n의 같은 단계로 분리된다. n의 값은 피드백 제어 알고리즘의 모델 파라미터를 갱신하는데 사용하기 위해서, 한단계의 값이, 예들 들어 x 내지 x+1의 값이 의미있는 값이 되도록 선택된다.
단계 620에서, 본 발명의 적어도 일부 실시예에 의해 기대되는 바와 같이, 웨이퍼 재료 제거율과 제2 컨디셔닝 파라미터 x2, 예를 들어 컨디셔닝 디스크 회전 속도 간의 관계는 컨디셔닝 하향력에 대하여 상기한 바와 같이 동일한 연마 시스템으로 결정된다. 도 8을 참조하면, 곡선은 웨이퍼 재료 제거율에 대한 패드 회전 속도의 영향을 나타내도록 생성될 수 있다(다른 모든 파라미터는 일정하게 유지됨). 재차, 인가된 회전 속도가 점진적으로 증가되어 웨이퍼 재료 제거율은 각 연마 이벤트마다 측정된다. 영역(800)은 패드 회전 속도의 변화에 대한 선형 응답을 나타내고 각도 θ3로 나타낸다. 영역(820)에서, 곡선은 평탄하게 되어 회전 속도의 증가에 실질적으로 반응하지 않게 된다. 이것을 포화점이라 칭하고, 각도 θ4로 나타낸다. 도 6의 단계 630에서, 최대 웨이퍼 재료 제거율 및 최대 회전 속도는 이 연마 시스템의 포화점으로 정의되고, 다른 모든 연마 파라미터는 일정하게 유지된다. 최소 회전 속도는 생산시 확립된(production-established) 웨이퍼 재료 제거율에 의해 결정되고, 예를 들면 처리량을 고려한 것에 기초한다. 상기한 컨디셔닝 하향력과 같이, 디스크 회전 속도의 허용가능한 범위는 피드백 제어 알고리즘의 모델 파라미터를 갱신하는데 사용하기 위해서 m 단계, 예를 들면 같은 값으로 분할될 수 있다.
이 모델은 최대 및 최소 웨이퍼 재료 제거율, 최대 및 최소 패드 하향력, 및 최대 및 최소 패드 회전 속도를 제공한다. 또한, 상수값 θ1 -θ4 가 결정된다. 상기 실험 설계가 파라미터 진폭의 증가와 함께 웨이퍼 제거율이 증가함을 증명하는 컨디셔닝 파라미터를 나타내고 있지만, 이와 반대의 관계가 존재할 수 있어서, 최소 파라미터 값으로 최대 웨이퍼 제거율을 생성할 수 있음을 이해하여야 한다. 이에 따라 상기 모델이 조정될 수 있다. 최대와 최소 조건은 공지된 연마 패드, 웨이퍼 및 연마 슬러리의 소정의 조합으로 결정될 수 있다. xi까지의 부가적 패드 컨디셔닝 파라미터가 상기 모델에 포함될 수 있고, 그 최대값과 최소값은 단계 640 및 650에 나타낸 바와 같이 결정된다.
상기 모델은 시스템을 반영하는 원(raw) 데이터로서 나타나거나, 또는 예를 들면, 시스템의 변수간의 관계를 설명하는 다중 입력-다중 출력 선형, 2차 및 비선형 방정식에 의해 표시될 수 있다. 피드백 및 피드포워드 제어 알고리즘은 다양한 방법들을 사용하여 상기한 모델에 기초해서 단계 660에서 구성될 수 있다. 알고리즘은 순환 파라미터 추정 등의 다양한 방법을 사용하여 컨디셔닝 파라미터를 최적화하기 위해 사용될 수 있다. 순환 파라미터 추정은 상기한 바와 같은 상황에서 사용되는데, 여기서는 입력-출력 데이터가 수신되는 동시에 온라인으로 모델링하는 것이 바람직하다. 순환 파라미터의 추정은 적응적 제어 또는 적응적 예측 등의 온라인 결정에 적합하다. 상기한 식별 알고리즘 및 이론에 관한 보다 상세한 것은, 「Ljung L., System Identification - Theory for the User, Prentice Hall, Upper Saddle River, N.J. 2nd, 1999」를 참조한다.
연마 패드의 착용 및 보수는 식 1에 의해 모델링될 수 있다.
[식 1]
Figure 112003048590250-pct00015
여기서, F disk 는 컨디셔닝 중에 컨디셔닝 디스크에 의해 연마 패드에 인가되는 하향력이고, ω disk 는 연마 패드의 컨디셔닝 중에 컨디셔닝 디스크의 각속도이며, t 는 컨디셔닝 시간이고, f는 컨디셔닝 빈도이며, T 2는 도 10의 일례의 CMP 장치에 나타낸 바와 같이(또한, 이후 상세하게 설명) 컨디셔닝 홀더의 스위핑 속도이다. 패드는 도 10에 나타낸 바와 같이 별도의 단계에서 또는 웨이퍼가 연마되는 동안에 컨디셔닝될 수 있다. 빈도는 컨디셔닝 이벤트들 간의 간격, 예를 들어 연마된 웨이퍼의 수로서 측정된다. 예를 들면, 1의 빈도는 패드가 웨이퍼마다 컨디셔닝되는 것을 의미하고, 한편 3의 빈도는 패드가 3개의 웨이퍼마다 컨디셔닝되는 것을 의미한다. 스위핑 속도는 컨디셔닝 디스크가 연마 패드의 표면을 횡단하여 이동하는 속도이다. 이 동작을 도 10에서 화살표 T2로 표시하고 있다. 최초 검사를 위해서, 본 발명의 적어도 일부 실시예에서는 t(시간), T2(스위핑 속도), 및 f(주파수)를 상수로 가정하고 있다.
본 발명의 적어도 일부 실시예에서, 웨이퍼 재료 제거율은 식 2로 정의된다.
[식 2]
Figure 112003048590250-pct00016
여기서, F disk , ω disk , t conditioning , T 2, θ 1, θ 2, θ 3 , θ 4는 상기와 같의 정의된다. 목적 함수는 컨디셔닝 디스크 하향력, 디스크의 rpm을 제어하고, 선택적으로 컨디셔닝의 빈도 및 지속기간, 및 패드 표면을 횡단하는 컨디셔닝 디스크의 이송 속도 T2 등의 다른 팩터들을 제어함으로써 제거율을 최소 및 최대 허용율("acceptable rate") 이내로 유지하는 것이다.
상기 모델로부터의 CMP 파라미터(변수) 및 상수는 컴퓨터 내에 프로그램된 다음, 처리하는 동안 상기 파라미터를 계속 모니터하고, 적절하게 변화시켜서, 도 9에 나타낸 바와 같이 웨이퍼 재료 제거율과 패드 수명을 향상시킬 수 있다. 기초 연구로부터의 파라미터(901)가 웨이퍼 연마 공정을 행하는 컴퓨터 또는 다른 제어기(902) 및 그 공정 파라미터를 모니터하고 변화시키는 추정기(903)에 입력된다. 실제 출력(즉, 측정된 제거율)(904)이 모니터되고, 추정기(903)에 의해 계산된 예측 출력(즉, 목표 제거율)과 비교된다. 실제 출력(904)과 예측 출력(905) 사이의 차이(906)가 결정되어 추정기(903)에 보고(907)된 다음, 프로세스(902)용 갱신 파라미터(908)를 적절하게 생성한다. 피드백 제어를 위한 갱신 모델 파라미터는 식 3에 기초한다.
[식 3]
Figure 112003048590250-pct00017
여기서, k는 현재 파라미터이고, k1은 이전 파라미터 추정치이고, g는 이득이고, (k-(k1))은 예측 오차이다. 이득은 사용자에 의해 선택되는 상수로서, 기기 오차 또는 변화의 측정치로서 사용된다. 이득 팩터는 실험적으로 또는 통계적 방법을 사용하여 결정될 수 있다.
일례로서, 웨이퍼 재료 제거율과 컨디셔닝 하향력 및 컨디셔닝 디스크 회전 속도 간의 관계를 결정하기 위하여, 일련의 곡선이 상술한 바와 같은 관심 연마 시스템에 대해서 생성될 수 있다. 곡선은 검사 시의 파라미터(들) 이외에 일정하게 유지되는 모든 연마 패드 및 웨이퍼 조건과 함께 표준 연마 절차를 사용하여 생성된다. 일정하게 유지되는 연마 패드와 웨이퍼 파라미터의 일례로서는, 연마 패드 크기, 연마 패드 조성, 웨이퍼 조성, 연마 시간, 연마력, 연마 패드의 회전 속도 및 웨이퍼의 회전 속도를 포함한다. 검사 시의 가변 파라미터는 적어도 컨디셔닝 하향력 및 컨디셔닝 디스크의 각속도를 포함한다. 다음과 같은 분석에서 보다 상세하게 나타내는 바와 같이, 부가적인 파라미터가 상기 모델에 포함될 수 있다. 도 6에 나타낸 바와 같은 모델 개발 및 도 7 및 도 8에 나타낸 바와 같이 생성된 곡선을 사용하여, θ14의 값, 웨이퍼 재료 제거율의 최소와 최대값, 컨디셔닝 하향력 및 컨디셔닝 디스크 회전 속도가 결정된다. 웨이퍼 평탄화를 모델링한 알고리즘이 정의되고, 실험적으로 또는 과거의 데이터나 DOE로부터의 데이터를 사용하여 관심 연마 시스템에 대한 패드 컨디셔닝 파라미터의 제 1 세트가 결정될 수 있다.
패드 웨어 및 패드 회복 처리를 모델링한 알고리즘은 추정기에 입력되고, 예측된 웨이퍼 재료 제거율은 상기 모델에 기초하여 계산된다. 그 실제 결과는 예측된 결과와 비교되고, 예측 오차는 추정기로 피드백되어 상기 모델을 리파인(refine)한다. 이 후, 새로운 컨디셔닝 파라미터가 결정된다. 여기서 설명한 모델에 기초하면, 상기 파라미터들은 오버컨디셔닝없이 패드 표면을 회복시키기에 충분하다. 따라서, 모델 기준에 맞는 컨디셔닝 파라미터의 최소 증가치가 갱신된 컨디셔닝 파라미터마다 선택된다. 갱신된 모델의 후속 추정을 통해 얼마나 적합한가를 결정할 수 있고, 필요하다면 그 처리가 최적화될 때까지 다른 변형이 이루어질 수 있다.
본 발명의 적어도 일부 실시예에서, 컨디셔닝 파라미터는 도 7 및 도 8에 나타낸 증분 곡선에 의해 일례로서 정의된 불연속 증분이나 스텝으로 갱신된다. 적합한 곡선 수는 컨디셔닝 파라미터에 최소 조정이 이루어질 수 있을 정도로 스텝이 충분히 작게 생성된다.
또한, 본 발명의 적어도 일부 실시예에서, 갱신된 컨디셔닝 파라미터는 곡선 사이에 놓일 수 있는 적절한 파라미터와의 보간에 의해서 결정될 수 있다. 보간은 보다 적은 수의 곡선이 초기에 생성되는 경우에 적절할 수 있으며, 그 실험 결과는 파라미터의 정밀한 분석을 제공하지 못한다.
예측 비율로부터의 편차는 부분적으로 상기 처리에 기여하는 모든 팩터를 고려할 수 없는 모델의 무능력을 반영하지만(이것은 피드백 처리의 계속적인 반복에 의해 개선될 수 있음), 시간에 따라 예측된 웨이퍼 재료 제거율로부터의 편차는 CMP 패드 연마의 열화를 나타낸다. 연마 성능의 상기한 일시적인 변화를 고려하기 위하여 패드 컨디셔닝 처리를 식별하고 변화시킴으로써, 최적의 웨이퍼 재료 제거율을 컨디션 패드의 오버컨디셔닝없이, 예를 들면 상기한 시스템의 포화점 아래에서 동작함으로써 유지한다.
상기 방법의 부가적인 특징은 식(3)에 나타낸 바와 같이 예측 오차의 적격화를 위해 이득 팩터를 사용하는 것이다. 따라서, 상기 방법은 모델이 예측된 값으로부터 편차의 100%까지 정확할 필요가 없도록 한다. 이득 팩터는 측정되거나 또는 계산된 파라미터의 불확실성을 반영하기 위해서나, 또는 너무 빨리 또는 너무 큰 범위로 파라미터를 변화시킨 결과를 "댐프(damp)"시키기 위해 사용될 수 있다. 예를 들면, 모델이 예측 오차에 대한 과대 보상을 할 수 있어서, 이 과대 보상에 대해 반응하는 다른 조정을 필요로 한다. 이것은 급변하는 최적화 처리를 유도하고, 최적화된 상태가 실현될 때까지 여러 차례 반복한다. 피드백 제어를 위한 파라미터를 갱신시의 이득 팩터의 사용을 통해서 모델이 예측 오차에 반응하는 범위를 정한다.
일단 기본 시스템이 이해되고 최적화되면, 다른 컨디셔닝 동작 파라미터를 실험적으로 변화시켜서, 패드 컨디셔닝 및 웨이퍼 연마에 대한 그 영향을 액세스할 수 있다. 초기 연구에서 상수값으로 설정되어 있는 파라미터는 증가(또는 감소)될 수 있다. 상기 시스템은 상기한 변화가 시스템에서 갖는 영향을 결정하기 위해 모니터된다. 패드 웨어 및 컨디셔닝과 관련된 다른 팩터가 상기한 방식으로 추정될 수 있음은 자명하다. 예를 들면, 초기 연구에서 1로 설정될 수 있는 컨디셔닝 빈 도는 2(2개의 웨이퍼마다), 3(3개의 웨이퍼마다) 등으로 증가될 수 있다. 이 시스템은 열화가 시작되는 지점을 결정하도록 모니터되어, 공정이 이 지점 직전으로 후퇴될 수 있다. 컨디셔닝 이벤트 간의 간격이 클수록, 패드 수명은 길어진다. 연마 품질의 손실없이 이 간격을 최대화하는 것이 본 발명의 방법의 특징으로서 기대된다.
패드 웨어 및 컨디셔닝과 관련된 다른 팩터가 상기한 방식으로, 실험적으로 또는 수학적 모델링에 의해 추정될 수 있음은 자명하다. 일례로서, 컨디셔닝 시간(패드 상의 디스크의 잔류 시간), 컨디셔닝 디스크 이송 속도 등은 상기한 방식으로 검사될 수 있다.
본 발명의 적어도 일부 실시예는 도 10에 나타낸 것과 같은 장치(1000)를 사용하여 실행될 수 있다. 이 장치는 캐리어 어셈블리(1020), 이 캐리어 어셈블리에 장착된 컨디셔닝 디스크(1030), 및 상기 캐리어 어셈블리와 작동적으로 연결되어 컨디셔닝 디스크의 하향력(F) 및 회전 속도(ω)를 제어하는 제어기(1040)를 포함하는 컨디셔닝 시스템(1010)을 갖는다. 캐리어 어셈블리는 컨디셔닝 디스크(1030)가 장착된 암(1050), 및 컨디셔닝 디스크를 평탄한 표면 내외로 접촉해서 이동시키는 수단(1060a-d)을 구비할 수 있다. 예를 들면, 제어기(1040)는 상기 이동 수단과 작동적으로 결합되어 컨디셔닝 디스크를 운반하는 암(1060a, 1060b, 1060c, 1060d)의 높이와 위치를 조정할 수 있다. 웨이퍼의 위치와 이동을 제어하기 위한 마찬가지의 제어도 또한 존재할 수 있다. 동작 중, 제어기는 웨이퍼 재료 제거율의 변화에 응답하여, 예를 들면 하향력과 회전률 등의 컨디셔닝 디스크의 동작 파라미터를 조정한다. 제어기는 계산된 컨디셔닝 레시피에 따른 컨디셔닝을 자동적으로 제공하기 위해 제어되는 컴퓨터일 수 있다. 따라서, 상기 장치는 웨이퍼(1090)의 평탄화 공정과의 절충없이 패드 수명을 연장시키기 위하여 패드(1080)의 동작 수명에 걸쳐서 패드 컨디셔닝 파라미터를 선택적으로 변경하기 위한 수단을 제공한다. 예를 들어, 다른 구성요소가 조정되는 장치의 높이, 위치 및/또는 회전을 갖는 다른 형태의 장치가 본 발명의 적어도 일부 실시예에 의해서 고려된다.
피드포워드 및 피드백 루프를 실행하기 위해 사용되는 부가적인 장치는 웨이퍼 재료 제거율을 계산하기 위해 필요한 두께 데이터를 제공하기 위한 막 두께 측정 도구를 포함한다. 상기 도구는 원위치(in situ)에서의 인라인 측정을 제공할 수 있도록 연마 장치 상에 위치되거나, 또는 연마 장치로부터 원격으로 위치될 수 있다. 상기 도구는 광학적, 전기적, 음향적 또는 기계적 측정 방법을 사용할 수 있다. 적합한 두께 측정 장치로는 나노메트릭스(Milpitas, CA) 또는 노바 측정 기구(Phoenix, AZ)의 장치를 이용할 수 있다. 컴퓨터는 본 발명에 따라 제공된 모델 및 알고리즘을 사용하여 측정된 막 두께 및 계산된 제거율에 기초해서 최적의 패드 컨디셔닝 레시피를 계산하기 위해 사용될 수 있다. 적절히 통합된 제어기 및 연마 장치(iAPC를 갖는 Mirra 또는 iAPC를 갖는 Mirra Mesa)는 캘리포니아 소재의 어플라이드 머티리얼즈사의 장치를 이용할 수 있다.
본 명세서에서 설명된 개념을 사용하여 연마될 수 있는 반도체 웨이퍼의 일례로는, 실리콘, 텅스텐, 알루미늄, 동, BPSG, USG, 열산화물, 실리콘계 막 및 낮은 k 유전체 및 그 혼합물을 포함하지만, 이것들에 한정되지는 않는다.
본 발명은 소정 수의 다른 형태의 종래 CMP 연마 패드를 사용하여 예측될 수 있다. 일반적으로는, 우레탄이나 다른 폴리머로 이루어진 기술의 수 많은 CMP 연마 패드가 있다. 그러나, 보수될 수 있는 소정의 패드가 본 발명을 사용하여 평가되고 최적화될 수 있다. 연마 패드의 일례로서 EpicTM 연마 패드(Cabot Microelectronics Corporation, Aurora IL) 및 Rodel
Figure 112003048590250-pct00018
IC1000, IC1010, IC1400 연마 패드(Rodel Corporation, Newark, DE), OXP 시리즈 연마 패드(Sycamore Pad), 토마스 웨스트 패드 711, 813, 815, 815-Ultra, 817, 826, 828, 828-E1(토마스 웨스트)를 포함한다.
또한, 소정 수의 다른 형태이 슬러리가 본 발명의 방법에서 사용될 수 있다. 일반적으로 반도체 웨이퍼 내의 특수한 형태의 금속을 연마하기 위해 이루어진 기술의 수 많은 CMP 공정 슬러리가 있다. 슬러리의 일례로는 Semi-Sperse
Figure 112003048590250-pct00019
(Semi-Sperse
Figure 112003048590250-pct00020
12, Semi-Sperse
Figure 112003048590250-pct00021
25, Semi-Sperse
Figure 112003048590250-pct00022
D7000, Semi-Sperse
Figure 112003048590250-pct00023
D7100, Semi-Sperse
Figure 112003048590250-pct00024
D7300, Semi-Sperse
Figure 112003048590250-pct00025
P1000, Semi-Sperse
Figure 112003048590250-pct00026
W2000 및 Semi-Sperse
Figure 112003048590250-pct00027
W2585)(Cabot Microelectronics Corporation, Aurora IL), Rodel ILD1300, Klebesol 시리즈, Elexsol, MSW1500, MSW2000 시리즈, CUS 시리즈 및 PTS(Rodel)을 포함한다.
웨이퍼 재료 제거율 데이터로부터 컨디셔닝 레시피를 계산하는 알고리즘의 일례는 다음과 같이 정의될 수 있다.
[식 4]
Figure 112003048590250-pct00028
여기서,
Figure 112003048590250-pct00029
는 컨디셔닝 파라미터 x i 의 웨이퍼 재료 제거율이고,
Figure 112003048590250-pct00030
는 기울기이며, I i
Figure 112003048590250-pct00031
x i 간의 관계를 정의하는 곡선의 절편이다. x 1 =F disk , x 2 disk , x 3 =f, x 4 =t conditioning ,x 5 =T 2 로 하면, 다음과 같은 관계가 모델로부터 확립될 수 있다.
[식 5]
Figure 112003048590250-pct00032
[식6]
Figure 112003048590250-pct00033
[식 7]
Figure 112003048590250-pct00034
[식 8]
Figure 112003048590250-pct00035
[식 9]
Figure 112003048590250-pct00036
여기서,
Figure 112003048590250-pct00037
는 예측 제거율이고, ρ는 기울기이며 I는 각 식의 절편이다. NN +는 특정 패드 컨디셔닝 파라미터의 상부 및 하부 경계 컨디션을 나타낸다. 본 발명의 모델들은 이들 패드 컨디셔닝 파라미터의 전체 또는 부분 집합을 포함할 수 있다.
웨이퍼 재료 제거율에 기여하는 각각의 패드 컨디셔닝 팩터는 단일식으로 조합될 수 있고, 이 단일식은 각 팩터의 웨이퍼 재료 제거율에 대한 가중 기여도를 정의한다. 웨이퍼 재료 제거율은 식 10으로 정의될 수 있다.
[식 10]
Figure 112003048590250-pct00038
여기서, Wi는 가중 팩터이고, W T =W 1 +W 2 +W 3 +W 4 +W 5 이다. 가중 팩터는 식 10의
Figure 112003048590250-pct00039
를 충족시키기 위한 x i와 연관되는 임의의 페널티(penalty), 예를 들면 재료 결함, 퇴적 불균일 등을 최소화함으로써 결정된다. 페널티 함수는 실험적으로 또는 이력 데이터를 사용하여 결정될 수 있다.
웨이퍼 재료 제거율
Figure 112003048590250-pct00040
의 예측 오차는 식 11에 나타낸 예측 제거율
Figure 112003048590250-pct00041
과 측정 제거율 y 간의 차이이다.
[식 11]
Figure 112003048590250-pct00042
예측 오차는 갱신된 웨이퍼 재료 제거율
Figure 112003048590250-pct00043
를 생성하는데 사용된다. 피드 백 식 12에 기초한 새로운 예측은 다음과 같고,
[식 12]
Figure 112003048590250-pct00044
최적화 파라미터 x i+는 식 13에 의해 결정된다.
[식 13]
Figure 112003048590250-pct00045
여기서,
Figure 112003048590250-pct00046
는 목표 웨이퍼 재료 제거율이다.
최적화 파라미터는 차후의 연마 단계에서 사용하기 위해 툴로 보내는 새료운 CMP 연마 레시피를 갱신하는데 사용된다. 따라서, 모델은 공정 상의 임의의 외부 제어없이 공정을 개선하기 위해 많은 데이터를 수신할 때에 적합할 수 있다.
컴퓨터 또는 다른 제어기(902)를 포함한 컴퓨터에 의해 제어될 수 있는 본 발명의 다른 형태는, 도 11에 나타낸 것을 포함한 소정 수의 제어/컴퓨터 실체일 수 있다. 도 11을 참조하면, 버스(1156)는 시스템(1111)의 다른 구성요소를 상호접속하는 주요한 정보 고속도로로서 작용한다. CPU(1158)는 시스템의 중앙 처리 장치로서, 다른 프로그램과 함께 본 발명의 실시예의 처리를 실행하기 위해 필요한 계산 및 논리 연산을 수행한다. 판독 전용 메모리(ROM)(1160) 및 랜덤 액세스 메모리(RAM)(1162)는 시스템의 주 메모리를 구성한다. 디스크 제어기(1164)는 하나 이상의 디스크 드라이브를 시스템 버스(1156)와 인터페이스한다. 상기 디스크 드 라이브로는, 예를 들면 플로피 디스크 드라이브(1170) 또는 CD ROM, 또는 DVD(디지털 비디오 디스크) 드라이브(1166) 또는 내장이나 외장 하드 드라이브(1168)가 있다. 상기한 여러 가지 디스크 드라이브와 디스크 제어기는 선택적 장치이다.
디스플레이 인터페이스(1172)는 디스플레이(1148)와 인터페이스하여, 버스(1156)로부터의 정보가 디스플레이(1148)상에 디스플레이되도록 한다. 디스플레이(1148)는 그래픽 유저 인터페이스를 디스플레이할 때 사용될 수 있다. 상술한 시스템의 다른 구성요소 등의 외부 장치와의 통신은, 예를 들면 통신 포트(1174)를 사용하여 이루어질 수 있다. 광섬유 및/또는 전기 케이블 및/또는 도체 및/또는 광 통신(예를 들면, 적외선 등) 및/또는 무선 통신(예를 들면, 무선 주파수(RF) 등)이 외부 장치와 통신 포트(1174) 사이의 전송 매체로서 사용될 수 있다. 주변 인터페이스(1154)는 키보드(1150) 및 마우스(1152)와 인터페이스하여, 입력 데이터가 버스(1156)에 전송되도록 한다. 상기한 구성요소와 더불어, 시스템(1111)은 또한 적외선 송신기 및/또는 적외선 수신기를 선택적으로 포함한다. 적외선 송신기는 컴퓨터 시스템이 적외선 신호 전송을 통해 송수신하는 하나 이상의 처리 구성요소/스테이션과 함께 사용될 때 선택적으로 이용된다. 적외선 송신기 또는 적외선 수신기를 사용하는 대신에, 컴퓨터 시스템은 또한 저전력 무선 송신기(1180) 및/또는 저전력 무선 수신기(1182)를 선택적으로 사용할 수 있다. 저전력 무선 송신기는 제조 공정의 구성요소에 의해 수신을 위한 신호를 송신하고, 저전력 무선 수신기를 통해 상기 구성요소로부터의 신호를 수신한다. 저전력 무선 송신기 및/또는 수신기는 산업 표준 장치이다.
도 11의 시스템(1111)이 단일 프로세서, 단일 하드 디스크 드라이브 및 단일 로컬 메모리를 구비한 것으로 예시하였지만, 시스템(1111)은 다수의 프로세서나 스토리지 장치 또는 이들의 조합체를 선택적으로 적절히 구비한다. 예를 들면, 시스템(1111)은 정교한 계산기, 휴대용 랩탑 노트북, 미니, 메인프레임 및 슈퍼 컴퓨터를 포함한 본 발명의 실시예의 원리에 따라 동작하는 소정의 적절한 처리 시스템뿐만 아니라 이들의 프로세싱 시스템 네트워크 조합으로 대체되거나 조합될 수 있다.
도 12는 컴퓨터 판독가능한 코드 또는 명령을 기억하는데 사용가능한 컴퓨터 판독가능 메모리 매체(1284)의 일례를 나타낸다. 그 일례로서, 매체(1284)는 도 11에 예시된 디스크 드라이브와 함께 사용될 수 있다. 일반적으로, 플로피 디스크 또는 CD ROM 또는 디지털 비디오 디스크 등의 메모리 매체는, 예를 들면 컴퓨터가 본 명세서에서 설명한 기능을 수행할 수 있도록 상기 시스템을 제어하기 위한 프로그램 정보 및 단일 바이트 언어용의 멀티-바이트 로케일(locale)을 포함한다. 또한, 도 11에 예시한 ROM(1160) 및/또는 RAM(1162)은 또한 중앙 처리 장치(1158)에 지시해서 인스턴트 공정과 연관된 동작을 수행하기 위해 사용되는 프로그램 정보를 기억하기 위해 사용될 수 있다. 정보를 기억하기 위한 적절한 컴퓨터 판독가능 매체의 다른 예로서는, 자기, 전기 또는 광학적(홀로그래픽을 포함함) 스토리지 및 그 조합체 등을 포함한다. 또한, 본 발명의 적어도 일부 실시예에서는, 상기한 매체가 전송 형태(예를 들면, 디지털 또는 전파 신호)일 수 있음을 고려한다.
일반적으로, 본 발명의 실시예의 다양한 구성요소들은 하드웨어, 소프트웨어 또는 이들의 조합으로 구현될 수 있다. 이러한 실시예들에서, 다양한 구성요소들 과 단계들은 하드웨어 및/또는 소프트웨어에서 구현되어 본 발명의 기능을 수행하게 된다. 소정의 현재 이용가능한 또는 향후에 개발되는 컴퓨터 소프트웨어 언어 및/또는 하드웨어 구성요소들은 본 발명의 상기한 실시예들에서 이용될 수 있다. 예를 들면, 상술한 기능들 중 적어도 일부는 C, C++ 또는 사용되는 프로세서(들) 관점에서 적합한 소정의 어셈블리 언어를 사용하여 구현될 수 있다. 또한, 자바 등의 해석 환경에서 기입되어 다양한 유저에게 다수의 수신자로 전송될 수 있다.
본 발명의 개념을 구체화한 다양한 실시예들을 본 명세서에 나타내고 설명하였지만, 당업자들은 상기한 개념을 구체화한 많은 다른 변경된 실시예를 용이하게 계획할 수 있다.

Claims (38)

  1. 웨이퍼가 웨이퍼로부터 재료의 제거를 위해 연마 패드에 위치되고, 컨디셔닝(conditioning) 디스크가 상기 연마 패드의 컨디셔닝을 위해 상기 연마 패드에 위치되는 화학적 기계적 연마(CMP) 장치에서의 연마 패드의 평탄화 표면을 컨디셔닝하는 컴퓨터 구현 방법에 있어서,
    a) 웨이퍼 재료 제거율을, 최대 및 최소값을 갖는 적어도 하나의 패드 컨디셔닝 파라미터의 함수로서 정의하는 패드 웨어 및 컨디셔닝 모델(pad wear and conditioning model) 을 제공하고, 상기 컨디셔닝 파라미터는 컨디셔닝 디스크 하향력, 컨디셔닝 디스크의 회전 속도, 컨디셔닝의 빈도, 컨디셔닝의 지속시간, 및 컨디셔닝 디스크의 이송 속도로 이루어지는 그룹으로부터 선택된 하나 이상의 파라미터를 포함하는 단계;
    b) 웨이퍼 재료 제거율을 미리 선택된 최대 및 최소 제거율 이내로 유지하도록 선택된 패드 컨디셔닝 파라미터에 대한 초기값의 세트 하에서 상기 CMP 장치에서 웨이퍼를 연마하는 단계;
    c) 상기 연마 단계 중에 생기는 웨이퍼 재료 제거율을 측정하는 단계;
    d) 상기 단계 c)에서 측정된 웨이퍼 재료 제거율과, 상기 패드 웨어 및 컨디셔닝 모델에 기초하여, 웨이퍼 재료 제거율을 최대 및 최소 제거율 이내로 유지하도록 패드 컨디셔닝 파라미터에 대해 갱신된 값을 계산하는 단계; 및
    e) 상기 컨디셔닝 파라미터에 대해 갱신된 값을 사용하여 연마 패드를 컨디셔닝하는 단계를 포함하는 컴퓨터 구현 방법.
  2. 삭제
  3. 삭제
  4. 제1항에 있어서,
    상기 패드 컨디셔닝 파라미터에 대해 갱신된 값을 계산하는 단계는 상기 패드 컨디셔닝 파라미터에 대해 갱신된 값이 상기 결정된 최소 및 최대값 이내에 있도록 패드 컨디셔닝 파라미터에 대해 갱신된 값을 계산하는 단계를 포함하는 컴퓨터 구현 방법.
  5. 제1항에 있어서,
    상기 패드 컨디셔닝 파라미터에 대해 갱신된 값은 상기 패드 웨어 및 컨디셔닝 모델의 출력과 단계 c)의 상기 측정된 웨이퍼 재료 제거율 간의 차이에 기초하여 계산되고, 상기 차이는 패드 컨디셔닝 파라미터에 대해 갱신된 값을 계산하기 전에 이득을 사용하여 조정되는 컴퓨터 구현 방법.
  6. 제1항에 있어서,
    상기 패드 컨디셔닝 파라미터에 대해 갱신된 값은 식 k=(k1 )+g*(k-(k1 ))에 따라 갱신되고, 여기서, k는 측정된 웨이퍼 재료 제거율이고, k1 은 계산된 웨이퍼 재료 제거율이며, g는 이득이고, (k-(k1 ))은 예측 오차(prediction error)인 컴퓨터 구현 방법.
  7. 제1항에 있어서,
    상기 패드 컨디셔닝 파라미터에 대한 초기값의 세트는 이력 데이터(historical data) 또는 상기 모델을 개발하는데 사용되는 실험 설계(DOE: design of experiment)의 결과의 적어도 하나를 사용하여 결정되는 컴퓨터 구현 방법.
  8. 제1항에 있어서,
    웨이퍼 재료 제거율의 최대값은 웨이퍼 재료 제거율 대 컨디셔닝 디스크 하향력 곡선의 포화점이고, 상기 웨이퍼 재료 제거율의 최소값은 최대 허용가능한 웨이퍼 연마 시간에 기초하여 정의되는 컴퓨터 구현 방법.
  9. 제1항에 있어서,
    단계 d)의 상기 갱신된 패드 컨디셔닝 파라미터를 계산하는 단계는 순환적 최적화 공정(recursive optimization process)을 행하는 단계를 포함하는 컴퓨터 구현 방법.
  10. 제1항에 있어서,
    패드 수명은 상기 컨디셔닝 디스크 하향력, 상기 연마 패드의 컨디셔닝 동안 상기 컨디셔닝 디스크의 회전 속도, 상기 컨디셔닝의 지속시간, 상기 컨디셔닝의 빈도, 및 컨디셔닝 동안 상기 컨디셔닝 디스크의 스위핑(sweeping) 속도 중 적어도 하나에 의해 결정되는 컴퓨터 구현 방법.
  11. 제1항에 있어서,
    상기 웨이퍼 재료 제거율은 상기 컨디셔닝 디스크 하향력, 상기 연마 패드의 컨디셔닝 동안 상기 컨디셔닝 디스크의 회전 속도, 상기 컨디셔닝의 지속시간, 상기 컨디셔닝의 빈도, 및 컨디셔닝 동안 상기 컨디셔닝 디스크의 스위핑 속도 중 적어도 하나에 의해 결정되는 컴퓨터 구현 방법.
  12. 제1항에 있어서,
    상기 웨이퍼 재료 제거율은 다음의 식에 따라 정의되고,
    Figure 112008082901710-pct00086
    여기서,
    Figure 112008082901710-pct00087
    는 컨디셔닝 파라미터 xi 의 웨이퍼 재료 제거율이고,
    Figure 112008082901710-pct00088
    는 기울기이며, Ii
    Figure 112008082901710-pct00089
    xi 간의 관계를 정의하는 곡선의 절편(intercept)인 컴퓨터 구현 방법.
  13. 제12항에 있어서,
    상기 패드 컨디셔닝 파라미터에 대해 갱신된 값 xi +는 다음의 식을 풀어서 결정되고,
    Figure 112008082901710-pct00090
    여기서,
    Figure 112008082901710-pct00091
    는 목표 웨이퍼 재료 제거율이고, Wi 은 컨디셔닝 파라미터 xi 의 가중 계수(weighting coefficient)이며,
    Figure 112008082901710-pct00092
    는 웨이퍼 재료 제거율의 예측 오차인 컴퓨터 구현 방법.
  14. 기판으로부터 재료의 제거에 의해 상기 기판을 평탄화하는데 사용되는 연마 패드를 컨디셔닝하는 장치에 있어서,
    연마 패드의 평탄화 표면 상에 위치할 수 있는 암(arm)을 갖는 캐리어 어셈블리;
    상기 캐리어 어셈블리에 부착된 컨디셔닝 디스크;
    상기 컨디셔닝 디스크의 동작 파라미터를 제어할 수 있는 액추에이터(actuator); 및
    상기 액추에이터에 작동적으로(operatively) 결합되어, 상기 액추에이터를 동작시켜 패드 웨어 및 컨디셔닝 모델에 기초하여 상기 컨디셔닝 디스크의 동작 파라미터를 조정하는 제어기를 포함하고,
    상기 패드 웨어 및 컨디셔닝 모델은 패드 컨디셔닝 파라미터의 함수로서 웨이퍼 재료 제거율을 정의하고, 상기 패드 컨디셔닝 파라미터는 컨디셔닝 디스크 하향력과 컨디셔닝 디스크 회전율을 포함하는 컨디셔닝 장치.
  15. 제14항에 있어서,
    상기 웨이퍼 재료 제거율은 다음의 식에 따라 정의되고,
    Figure 112007043520397-pct00093
    여기서,
    Figure 112007043520397-pct00094
    는 컨디셔닝 파라미터 xi 의 웨이퍼 재료 제거율이고,
    Figure 112007043520397-pct00095
    는 기울기이며, Ii
    Figure 112007043520397-pct00096
    xi 간의 관계를 정의하는 곡선의 절편인 컨디셔닝 장치.
  16. 제15항에 있어서,
    패드 컨디셔닝 파라미터에 대한 값 xi +는 다음의 식을 풀어서 결정되고,
    Figure 112008082901710-pct00097
    여기서,
    Figure 112008082901710-pct00098
    는 목표 웨이퍼 재료 제거율이고, Wi 은 컨디셔닝 파라미터 xi 의 가중 계수이며,
    Figure 112008082901710-pct00099
    는 웨이퍼 재료 제거율의 예측 오차인 컨디셔닝 장치.
  17. 제14항에 있어서,
    패드 컨디셔닝 파라미터에 대한 값은 식 k=(k 1)+g*(k-(k 1))에 따라 갱신되고, 여기서, k는 측정된 웨이퍼 재료 제거율이고, k 1은 계산된 웨이퍼 재료 제거율이며, g는 이득이고, (k-(k 1))은 예측 오차인 컨디셔닝 장치.
  18. 화학적 기계적 연마 공정을 위한 컴퓨터 실행 소프트웨어 애플리케이션을 포함하고, 컴퓨터에 의해 실행되는 명령(instruction)을 구비하는 컴퓨터 판독가능 매체로서,
    상기 명령은,
    a) 화학적 기계적 연마 툴(tool)로부터 화학적 기계적 연마 공정에서 처리된 적어도 하나의 웨이퍼의 웨이퍼 제거율에 관한 데이터를 수신하는 단계; 및
    b) 단계 a)의 상기 데이터로부터, 정의된 최대 및 최소값 이내에서 패드 컨디셔닝 파라미터에 대해 갱신된 값을 계산하는 단계를 포함하는 공정을 구현하기 위한 것이고,
    상기 패드 컨디셔닝 파라미터에 대해 갱신된 값은 패드 웨어 및 컨디셔닝 모델의 출력과 단계 a)의 상기 데이터 간의 차이에 기초하여 계산되고,
    상기 패드 웨어 및 컨디셔닝 모델은 패드 컨디셔닝 파라미터의 함수로서 웨이퍼 재료 제거율을 정의하고, 상기 패드 컨디셔닝 파라미터는 컨디셔닝 디스크 하향력과 컨디셔닝 디스크 회전율을 포함하는 컴퓨터 판독가능 매체.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
KR1020037016638A 2001-06-19 2002-06-17 컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체 KR100904866B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US30578201P 2001-07-16 2001-07-16
US60/305,782 2001-07-16
US31874101P 2001-09-12 2001-09-12
US60/318,741 2001-09-12
US09/998,384 US7101799B2 (en) 2001-06-19 2001-11-30 Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US09/998,384 2001-11-30
PCT/US2002/019062 WO2002102549A1 (en) 2001-06-19 2002-06-17 Feedforward and feedback control for conditioning of chemical mechanical polishing pad

Publications (2)

Publication Number Publication Date
KR20040010753A KR20040010753A (ko) 2004-01-31
KR100904866B1 true KR100904866B1 (ko) 2009-06-26

Family

ID=27501749

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037016638A KR100904866B1 (ko) 2001-06-19 2002-06-17 컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체

Country Status (6)

Country Link
US (2) US7101799B2 (ko)
EP (1) EP1399295A1 (ko)
JP (1) JP2005518285A (ko)
KR (1) KR100904866B1 (ko)
CN (1) CN1535196A (ko)
WO (1) WO2002102549A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106853609A (zh) * 2015-12-07 2017-06-16 K.C.科技股份有限公司 化学机械抛光装置及其方法

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US6660637B2 (en) * 2001-09-28 2003-12-09 Infineon Technologies Ag Process for chemical mechanical polishing
US7175503B2 (en) 2002-02-04 2007-02-13 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of polishing within a zone on a specimen from combined output signals of an eddy current device
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
SG185141A1 (en) * 2003-03-25 2012-11-29 Neopad Technologies Corp Customized polish pads for chemical mechanical planarization
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
CN1301184C (zh) * 2003-12-16 2007-02-21 汪开庆 加工半导体用兰宝石晶体基片的光学研磨机及其加工方法
DE102004024737A1 (de) * 2004-05-19 2005-12-15 Voith Paper Patent Gmbh Produktionsoptimierung
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
EP1793296A1 (en) * 2005-12-05 2007-06-06 Insyst Ltd. An apparatus and method for the analysis of a process having parameter-based faults
TWI287483B (en) * 2005-12-23 2007-10-01 Ind Tech Res Inst A removal rate estimating method of a chemical mechanical polishing process under mixed products or mixed layers
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
WO2007082556A1 (en) * 2006-01-23 2007-07-26 Freescale Semiconductor, Inc. Method and apparatus for conditioning a cmp pad
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7451122B2 (en) * 2006-03-29 2008-11-11 Honeywell International Inc. Empirical design of experiments using neural network models
US7840305B2 (en) * 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
BRPI0817076A2 (pt) * 2007-09-06 2015-03-24 Deka Products Lp Sistema e método de processamento
US7838308B2 (en) * 2008-05-12 2010-11-23 Advanced Micro Devices, Inc. Method of controlling embedded material/gate proximity
JP5415735B2 (ja) 2008-09-26 2014-02-12 株式会社荏原製作所 ドレッシング方法、ドレッシング条件の決定方法、ドレッシング条件決定プログラム、および研磨装置
US7899571B2 (en) * 2008-11-05 2011-03-01 Texas Instruments Incorporated Predictive method to improve within wafer CMP uniformity through optimized pad conditioning
US20100130107A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Method and apparatus for linear pad conditioning
US9058707B2 (en) 2009-02-17 2015-06-16 Ronald C. Benson System and method for managing and maintaining abrasive blasting machines
US20100241250A1 (en) * 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
CN101623849B (zh) * 2009-07-31 2011-05-11 清华大学 一种用于对抛光垫进行修整的修整装置
KR101956838B1 (ko) 2009-11-03 2019-03-11 어플라이드 머티어리얼스, 인코포레이티드 시간에 대한 스펙트럼들 등고선 플롯들의 피크 위치를 이용한 종료점 방법
TWI381904B (zh) * 2009-12-03 2013-01-11 Nat Univ Chung Cheng The method of detecting the grinding characteristics and service life of the polishing pad
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
KR101738885B1 (ko) * 2010-04-20 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 개선된 폴리싱 패드 프로파일들을 위한 폐쇄-루프 제어
WO2011139501A2 (en) * 2010-04-30 2011-11-10 Applied Materials, Inc. Pad conditioning sweep torque modeling to achieve constant removal rate
US8758085B2 (en) * 2010-10-21 2014-06-24 Applied Materials, Inc. Method for compensation of variability in chemical mechanical polishing consumables
US20120270477A1 (en) * 2011-04-22 2012-10-25 Nangoy Roy C Measurement of pad thickness and control of conditioning
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US9472475B2 (en) 2012-02-27 2016-10-18 Applied Materials, Inc. Feedback control using detection of clearance and adjustment for uniform topography
CN102591205B (zh) * 2012-02-29 2013-07-31 清华大学 化学机械抛光传输机器人的递归优化控制***
US8563335B1 (en) 2012-04-23 2013-10-22 Applied Materials, Inc. Method of controlling polishing using in-situ optical monitoring and fourier transform
US9289875B2 (en) * 2012-04-25 2016-03-22 Applied Materials, Inc. Feed forward and feed-back techniques for in-situ process control
JP6193623B2 (ja) * 2012-06-13 2017-09-06 株式会社荏原製作所 研磨方法及び研磨装置
JP2014053505A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法、半導体ウェーハ及び半導体装置の製造装置
JP5964262B2 (ja) * 2013-02-25 2016-08-03 株式会社荏原製作所 研磨装置に使用される研磨部材のプロファイル調整方法、および研磨装置
US9286930B2 (en) * 2013-09-04 2016-03-15 Seagate Technology Llc In-situ lapping plate mapping device
US10312128B2 (en) * 2015-12-31 2019-06-04 Taiwan Semiconductor Manufacturing Company Ltd. Chemical-mechanical polish (CMP) devices, tools, and methods
CN107633103A (zh) * 2016-07-15 2018-01-26 中国科学院微电子研究所 一种cmp模型参数优化方法和装置
CN106323152B (zh) * 2016-09-05 2018-10-02 清华大学 Cmp金属膜厚测量数据的离线分段处理方法和处理***
CN107234495B (zh) * 2017-07-24 2019-01-29 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
TWI794293B (zh) * 2017-09-25 2023-03-01 美商應用材料股份有限公司 使用製程控制參數矩陣的半導體製造
US11389928B2 (en) * 2017-11-30 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for conditioning polishing pad
US11511381B2 (en) * 2018-08-29 2022-11-29 Illinois Tool Works Inc. Movement control of material removal systems
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US20200130136A1 (en) * 2018-10-29 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing apparatus and method
US11989492B2 (en) 2018-12-26 2024-05-21 Applied Materials, Inc. Preston matrix generator
WO2020166694A1 (ja) * 2019-02-15 2020-08-20 東洋鋼鈑株式会社 ハードディスク用基板の製造方法
US20220146991A1 (en) * 2019-03-15 2022-05-12 3M Innovative Properties Company Polishing semiconductor wafers using causal models
CN113263436B (zh) * 2020-05-29 2022-08-30 台湾积体电路制造股份有限公司 化学机械抛光***及使用方法
US11794305B2 (en) 2020-09-28 2023-10-24 Applied Materials, Inc. Platen surface modification and high-performance pad conditioning to improve CMP performance
CN114734372A (zh) * 2022-03-28 2022-07-12 北京烁科精微电子装备有限公司 一种晶圆研磨方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
WO2001015865A1 (en) * 1999-08-31 2001-03-08 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization

Family Cites Families (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) * 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3366934A (en) * 1964-04-29 1968-01-30 Data Resolved Tools Pty Ltd Data transmission means
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ko) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
GB8814735D0 (en) * 1988-06-21 1988-07-27 Crosfield Electronics Ltd Position indicating device
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) * 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
WO1992007331A1 (en) * 1990-10-16 1992-04-30 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) * 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) * 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) * 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5456627A (en) * 1993-12-20 1995-10-10 Westech Systems, Inc. Conditioner for a polishing pad and method therefor
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) * 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
US5486131A (en) * 1994-01-04 1996-01-23 Speedfam Corporation Device for conditioning polishing pads
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5461007A (en) * 1994-06-02 1995-10-24 Motorola, Inc. Process for polishing and analyzing a layer over a patterned semiconductor substrate
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
JP3637977B2 (ja) * 1995-01-19 2005-04-13 株式会社荏原製作所 ポリッシングの終点検知方法
US5617023A (en) * 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5698455A (en) 1995-02-09 1997-12-16 Micron Technologies, Inc. Method for predicting process characteristics of polyurethane pads
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) * 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
TW320591B (ko) * 1995-04-26 1997-11-21 Fujitsu Ltd
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) * 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
US5785585A (en) * 1995-09-18 1998-07-28 International Business Machines Corporation Polish pad conditioner with radial compensation
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
JPH09129530A (ja) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5743784A (en) * 1995-12-19 1998-04-28 Applied Materials, Inc. Apparatus and method to determine the coefficient of friction of a chemical mechanical polishing pad during a pad conditioning process and to use it to control the process
KR100200480B1 (ko) * 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6017143A (en) * 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
WO1997036164A1 (en) * 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) * 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
DE69715726T2 (de) * 1996-05-30 2003-08-14 Ebara Corp Poliervorrichtung mit Verriegelungsfunktion
US5637031A (en) * 1996-06-07 1997-06-10 Industrial Technology Research Institute Electrochemical simulator for chemical-mechanical polishing (CMP)
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US6022989A (en) * 1996-06-28 2000-02-08 Abbott Laboratories Process for the preparation of an activated amino acid
US6245679B1 (en) * 1996-08-16 2001-06-12 Rodel Holdings, Inc Apparatus and methods for chemical-mechanical polishing of semiconductor wafers
US6246972B1 (en) * 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
TW364956B (en) * 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) * 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) * 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6094688A (en) * 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
JPH10329015A (ja) * 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
US5834377A (en) 1997-04-07 1998-11-10 Industrial Technology Research Institute In situ method for CMP endpoint detection
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6108091A (en) * 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US5975994A (en) * 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5957754A (en) 1997-08-29 1999-09-28 Applied Materials, Inc. Cavitational polishing pad conditioner
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US5934974A (en) * 1997-11-05 1999-08-10 Aplex Group In-situ monitoring of polishing pad wear
US6045434A (en) * 1997-11-10 2000-04-04 International Business Machines Corporation Method and apparatus of monitoring polishing pad wear during processing
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
KR100297371B1 (ko) * 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US5985497A (en) * 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) * 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6226792B1 (en) * 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) * 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6324481B1 (en) * 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6280289B1 (en) * 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (ja) * 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6252412B1 (en) * 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6389491B1 (en) * 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) * 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
DE19917242A1 (de) * 1999-04-16 2000-10-19 Stahlecker Fritz Spulenteller mit Einrichtungen zum Bilden einer Fadenreservewicklung
US6227955B1 (en) * 1999-04-20 2001-05-08 Micron Technology, Inc. Carrier heads, planarizing machines and methods for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6135863A (en) 1999-04-20 2000-10-24 Memc Electronic Materials, Inc. Method of conditioning wafer polishing pads
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6268270B1 (en) * 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
JP2001143982A (ja) * 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6405096B1 (en) * 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6287879B1 (en) * 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6213848B1 (en) 1999-08-11 2001-04-10 Advanced Micro Devices, Inc. Method for determining a polishing recipe based upon the measured pre-polish thickness of a process layer
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6417014B1 (en) * 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) * 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6375791B1 (en) * 1999-12-20 2002-04-23 Lsi Logic Corporation Method and apparatus for detecting presence of residual polishing slurry subsequent to polishing of a semiconductor wafer
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6705930B2 (en) * 2000-01-28 2004-03-16 Lam Research Corporation System and method for polishing and planarizing semiconductor wafers using reduced surface area polishing pads and variable partial pad-wafer overlapping techniques
US6584369B2 (en) * 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6435952B1 (en) * 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) * 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6400162B1 (en) * 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
JP2002093761A (ja) * 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) * 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
JP3634734B2 (ja) * 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
JP2002124496A (ja) * 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
JP2002126998A (ja) 2000-10-26 2002-05-08 Hitachi Ltd 研磨方法および研磨装置
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
JP3922887B2 (ja) 2001-03-16 2007-05-30 株式会社荏原製作所 ドレッサ及びポリッシング装置
US6409580B1 (en) * 2001-03-26 2002-06-25 Speedfam-Ipec Corporation Rigid polishing pad conditioner for chemical mechanical polishing tool
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
JP2002299289A (ja) 2001-03-30 2002-10-11 Toshiba Corp 化学的機械的研磨方法および半導体装置の製造方法
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
WO2001015865A1 (en) * 1999-08-31 2001-03-08 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106853609A (zh) * 2015-12-07 2017-06-16 K.C.科技股份有限公司 化学机械抛光装置及其方法
CN106853609B (zh) * 2015-12-07 2020-12-15 凯斯科技股份有限公司 化学机械抛光装置及其方法

Also Published As

Publication number Publication date
US7413986B2 (en) 2008-08-19
CN1535196A (zh) 2004-10-06
US7101799B2 (en) 2006-09-05
US20060009129A1 (en) 2006-01-12
EP1399295A1 (en) 2004-03-24
JP2005518285A (ja) 2005-06-23
US20030027424A1 (en) 2003-02-06
WO2002102549A1 (en) 2002-12-27
KR20040010753A (ko) 2004-01-31

Similar Documents

Publication Publication Date Title
KR100904866B1 (ko) 컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체
KR100904867B1 (ko) 패드 웨어 및 패드 컨디셔닝 모델을 전개하는 컴퓨터 실행 방법, 컴퓨터 판독가능 매체, 및 컨디셔닝 시스템
KR100906133B1 (ko) 제거율 프로파일의 조작을 제공하는 화학적 기계적 연마장치의 피드백 제어
JP4880512B2 (ja) モデル予測制御を用いた、半導体製作における個別部品の生産を制御するための方法およびコントローラ装置
KR20040064616A (ko) 반도체 공정 절차의 인사이튜 센서 기반 제어
US7416472B2 (en) Systems for planarizing workpieces, e.g., microelectronic workpieces
US6957997B2 (en) Method and system for controlling the chemical mechanical polishing by using a sensor signal of a pad conditioner
US20040063224A1 (en) Feedback control of a chemical mechanical polishing process for multi-layered films
WO2005032763A1 (en) A method and system for controlling the chemical mechanical polishing by using a sensor signal of a pad conditioner

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120229

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee