KR100611061B1 - Method of manufacturing an epitaxial layer and method of manufacturing a thin layer and a semiconductor device using the same - Google Patents

Method of manufacturing an epitaxial layer and method of manufacturing a thin layer and a semiconductor device using the same Download PDF

Info

Publication number
KR100611061B1
KR100611061B1 KR1020040079971A KR20040079971A KR100611061B1 KR 100611061 B1 KR100611061 B1 KR 100611061B1 KR 1020040079971 A KR1020040079971 A KR 1020040079971A KR 20040079971 A KR20040079971 A KR 20040079971A KR 100611061 B1 KR100611061 B1 KR 100611061B1
Authority
KR
South Korea
Prior art keywords
film
single crystal
temperature
silicon
epitaxial
Prior art date
Application number
KR1020040079971A
Other languages
Korean (ko)
Other versions
KR20060023101A (en
Inventor
손용훈
신유균
박재영
이종욱
여차동
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US11/095,496 priority Critical patent/US7141116B2/en
Priority to JP2005190648A priority patent/JP4510707B2/en
Publication of KR20060023101A publication Critical patent/KR20060023101A/en
Application granted granted Critical
Publication of KR100611061B1 publication Critical patent/KR100611061B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

에피택시얼막 형성 방법과 이를 이용한 박막 형성 방법 및 반도체 장치의 제조 방법에 있어서, 제1 단결정 실리콘막 상에 상기 제1 단결정 실리콘막의 표면을 부분적으로 노출시키는 개구부를 갖는 제1 절연막 패턴을 형성한 후, 상기 개구부에 의해 노출된 제1 단결정 실리콘막 상에 단결정 실리콘으로 이루어진 제1 시드막을 형성한다. 그리고, 상기 제1 시드막이 형성된 결과물 상부로 실리콘 소스 가스를 제공하여 상기 제1 시드막 상에 에피택시얼막을 성장시키면서 상기 제1 절연막 패턴 상에 비정질 실리콘막을 형성한다. 이어서, 상기 비정질 실리콘막의 결정 구조를 단결정으로 전환시켜 상기 에피택시얼막과 비정질 실리콘막으로부터 제2 단결정 실리콘막을 획득한다.A method of forming an epitaxial film, a method of forming a thin film using the same, and a method of manufacturing a semiconductor device, comprising: forming a first insulating film pattern having an opening that partially exposes a surface of the first single crystal silicon film on a first single crystal silicon film; A first seed film made of single crystal silicon is formed on the first single crystal silicon film exposed by the opening. In addition, an amorphous silicon layer is formed on the first insulating layer pattern while growing an epitaxial layer on the first seed layer by providing a silicon source gas over the resultant on which the first seed layer is formed. Next, the crystal structure of the amorphous silicon film is converted into a single crystal to obtain a second single crystal silicon film from the epitaxial film and the amorphous silicon film.

Description

에피택시얼막 형성 방법과 이를 이용한 박막 형성 방법 및 반도체 장치 제조 방법{Method of manufacturing an epitaxial layer and method of manufacturing a thin layer and a semiconductor device using the same}Epitaxial film formation method, thin film formation method and semiconductor device manufacturing method using the same {Method of manufacturing an epitaxial layer and method of manufacturing a thin layer and a semiconductor device using the same}

도 1a 및 도 1b는 본 발명의 실시예 1에 따른 에피택시얼막 형성 방법을 개략적으로 나타내는 단면도들이다.1A and 1B are cross-sectional views schematically illustrating a method for forming an epitaxial film according to Embodiment 1 of the present invention.

도 2a 내지 도 2e는 본 발명의 실시예 2에 따른 박막 형성 방법을 개략적으로 나타내는 단면도들이다.2A to 2E are cross-sectional views schematically illustrating a method of forming a thin film according to Embodiment 2 of the present invention.

도 3a 내지 도 3f 및 도 6은 본 발명의 실시예 3에 따른 박막 형성 방법을 개략적으로 나타내는 단면도들이다.3A to 3F and 6 are cross-sectional views schematically illustrating a thin film forming method according to Embodiment 3 of the present invention.

도 4는 본 발명의 실시예 3에 따른 박막 형성 방법을 개략적으로 나타내는 구성도이다.4 is a schematic view showing a thin film forming method according to Embodiment 3 of the present invention.

도 5는 도 3b를 구체적으로 설명하기 위한 단면도이다.FIG. 5 is a cross-sectional view for specifically describing FIG. 3B.

도 7a 내지 도 7d는 본 발명의 실시예 4에 따른 반도체 장치의 제조 방법을 개략적으로 나타내는 단면도들이다.7A to 7D are cross-sectional views schematically illustrating a method of manufacturing a semiconductor device in accordance with a fourth embodiment of the present invention.

도 8a 내지 도 8c는 본 발명의 실시예 5에 따른 반도체 장치의 제조 방법을 개략적으로 나타내는 단면도들이다.8A to 8C are cross-sectional views schematically illustrating a method of manufacturing a semiconductor device in accordance with a fifth embodiment of the present invention.

도 9는 본 발명의 방법에 따라 제조한 박막의 결정 구조를 주사 전자 현미경 으로 확대한 사진이다.9 is an enlarged photograph of a crystal structure of a thin film prepared according to the method of the present invention with a scanning electron microscope.

도 10은 종래의 방법에 따라 제조한 박막의 결정 구조를 주사 전자 현미경으로 확대한 사진이다.10 is an enlarged photograph of a crystal structure of a thin film manufactured according to a conventional method with a scanning electron microscope.

본 발명은 에피택시얼막 형성 방법과 이를 이용한 박막 형성 방법 및 반도체 장치의 제조 방법에 관한 것으로서, 보다 상세하게는 실리콘 소스 가스를 사용하는 에피택시얼막 형성 방법과 이를 이용한 박막 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다.The present invention relates to a method for forming an epitaxial film, a method for forming a thin film and a semiconductor device using the same, and more particularly, to an epitaxial film forming method using a silicon source gas, a method for forming a thin film and a semiconductor device using the same. It is about a method.

일반적으로, 결정 구조에 따라 물질은 단결정(single crystal), 다결정(poly crystal) 및 비정질(amorphous)로 분류할 수 있다. 상기 단결정은 하나의 결정 구조로 이루어지고, 상기 다결정은 다수개의 결정 구조로 이루어지고, 상기 비정질은 물질 내부가 결정이 아닌 불규칙한 원자 배열로 이루어진다.In general, depending on the crystal structure, materials can be classified into single crystal, poly crystal, and amorphous. The single crystal is composed of one crystal structure, the polycrystal is composed of a plurality of crystal structures, and the amorphous is composed of an irregular atomic arrangement instead of a crystal inside the material.

상기 다결정은 다수개의 결정 구조로 이루어지기 때문에 많은 결정 입계(grain boundary)를 갖는다. 그리고, 상기 결정 입계가 많을 경우 전자 또는 정공(hole)과 같은 캐리어의 이동과 제어 등을 방해한다. 따라서, 스택 구조의 박막 트랜지스터(thin film transistor : TFT) 등을 포함하는 반도체 장치 또는 에스오씨(SOC : system on chip) 등의 제조에서는 액티브 영역으로 형성하기 위한 채널막(channel layer)으로서 단결정 실리콘막을 주로 선택한다.Since the polycrystal is composed of a plurality of crystal structures, it has many grain boundaries. In addition, when the grain boundaries are large, the movement and control of carriers such as electrons or holes are hindered. Therefore, in the manufacture of a semiconductor device including a thin film transistor (TFT) or the like of a stacked structure or a system on chip (SOC), a single crystal silicon film is used as a channel layer for forming an active region. Mainly choose.

상기 단결정 실리콘막은 주로 단결정 실리콘으로 이루어지는 박막 상에 비정질 실리콘막을 형성한 후, 상기 박막의 단결정 실리콘을 시드로 사용하는 에피택시를 수행하여 상기 비정질 실리콘막의 결정 구조를 단결정으로 전환시킴으로서 획득한다.The single crystal silicon film is obtained by forming an amorphous silicon film mainly on a thin film made of single crystal silicon, and then performing epitaxy using the single crystal silicon of the thin film as a seed to convert the crystal structure of the amorphous silicon film into a single crystal.

상기 단결정 실리콘막을 형성하는 방법에 대한 예는 미합중국 특허 5,494,823호(issued to Kobayashi)에 개시되어 있다. 특히, 상기 미합중국 특허 5,494,823호에는 단결정 실리콘 기판 상에 비정질 실리콘막을 형성하는 방법이 개시되어 있다. 그리고, 상기 미합중국 특허 5,494,823호에 개시된 바에 의하면, 상기 비정질 실리콘막을 형성하는 과정에서 질소 가스를 부분적으로 사용한다. 이때, 상기 질소 가스는 실리콘 소스 가스보다 많이 사용한다. 아울러, 상기 비정질 실리콘막을 단결정 실리콘막으로 전환할 때 열처리를 약 600 내지 620℃의 온도 조건에서 수행한다.An example of a method of forming the single crystal silicon film is disclosed in US Pat. No. 5,494,823 issued to Kobayashi. In particular, US Pat. No. 5,494,823 discloses a method of forming an amorphous silicon film on a single crystal silicon substrate. In addition, as disclosed in US Patent No. 5,494,823, nitrogen gas is partially used in the process of forming the amorphous silicon film. At this time, the nitrogen gas is used more than the silicon source gas. In addition, when the amorphous silicon film is converted into a single crystal silicon film, heat treatment is performed at a temperature condition of about 600 to 620 ° C.

이와 같이, 상기 비정질 실리콘막을 형성하는 과정에서 질소 가스를 많이 사용할 경우에는 상기 단결정 실리콘으로 이루어지는 박막의 표면 상에 자연 산화막이 얇게 형성되는 상황이 종종 발생한다. 그리고, 상기 박막의 표면 상에 자연 산화막이 얇게 형성된 상태에서 상기 비정질 실리콘막의 결정 구조를 단결정으로 전환시키기 위한 에피택시를 수행할 경우에는 상기 비정질 실리콘막에 무작위로 핵결정 형성(nucleation)이 발생하고, 그 결과 상기 비정질 실리콘막은 단결정 실리콘막으로 형성되는 것이 아니라 다결정 실리콘막으로 형성된다. 이는, 상기 박막 상에 형성된 자연 산화막이 상기 박막의 단결정 실리콘이 시드로 작용하는 것을 방해 하기 때문이다.As such, when a large amount of nitrogen gas is used in the process of forming the amorphous silicon film, a situation in which a natural oxide film is formed thin on the surface of the thin film made of single crystal silicon often occurs. When epitaxy is performed to convert the crystal structure of the amorphous silicon film into a single crystal in a state where a thin natural oxide film is formed on the surface of the thin film, nucleation is randomly generated in the amorphous silicon film. As a result, the amorphous silicon film is not formed of a single crystal silicon film but a polycrystalline silicon film. This is because the natural oxide film formed on the thin film prevents the single crystal silicon of the thin film from acting as a seed.

그러므로, 상기 단결정 실리콘막을 형성하기 위한 공정에서는 상기 자연 산화막의 형성을 억제하거나 제거하는 방법들이 개발되고 있다. 예를 들면, 상기 비정질 실리콘막을 형성하기 위한 챔버 내에 진공도를 높여서 수증기(H2O) 또는 산소가스(O2)등의 잔류량을 줄어거나, 이온 투사를 수행하여 상기 자연 산화막을 제거하는 방법 등이 있다. 하지만, 상기 방법들은 진공도를 높이기 위한 장치 또는 이온 투사를 수행하기 위한 장치 등을 별도로 마련해야 한다.Therefore, in the process for forming the single crystal silicon film, methods for suppressing or removing the formation of the natural oxide film have been developed. For example, a method of reducing the residual amount of water vapor (H 2 O) or oxygen gas (O 2 ) by increasing the degree of vacuum in the chamber for forming the amorphous silicon film or removing the natural oxide film by performing ion projection may be performed. have. However, the above methods must separately provide an apparatus for increasing the degree of vacuum or an apparatus for performing ion projection.

이와 같이, 종래에는 단결정 실리콘막을 형성하는 과정에서 시드로 사용하기 위한 박막의 표면 상에 형성되는 자연 산화막으로 인한 불량이 발생하는 문제점이 있다.As described above, there is a problem in that a defect due to a natural oxide film formed on the surface of a thin film for use as a seed is conventionally formed in the process of forming a single crystal silicon film.

또한, 상기 온도 조건인 약 600 내지 620℃에서 열처리를 수행할 경우에는 열적 버짓(thermal budget)이 빈번하게 발생한다. 이와 같이, 상기 단결정 실리콘막을 형성하는 과정에서 발생하는 열적 버짓은 전기적 신뢰성에 심각한 영향을 끼치기도 한다.In addition, when the heat treatment is performed at about 600 to 620 ° C., the thermal budget is frequently generated. As such, the thermal budget generated in the process of forming the single crystal silicon film may seriously affect the electrical reliability.

본 발명의 제1 목적은 에피택시를 실시할 때 시드로 사용하기 위한 에피택시얼막을 용이하게 형성하기 위한 방법을 제공하는데 있다.A first object of the present invention is to provide a method for easily forming an epitaxial film for use as a seed when performing epitaxy.

본 발명의 제2 목적은 비정질 실리콘막의 결정 구조를 단결정으로 용이하게 전환시키기 위한 박막 형성 방법을 제공하는데 있다. A second object of the present invention is to provide a thin film formation method for easily converting the crystal structure of an amorphous silicon film into a single crystal.                         

본 발명의 제3 목적은 에피택시얼막을 성장시키는 표면 처리로서 전기적 특성을 향상시키기 위한 반도체 장치의 제조 방법을 제공하는데 있다.A third object of the present invention is to provide a method of manufacturing a semiconductor device for improving electrical characteristics as a surface treatment for growing an epitaxial film.

상기 제1 목적을 달성하기 위한 본 발명의 일 실시예에 따른 에피택시얼막 형성 방법은 산소를 함유하지 않으면서 실리콘을 함유하는 물질로 이루어진 박막을 마련한 후, 상기 박막의 상부로 실리콘 소스 가스를 제공한다. 그 결과, 상기 박막 상에는 자연 산화막의 형성이 이루어지지 않은 상태에서 에피택시얼막을 성장이 이루어진다.An epitaxial film forming method according to an embodiment of the present invention for achieving the first object is to provide a silicon source gas to the top of the thin film after providing a thin film made of a material containing silicon without containing oxygen do. As a result, the epitaxial film is grown on the thin film in a state where no natural oxide film is formed.

상기 제2 목적을 달성하기 위한 본 발명의 일 실시예에 따른 박막 형성 방법은 제1 단결정 실리콘막을 부분적으로 노출시키는 절연막 패턴을 형성한 후, 상기 절연막 패턴을 갖는 결과물 상부로 실리콘 소스 가스를 제공한다. 그 결과, 상기 단결정 실리콘막 상에는 자연 산화막이 형성이 이루어지지 않은 상태에서 에피택시얼막이 성장되고, 상기 절연막 패턴 상에는 비정질 실리콘막이 형성된다.According to one or more exemplary embodiments, a thin film forming method according to an embodiment of the present invention provides a silicon source gas over a resultant having the insulating film pattern after forming an insulating film pattern partially exposing the first single crystal silicon film. . As a result, an epitaxial film is grown on the single crystal silicon film without a natural oxide film being formed, and an amorphous silicon film is formed on the insulating film pattern.

상기 제2 목적을 달성하기 위한 본 발명의 다른 실시예에 따른 박막 형성 방법은 제1 단결정 실리콘막 상에 상기 제1 단결정 실리콘막의 표면을 부분적으로 노출시키는 개구부를 갖는 제1 절연막 패턴을 형성한 후, 상기 개구부에 의해 노출된 제1 단결정 실리콘막 상에 단결정 실리콘으로 이루어진 제1 시드막을 형성한다. 그리고, 상기 제1 시드막이 형성된 결과물 상부로 실리콘 소스 가스를 제공하여 상기 제1 시드막 상에 에피택시얼막을 성장시키면서 상기 제1 절연막 패턴 상에 비정질 실리콘막을 형성한다. 이어서, 상기 비정질 실리콘막의 결정 구조를 단결정으로 전 환시켜 상기 에피택시얼막과 비정질 실리콘막으로부터 제2 단결정 실리콘막을 획득한다.According to another aspect of the present invention, there is provided a method of forming a thin film, the first insulating film pattern having an opening that partially exposes the surface of the first single crystal silicon film on the first single crystal silicon film A first seed film made of single crystal silicon is formed on the first single crystal silicon film exposed by the opening. In addition, an amorphous silicon layer is formed on the first insulating layer pattern while growing an epitaxial layer on the first seed layer by providing a silicon source gas over the resultant on which the first seed layer is formed. Subsequently, the crystal structure of the amorphous silicon film is converted into a single crystal to obtain a second single crystal silicon film from the epitaxial film and the amorphous silicon film.

상기 제3 목적을 달성하기 위한 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법은 하부막 상에 상기 하부막을 부분적으로 노출시키는 개구부를 갖는 절연막 패턴을 형성한 후, 상기 절연막 패턴이 형성된 결과물 상부로 실리콘 소스 가스를 제공한다. 그 결과, 상기 부분적으로 노출된 하부막의 표면 상에 에피택시얼막이 성장된다. 그러므로, 상기 에피택시얼막이 상기 하부막의 표면 상에 자연 산화막이 형성되는 것을 충분하게 저지함으로서 상기 부분적으로 노출된 하부막의 표면 처리가 이루어지는 것이다.In the method of manufacturing a semiconductor device according to an embodiment of the present invention for achieving the third object, after forming an insulating film pattern having an opening that partially exposes the lower film on a lower film, an upper part of the resultant formed with the insulating film pattern To provide a silicon source gas. As a result, an epitaxial film is grown on the surface of the partially exposed lower film. Therefore, the epitaxial film sufficiently prevents the formation of the natural oxide film on the surface of the lower film, thereby performing the surface treatment of the partially exposed lower film.

이와 같이, 본 발명에서는 비정질 실리콘막을 형성할 때 실리콘 소스 가스만을 사용한다. 그 결과, 에피택시를 수행할 때 시드로 사용하기 위한 단결정 실리콘으로 이루어지는 박막 상에 자연 산화막이 형성되는 것을 충분하게 저지할 수 있다. 그러므로, 본 발명에서는 시드의 역할이 충실하게 이루어짐으로서 비정질 실리콘막을 단결정 실리콘막으로 용이하게 전환시킬 수 있다.As described above, in the present invention, only the silicon source gas is used to form the amorphous silicon film. As a result, it is possible to sufficiently prevent the formation of a natural oxide film on a thin film made of single crystal silicon for use as a seed when performing epitaxy. Therefore, in the present invention, since the role of the seed is fulfilled faithfully, the amorphous silicon film can be easily converted into the single crystal silicon film.

이하, 본 발명의 바람직한 실시예들을 도면을 참조하여 상세히 설명한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the drawings.

실시예 1Example 1

도 1a 및 도 1b는 본 발명의 실시예 1에 따른 에피택시얼막 형성 방법을 개략적으로 나타내는 단면도들이다.1A and 1B are cross-sectional views schematically illustrating a method for forming an epitaxial film according to Embodiment 1 of the present invention.

도 1a를 참조하면, 에피택시얼막을 형성하기 위한 대상물로서 산소를 함유하 지 않으면서 실리콘을 함유하는 물질로 이루어진 박막(10)을 마련한다. 상기 박막(10)의 예로서는 단결정 실리콘막을 들 수 있다. 특히, 상기 단결정 실리콘막의 예로서는 실리콘 기판 또는 실리콘-온-인슐레이터(silicon-on-insulator : SOI) 기판 등을 들 수 있다. 또한, 상기 단결정 실리콘막의 다른 예로서는 단결정 실리콘을 시드로 사용하는 선택적 에피택시얼 성장을 수행하여 획득하는 에피택시얼막을 들 수 있다.Referring to FIG. 1A, a thin film 10 made of a material containing silicon without containing oxygen as an object for forming an epitaxial film is prepared. An example of the thin film 10 may be a single crystal silicon film. In particular, examples of the single crystal silicon film include a silicon substrate, a silicon-on-insulator (SOI) substrate, and the like. Another example of the single crystal silicon film is an epitaxial film obtained by performing selective epitaxial growth using single crystal silicon as a seed.

본 실시예에서는 상기 박막(10)을 마련한 후, 상기 박막(10)의 표면을 평탄화시키는 공정을 더 수행할 수도 있다. 이때, 상기 평탄화는 주로 화학기계적 연마를 수행하는 것이 바람직하다. 또한, 본 실시예에서는 HF 용액 등을 사용하여 상기 박막(10)의 표면 처리를 더 수행할 수도 있다. 이와 같이, 상기 박막(10)의 표면 처리를 수행하는 것은 선행 공정의 수행으로 인하여 상기 박막(10)의 표면에 얇게 형성되어 있는 자연 산화막을 제거하면서 상기 박막(10)의 표면에 수소 페시베이션(hydrogen passivation) 처리를 하기 위함이다.In the present embodiment, after preparing the thin film 10, a process of planarizing the surface of the thin film 10 may be further performed. At this time, it is preferable that the planarization is mainly performed by chemical mechanical polishing. In addition, in the present embodiment, the HF solution may be used to further surface-treat the thin film 10. As described above, the surface treatment of the thin film 10 may be performed by performing hydrogen passivation on the surface of the thin film 10 while removing a natural oxide film formed thinly on the surface of the thin film 10 by performing the preceding process. This is to perform hydrogen passivation).

도 1b를 참조하면, 상기 박막(10)의 상부로 실리콘 소스 가스를 제공한다. 상기 실리콘 소스 가스의 예로서는 SixHy, SixHyClz, SixCly 등을 들 수 있다. 이들은 단독으로 사용하는 것이 바람직하지만, 경우에 따라서 둘 이상을 혼합하여 사용할 수도 있다. 본 실시예에서는 상기 실리콘 소스 가스로서 SiH4를 주로 사용한다.Referring to FIG. 1B, a silicon source gas is provided to an upper portion of the thin film 10. Examples of the silicon source gas include Si x H y , Si x H y Cl z , Si x Cl y , and the like. It is preferable to use these individually, However, you may mix and use two or more as needed. In this embodiment, SiH 4 is mainly used as the silicon source gas.

아울러, 본 실시예에서는 상기 실리콘 소스 가스와 함께 캐리어 가스를 제공하는 것이 바람직하다. 그리고, 상기 캐리어 가스의 예로서는 N2 가스를 들 수 있 다. 특히, 상기 캐리어 가스는 상기 실리콘 소스 가스로부터 분리된 실리콘 원자가 상기 박막의 표면에서 확산되는 것을 방해하는 범위 내에서 제공되어야 한다. 따라서, 상기 캐리어 가스가 제공되는 유량은 상기 실리콘 소스 가스가 제공되는 유량을 초과하지 않는 것이 바람직하다. 구체적으로, 상기 실리콘 소스 가스와 캐리어 가스가 제공되는 공정 챔버의 크기 등을 고려할 때, 상기 실리콘 소스 가스는 약 20 내지 200sccm으로 제공하고, 상기 캐리어 가스는 약 0.01 내지 200sccm으로 제공하는 것이 바람직하다. 특히, 상기 실리콘 소스 가스를 약 100sccm으로 제공할 때, 상기 캐리어 가스를 약 0.01 내지 100sccm으로 제공하는 것이 더욱 바람직하다.In addition, in the present embodiment, it is preferable to provide a carrier gas together with the silicon source gas. And, it can be in the N 2 gas Examples of the carrier gas. In particular, the carrier gas should be provided within a range that prevents silicon atoms separated from the silicon source gas from diffusing on the surface of the thin film. Thus, the flow rate at which the carrier gas is provided preferably does not exceed the flow rate at which the silicon source gas is provided. Specifically, in consideration of the size of the process chamber in which the silicon source gas and the carrier gas are provided, the silicon source gas may be provided at about 20 to 200 sccm, and the carrier gas may be provided at about 0.01 to 200 sccm. In particular, when providing the silicon source gas at about 100 sccm, it is more preferable to provide the carrier gas at about 0.01 to 100 sccm.

그리고, 상기 실리콘 소스 가스를 제공할 때 온도가 약 350℃ 미만이면 에피택시얼막(12)의 성장이 거의 이루어지지 않기 때문에 바람직하지 않고, 약 530℃를 초과하면 에피택시얼막(12)의 성장이 계속적으로 이루어져서 상기 에피택시얼막(12)의 두께 제어가 용이하지 않기 때문에 바람직하지 않다. 따라서, 상기 실리콘 소스 가스를 제공할 때 온도는 약 350 내지 530℃인 것이 바람직하다. 아울러, 본 실시예에서는 상기 온도를 약 350℃에서 약 530℃로 상승시키면서 상기 실리콘 소스 가스를 제공할 수도 있다. 상기 온도의 상승은 분당 약 5 내지 10℃로 이루어지는 것이 바람직하고, 분당 약 7 내지 8℃로 이루어지는 것이 더욱 바람직하고, 분당 약 7.5℃로 이루어지는 것이 가장 바람직하다. 또한, 상기 온도 범위 내에서 상기 실리콘 소스 가스를 제공할 때 압력은 약 0.2 내지 0.6Torr을 유지하는 것이 바람직하다. 아울러, 상기 압력은 약 0.3 내지 0.5Torr를 유지하는 것이 더욱 바람직 하고, 약 0.4Torr을 유지하는 것이 가장 바람직하다.When the silicon source gas is provided, if the temperature is less than about 350 ° C., the epitaxial film 12 is hardly grown, and if the temperature exceeds about 530 ° C., the epitaxial film 12 is not grown. It is not preferable because the thickness of the epitaxial film 12 is not easy to be made continuously. Thus, the temperature is preferably about 350 to 530 ° C. when providing the silicon source gas. In addition, in the present embodiment, the silicon source gas may be provided while increasing the temperature from about 350 ° C to about 530 ° C. It is preferable that the said temperature rise consists of about 5-10 degreeC per minute, It is more preferable that it consists of about 7-8 degreeC per minute, It is most preferable that it consists of about 7.5 degreeC per minute. In addition, the pressure is preferably maintained at about 0.2 to 0.6 Torr when providing the silicon source gas within the temperature range. In addition, the pressure is more preferably maintained at about 0.3 to 0.5 Torr, most preferably at about 0.4 Torr.

이와 같이, 상기 온도 및 압력을 갖는 조건에서 상기 실리콘 소스 가스를 제공함으로서 상기 박막(10)의 표면에 자연 산화막이 형성되는 것을 충분하게 저지하면서 에피택시얼막(12)의 성장이 이루어진다. 또한, 상기 실리콘 소스 가스와 함께 상기 박막(10) 상에 실리콘 원자들의 확산을 억제하는 캐리어 가스를 제공함으로서 비정질 실리콘막의 형성을 충분하게 억제한 상태에서 에피택시얼막을 성장시킬 수 있다. 그러므로, 상기 비정질 실리콘막의 형성에 의한 적층 결함(stacking fault)이나 결정 방위가 다소 틀어지는 트윈 경계 등과 같은 불량을 용이하게 제어할 수 있다. 따라서, 본 실시예에서는 결정성이 보다 향상된 에피택시얼막을 제공함으로서 누설 전류가 보다 감소되고, 전자 및 홀의 이동도가 보다 향상되는 트랜지스터를 제조할 수 있다.As such, by providing the silicon source gas under the conditions having the temperature and the pressure, the epitaxial film 12 is grown while sufficiently preventing the formation of the natural oxide film on the surface of the thin film 10. In addition, by providing a carrier gas that suppresses diffusion of silicon atoms on the thin film 10 together with the silicon source gas, the epitaxial film can be grown while the amorphous silicon film is sufficiently suppressed. Therefore, it is possible to easily control defects such as stacking faults due to the formation of the amorphous silicon film or twin boundaries in which the crystal orientations are somewhat distorted. Therefore, in the present embodiment, by providing an epitaxial film having more improved crystallinity, a transistor having a lower leakage current and a higher mobility of electrons and holes can be manufactured.

상기 자연 산화막이 형성되는 것을 충분하게 저지할 수 있는 것은 상기 실리콘 소스 가스가 상기 박막(10)의 주변에 존재하는 H2O 또는 O2 등이 상기 박막(10)의 표면에 결합되는 것을 방해하기 때문이다. 즉, 상기 온도가 약 400℃ 이상인 경우 상기 박막(10)의 표면에 처리되어 있는 수소 페시베이션이 파괴되지만 상기 실리콘 소스 가스의 제공에 의해 상기 박막(10)의 표면에 실리콘-실리콘 결합을 형성함으로서 상기 H2O 또는 O2 등이 결합되는 것을 저지하는 것이다. 만약, 상기 H2 O 또는 O2 등이 결합되는 것을 저지하지 못할 경우에는 상기 박막의 표면 상에 얇은 두께를 갖는 자연 산화막이 다시 형성된다.It is possible to sufficiently prevent the formation of the natural oxide film to prevent the silicon source gas from being bound to the surface of the thin film 10 such as H 2 O or O 2 present in the periphery of the thin film 10. Because. That is, when the temperature is about 400 ° C. or more, hydrogen passivation treated on the surface of the thin film 10 is destroyed, but by forming a silicon-silicon bond on the surface of the thin film 10 by providing the silicon source gas. It is to inhibit the H 2 O or O 2 and the like to bond. If the H 2 O or O 2 is not prevented from being bonded, a natural oxide film having a thin thickness is formed again on the surface of the thin film.

따라서, 본 실시예에서는 상기 실리콘 소스 가스를 제공하면서 상기 에피택시얼막(12)의 성장을 수행하기 때문에 상기 박막(10) 상에는 자연 산화막의 형성을 충분하게 저지한 상태에서 상기 에피택시얼막(12)의 성장이 이루어진다. 이때, 상기 에피택시얼막(12)은 상기 박막(10)을 시드로 사용한 성장이 이루어지기 때문에 상기 에피택시얼막(12)은 단결정 실리콘으로 이루어진다.Therefore, in the present embodiment, since the epitaxial film 12 is grown while providing the silicon source gas, the epitaxial film 12 is sufficiently prevented from forming a native oxide film on the thin film 10. Growth takes place. In this case, since the epitaxial film 12 is grown using the thin film 10 as a seed, the epitaxial film 12 is made of single crystal silicon.

본 실시예에서는 상기 산소를 함유하지 않으면서 실리콘을 함유하는 물질로 이루어진 단결정 실리콘막과 같은 박막(10) 상에 에피택시얼막(12)을 성장시킬 때 실리콘 소스 가스를 제공한다. 그 결과, 상기 박막(10) 상에 자연 산화막이 얇게 형성되는 것을 충분하게 저지하면서 에피택시얼막(12)의 성장을 수행할 수 있다. 그러므로, 상기 박막(10)과 에피택시얼막(12)의 계면에 불순물이 존재하기 않기 때문에 상기 에피택시얼막(12)을 고상 에피택시와 같은 후속 공정을 수행할 때 시드로 충분하게 사용할 수 있다.In this embodiment, a silicon source gas is provided when the epitaxial film 12 is grown on a thin film 10 such as a single crystal silicon film made of a material containing silicon without containing oxygen. As a result, the epitaxial film 12 can be grown while sufficiently preventing the thin natural oxide film from being formed on the thin film 10. Therefore, since there is no impurity at the interface between the thin film 10 and the epitaxial film 12, the epitaxial film 12 can be sufficiently used as a seed when performing a subsequent process such as solid phase epitaxy.

실시예 2Example 2

도 2a 내지 도 2e는 본 발명의 실시예 2에 따른 박막 형성 방법을 개략적으로 나타내는 단면도들이다.2A to 2E are cross-sectional views schematically illustrating a method of forming a thin film according to Embodiment 2 of the present invention.

도 2a를 참조하면, 제1 단결정 실리콘막(22)을 부분적으로 노출시키는 절연막 패턴(20)을 형성한다. 상기 절연막 패턴(20)은 산화물로 이루어지는 것이 바람직하다. 따라서, 상기 절연막 패턴(20)은 산화막 패턴인 것이 바람직하다. 그리고, 상기 제1 단결정 실리콘막(22)의 예로서는 실리콘 기판, 실리콘-온-인슐레이터 기 판 등을 들 수 있다. 또한, 상기 제1 단결정 실리콘막(22)의 예로서는 단결정 실리콘을 시드로 사용하는 선택적 에피택시얼 성장을 수행하여 획득하는 에피택시얼막을 들 수 있다. 특히, 상기 제1 단결정 실리콘막(22)이 에피택시얼막인 경우, 상기 제1 단결정 실리콘막(22)은 실리콘 기판, 실리콘-온-인슐레이터 기판 상에 형성될 수 있다.Referring to FIG. 2A, an insulating film pattern 20 for partially exposing the first single crystal silicon film 22 is formed. It is preferable that the insulating film pattern 20 is made of an oxide. Therefore, the insulating film pattern 20 is preferably an oxide film pattern. Examples of the first single crystal silicon film 22 include a silicon substrate, a silicon-on-insulator substrate, and the like. In addition, an example of the first single crystal silicon film 22 may include an epitaxial film obtained by performing selective epitaxial growth using single crystal silicon as a seed. In particular, when the first single crystal silicon film 22 is an epitaxial film, the first single crystal silicon film 22 may be formed on a silicon substrate or a silicon-on-insulator substrate.

본 실시예에서는 상기 제1 단결정 실리콘막(22)을 부분적으로 노출시키는 절연막 패턴(20)을 형성한 후, 실시예 1과 동일한 평탄화 공정 및 HF 용액을 사용한 표면 처리를 더 수행할 수도 있다. 따라서, 상기 절연막 패턴(20)을 갖는 결과물의 표면에 얇게 형성되어 있는 자연 산화막을 제거하면서 상기 결과물의 표면에 수소 페시베이션 처리가 이루어진다.In this embodiment, after forming the insulating film pattern 20 partially exposing the first single crystal silicon film 22, the same planarization process as in Example 1 and surface treatment using an HF solution may be further performed. Therefore, the hydrogen passivation treatment is performed on the surface of the resultant product while removing the natural oxide film that is thinly formed on the surface of the resultant product having the insulating film pattern 20.

도 2b 및 도 2c를 참조하면, 상기 절연막 패턴(20)을 갖는 결과물의 상부로 실리콘 소스 가스를 제공한다. 상기 실리콘 소스 가스의 예로서는 SixHy, Six HyClz, SixCly 등을 들 수 있다. 이들은 단독으로 사용하는 것이 바람직하지만, 경우에 따라서 둘 이상을 혼합하여 사용할 수도 있다. 본 실시예에서는 상기 실리콘 소스 가스로서 SiH4를 주로 사용한다.2B and 2C, a silicon source gas is provided on top of a resultant product having the insulating layer pattern 20. Examples of the silicon source gas include Si x H y , Si x H y Cl z , Si x Cl y , and the like. It is preferable to use these individually, However, you may mix and use two or more as needed. In this embodiment, SiH 4 is mainly used as the silicon source gas.

이와 같이, 상기 절연막 패턴(20)의 상부로 실리콘 소스 가스를 제공함으로서 상기 제1 단결정 실리콘막(22)의 표면 상에 자연 산화막이 형성되는 것을 충분하게 저지한 상태에서 상기 제1 단결정 실리콘막(22) 상에는 에피택시얼막(24)의 성장이 이루어지고, 상기 절연막 패턴(20) 상에는 비정질 실리콘막(26)이 형성된 다.As such, by providing a silicon source gas to the upper portion of the insulating film pattern 20, the first single crystal silicon film () is sufficiently prevented from forming a natural oxide film on the surface of the first single crystal silicon film 22. 22, an epitaxial film 24 is grown, and an amorphous silicon film 26 is formed on the insulating film pattern 20.

구체적으로, 도 2b에 도시된 바와 같이, 상기 제1 단결정 실리콘막(22) 상에 제1 에피택시얼막(24a)을 성장시킨다.Specifically, as shown in FIG. 2B, a first epitaxial film 24a is grown on the first single crystal silicon film 22.

상기 제1 에피택시얼막(24a)의 성장에서는 제1 온도에서 제2 온도로 상승시키면서 상기 실리콘 소스 가스를 제1 유량으로 제공한다. 상기 제1 온도가 약 350℃ 미만이면 상기 제1 에피택시얼막(24a)의 성장이 용이하게 이루어지지 않기 때문에 바람직하지 않다. 따라서, 상기 제1 온도는 약 350℃ 이상인 것이 바람직하고, 530℃를 초과하지 않는 것이 더욱 바람직하다.In the growth of the first epitaxial film 24a, the silicon source gas is provided at a first flow rate while rising from the first temperature to the second temperature. If the first temperature is less than about 350 ° C., the growth of the first epitaxial film 24a is not easy, which is not preferable. Therefore, it is preferable that the said 1st temperature is about 350 degreeC or more, and it is more preferable not to exceed 530 degreeC.

그리고, 상기 제1 온도에서 제2 온도로의 상승은 분당 약 5 내지 10℃로 이루어지는 것이 바람직하고, 분당 약 7 내지 8℃로 이루어지는 것이 더욱 바람직하고, 분당 약 7.5℃로 이루어지는 것이 가장 바람직하다. 그리고, 상기 제2 온도가 약 500℃ 미만이면, 도 2c에 도시된 바와 같이, 상기 절연막 패턴(20) 상에 비정질 실리콘막(26)이 용이하게 형성되지 않기 때문에 바람직하지 않다. 아울러, 상기 제2 온도가 550℃를 초과하면 상기 비정질 실리콘막(26)의 형성에 지장을 끼치기 때문에 바람직하지 않다. 특히, 상기 고상 에피택시 성장에서 상기 제2 온도가 550℃를 초과하면 상기 절연막 패턴(20) 상에 다결정 실리콘막이 형성될 수 있기 때문이다. 따라서, 상기 제2 온도는 약 500 내지 550℃인 것이 바람직하고, 약 500 내지 540℃인 것이 더욱 바람직하다. 특히, 상기 제2 온도는 약 520 내지 540℃인 것이 더욱 바람직하고, 약 530℃인 것이 가장 바람직하다.The rise from the first temperature to the second temperature is preferably about 5 to 10 ° C. per minute, more preferably about 7 to 8 ° C. per minute, and most preferably about 7.5 ° C. per minute. When the second temperature is less than about 500 ° C., as shown in FIG. 2C, an amorphous silicon film 26 is not easily formed on the insulating film pattern 20, which is not preferable. In addition, when the second temperature exceeds 550 ° C., it is not preferable because it interferes with the formation of the amorphous silicon film 26. In particular, when the second temperature exceeds 550 ° C. in the solid state epitaxial growth, a polycrystalline silicon film may be formed on the insulating film pattern 20. Therefore, it is preferable that the said 2nd temperature is about 500-550 degreeC, and it is more preferable that it is about 500-540 degreeC. In particular, the second temperature is more preferably about 520 to 540 ℃, most preferably about 530 ℃.

아울러, 상기 제1 온도에서 제2 온도로 상승시킬 때 압력은 약 0.2 내지 0.6Torr을 유지하는 것이 바람직하다. 아울러, 상기 압력은 약 0.3 내지 0.5Torr를 유지하는 것이 더욱 바람직하고, 약 0.4Torr을 유지하는 것이 가장 바람직하다. 특히, 상기 온도 및 압력 조건을 만족하지 않을 경우, 상기 절연막 패턴(20) 상에 비정질 실리콘막(26)이 아닌 다결정 실리콘막이 형성될 수 있고, 그 결과 후속의 고상 에피택시 공정을 방해하여 만족하는 결정성을 갖는 단결정 실리콘막을 획득하지 못한다.In addition, the pressure is preferably maintained at about 0.2 to 0.6 Torr when the temperature is raised from the first temperature to the second temperature. In addition, the pressure is more preferably maintained at about 0.3 to 0.5 Torr, most preferably about 0.4 Torr. In particular, when the temperature and pressure conditions are not satisfied, a polycrystalline silicon film other than the amorphous silicon film 26 may be formed on the insulating film pattern 20, and as a result, it satisfies the subsequent solid-state epitaxy process. A single crystal silicon film having crystallinity cannot be obtained.

이와 같이, 본 실시예에서는 상기 제1 온도에서 제2 온도로 상승시키면서 상기 제1 유량으로 실리콘 소스 가스를 제공함으로서 상기 제1 단결정 실리콘막(22) 상에 자연 산화막이 형성되는 것을 충분하게 저지하면서 상기 제1 에피택시얼막(24a)을 성장시킬 수 있다. 그리고, 본 실시예에서 제공되는 실리콘 소스 가스의 반응 메카니즘은 실시예 1과 동일하기 때문에 생략하기로 한다.As described above, in the present embodiment, while providing the silicon source gas at the first flow rate while increasing the temperature from the first temperature to the second temperature, the natural oxide film is sufficiently prevented from being formed on the first single crystal silicon film 22. The first epitaxial layer 24a may be grown. In addition, since the reaction mechanism of the silicon source gas provided in this embodiment is the same as in Example 1, it will be omitted.

이어서, 도 2c에 도시된 바와 같이, 상기 제1 에피택시얼막(24a) 상에는 제2 에피택시얼막(24b)을 성장시키면서 상기 절연막 패턴(20) 상에는 비정질 실리콘막(26)을 형성한다.Subsequently, as shown in FIG. 2C, an amorphous silicon film 26 is formed on the insulating film pattern 20 while growing the second epitaxial film 24b on the first epitaxial film 24a.

상기 제2 에피택시얼막(24b)의 성장과 비정질 실리콘막(26)의 형성에서는 상기 제2 온도를 유지하면서 상기 실리콘 소스 가스를 제2 유량으로 제공한다. 따라서, 약 500 내지 550℃를 유지한 상태에서 상기 실리콘 소스 가스를 제공하는 것이 바람직하다. 특히, 약 530℃를 유지한 상태에서 상기 실리콘 소스 가스를 제공하는 것이 가장 바람직하다. 아울러, 상기 제2 온도를 유지한 상태에서 압력 또한 약 0.2 내지 0.6Torr을 유지하는 것이 바람직하고, 0.3 내지 0.5Torr을 유지하는 것이 더욱 바람직하고, 약 0.4Torr을 유지하는 것이 가장 바람직하다.In the growth of the second epitaxial film 24b and the formation of the amorphous silicon film 26, the silicon source gas is provided at a second flow rate while maintaining the second temperature. Therefore, it is desirable to provide the silicon source gas while maintaining about 500 to 550 ° C. In particular, it is most desirable to provide the silicon source gas while maintaining about 530 ° C. In addition, the pressure is preferably maintained at about 0.2 to 0.6 Torr in the state where the second temperature is maintained, more preferably at 0.3 to 0.5 Torr, and most preferably at about 0.4 Torr.

특히, 상기 제2 유량은 상기 제1 유량보다 약 2 내지 4배인 것이 바람직하고, 약 2.5 내지 4배인 것이 더욱 바람직하고, 약 3배인 것이 가장 바람직하다. 따라서, 본 실시예에서는 상기 제1 에피택시얼막(24a)을 성장시킬 때 약 50cc의 유량으로 실리콘 소스 가스를 제공하고, 상기 제2 에피택시얼막(24b)의 성장과 비정질 실리콘막(26)을 형성할 때 약 150cc의 유량으로 실리콘 소스 가스를 제공한다.In particular, the second flow rate is preferably about 2 to 4 times than the first flow rate, more preferably about 2.5 to 4 times, and most preferably about 3 times. Therefore, in the present embodiment, when the first epitaxial film 24a is grown, a silicon source gas is provided at a flow rate of about 50 cc, and the growth of the second epitaxial film 24b and the amorphous silicon film 26 are performed. When formed, the silicon source gas is provided at a flow rate of about 150 cc.

이와 같이, 본 실시예에서는 상기 제2 온도를 유지하면서 상기 제2 유량으로 실리콘 소스 가스를 제공함으로서 상기 제1 에피택시얼막(24a) 상에는 제2 에피택시얼막(24b)이 성장되고, 상기 절연막 패턴(20) 상에는 비정질 실리콘막(26)이 형성된다.As described above, in the present embodiment, the second epitaxial film 24b is grown on the first epitaxial film 24a by providing the silicon source gas at the second flow rate while maintaining the second temperature. An amorphous silicon film 26 is formed on the 20.

그리고, 상기 제1 에피택시얼막(24a)과 제2 에피택시얼막(24b)으로 이루어지는 에피택시얼막(24) 및 상기 비정질 실리콘막(26)을 형성한 후, 상기 제2 온도에서 제1 온도로 하강을 수행한다. 상기 제2 온도에서 제1 온도로의 하강은 분당 약 5 내지 10℃로 이루어지는 것이 바람직하고, 분당 약 5 내지 8℃로 이루어지는 것이 더욱 바람직하고, 분당 약 5.5℃로 이루어지는 것이 가장 바람직하다. 상기 제1 온도에서 제1 온도로의 하강은 공정의 안정화를 꾀하기 위하여 수행한다. 특히, 상기 제2 온도에서 제1 온도로 하강시키기 직전에 질소 가스를 상기 에피택시얼막(24)과 비정질 실리콘막(26)이 형성된 결과물의 상부로 제공할 수도 있다. 상기 질소 가스는 단지 퍼지 역할을 한다.After the epitaxial film 24 formed of the first epitaxial film 24a and the second epitaxial film 24b and the amorphous silicon film 26 are formed, the temperature is changed from the second temperature to the first temperature. Perform the descent. The fall from the second temperature to the first temperature is preferably made about 5 to 10 ° C per minute, more preferably about 5 to 8 ° C per minute, and most preferably about 5.5 ° C per minute. The falling from the first temperature to the first temperature is performed to stabilize the process. In particular, the nitrogen gas may be provided as an upper portion of the resultant formed with the epitaxial film 24 and the amorphous silicon film 26 just before the second temperature is lowered to the first temperature. The nitrogen gas only serves as a purge.

도 2d를 참조하면, 상기 비정질 실리콘막(26)의 결정 구조를 단결정으로 전 환시킨다. 그 결과, 상기 에피택시얼막(24)과 비정질 실리콘막(26)으로부터 제2 단결정 실리콘막(28a)을 획득한다.Referring to FIG. 2D, the crystal structure of the amorphous silicon film 26 is converted into a single crystal. As a result, a second single crystal silicon film 28a is obtained from the epitaxial film 24 and the amorphous silicon film 26.

본 실시예에서는 열처리에 의한 고상 에피택시를 수행하여 상기 비정질 실리콘막(26)의 결정 구조를 단결정으로 전환시킨다. 이때, 상기 제1 단결정 실리콘막(22) 상에 형성된 에피택시얼막(24)이 시드로 작용하기 때문에 상기 비정질 실리콘막(26)의 결정 구조는 단결정으로 전환되는 것이다. 특히, 상기 열처리를 수행하는 온도가 약 570℃ 미만이면 원활한 공정이 이루어지지 않기 때문에 바람직하지 않고, 약 650℃를 초과하면 단결정으로 전환되는 결정 구조에 영향을 끼치기 때문에 바람직하지 않다. 따라서, 상기 열처리는 약 570 내지 650℃의 온도에서 수행하는 것이 바람직하고, 약 580 내지 620℃의 온도에서 수행하는 것이 더욱 바람직하고, 약 600℃의 온도에서 수행하는 것이 가장 바람직하다. 아울러, 상기 열처리는 통상의 퍼니스에서 수행한다.In this embodiment, the solid state epitaxy by heat treatment is performed to convert the crystal structure of the amorphous silicon film 26 into a single crystal. At this time, since the epitaxial film 24 formed on the first single crystal silicon film 22 serves as a seed, the crystal structure of the amorphous silicon film 26 is converted into a single crystal. In particular, if the temperature for performing the heat treatment is less than about 570 ℃ is not preferable because the smooth process is not performed, if it exceeds about 650 ℃ it is not preferable because it affects the crystal structure to be converted to a single crystal. Therefore, the heat treatment is preferably performed at a temperature of about 570 to 650 ℃, more preferably at a temperature of about 580 to 620 ℃, most preferably at a temperature of about 600 ℃. In addition, the heat treatment is carried out in a conventional furnace.

또한, 상기 도 2c에서 설명한 상기 제2 온도에서 제1 온도로 하강시키지 않고, 상기 제2 온도로부터 상기 열처리를 위한 온도로 상승시켜 상기 고상 에피택시얼 공정을 연속적으로 수행할 수도 있다. 즉, 상기 제2 온도로부터 제 1온도로의 하강시키지 않고, 연속되는 열처리에 의해 약 570 내지 650℃로 상승시켜 상기 고상 에피택시 공정을 수행할 수도 있다.In addition, the solid state epitaxial process may be continuously performed by increasing the temperature from the second temperature to the temperature for the heat treatment without lowering the temperature from the second temperature described with reference to FIG. 2C. That is, the solid phase epitaxy process may be performed by increasing the temperature to about 570 to 650 ° C. by successive heat treatment without lowering the temperature from the second temperature to the first temperature.

도 2e를 참조하면, 상기 제2 단결정 실리콘막(28a)을 획득한 후, 상기 제2 단결정 실리콘막(28a)의 표면을 평탄화시킨다. 이때, 상기 평탄화는 화학기계적 연마를 수행하는 것이 바람직하다. 상기 평탄화는 상기 제2 단결정 실리콘막(28a)이 상기 에피택시얼막(24)에 의해 다소 돌출되는 형태를 갖기 때문에 주로 수행하는 것으로서, 돌출된 형태를 가질 경우 그것의 상부에 게이트 패턴과 같은 반도체 구조물을 용이하게 적층할 수 없기 때문이다. 따라서, 상기 평탄화를 수행하여 평탄한 표면을 갖는 제2 단결정 실리콘막(28)을 형성한다.Referring to FIG. 2E, after obtaining the second single crystal silicon film 28a, the surface of the second single crystal silicon film 28a is planarized. In this case, the planarization is preferably performed by chemical mechanical polishing. The planarization is mainly performed because the second single crystal silicon film 28a has a shape slightly protruding by the epitaxial film 24. When the second single crystal silicon film 28a has a protruding shape, a semiconductor structure, such as a gate pattern, is formed on the upper portion thereof. This is because it cannot be laminated easily. Accordingly, the planarization is performed to form a second single crystal silicon film 28 having a flat surface.

이와 같이, 상기 공정들을 수행할 결과 상기 제1 단결정 실리콘막(22)을 부분적으로 노출시키는 절연막 패턴(20)을 갖는 결과물 상에 평탄한 표면을 갖는 제2 단결정 실리콘막(28)이 형성된다. 특히, 상기 실리콘 소스 가스를 제공하면서 상기 제1 단결정 실리콘막(22) 상에 상기 에피택시얼막(24)을 성장시키기 때문에 상기 제1 단결정 실리콘막(22) 상에 자연 산화막이 형성되는 것을 충분하게 저지할 수 있다.As such, the second single crystal silicon film 28 having a flat surface is formed on the resultant having the insulating film pattern 20 partially exposing the first single crystal silicon film 22 as a result of performing the above steps. In particular, since the epitaxial film 24 is grown on the first single crystal silicon film 22 while providing the silicon source gas, it is sufficient to form a natural oxide film on the first single crystal silicon film 22. You can stop it.

따라서, 본 실시예의 단결정 실리콘막은 트랜지스터의 누설 전류의 감소와 더불어 전자 및 홀의 이동도를 향상시킬 수 있기 때문에 전기적 특성이 향상된 스택 구조를 갖는 반도체 장치의 제조에 적극적으로 활용할 수 있다.Therefore, since the single crystal silicon film of the present embodiment can reduce the leakage current of the transistor and improve the mobility of electrons and holes, the single crystal silicon film can be actively used for manufacturing a semiconductor device having a stack structure with improved electrical characteristics.

실시예 3Example 3

도 3a 내지 도 3f 및 도 6은 본 발명의 실시예 3에 따른 박막 형성 방법을 개략적으로 나타내는 단면도들이고, 도 4는 본 발명의 실시예 3에 따른 박막 형성 방법을 개략적으로 나타내는 구성도이다.3A to 3F and 6 are cross-sectional views schematically showing a thin film forming method according to a third embodiment of the present invention, and FIG. 4 is a schematic view showing a thin film forming method according to a third embodiment of the present invention.

도 3a를 참조하면, 제1 단결정 실리콘막(30) 상에 상기 제1 단결정 실리콘막(30)의 표면을 부분적으로 노출시키는 개구부(34)를 갖는 절연막 패턴(32)을 형성 한다. 상기 절연막 패턴(32)은 주로 절연막을 형성한 후, 패터닝을 수행함으로서 형성한다.Referring to FIG. 3A, an insulating film pattern 32 having an opening 34 partially exposing the surface of the first single crystal silicon film 30 is formed on the first single crystal silicon film 30. The insulating film pattern 32 is mainly formed by forming an insulating film and then performing patterning.

여기서, 상기 제1 단결정 실리콘막(30)의 예로서는 실리콘 기판, 실리콘-온-인슐레이터 기판 등을 들 수 있다. 또한, 상기 제1 단결정 실리콘막(30)의 예로서는 단결정 실리콘을 시드로 사용하는 선택적 에피택시얼 성장을 수행하여 획득하는 에피택시얼막 등을 들 수도 있다. 본 실시예에서는 상기 제1 단결정 실리콘막(30)으로서 실리콘 기판을 선택한다. 그리고, 상기 절연막 패턴(32)은 실시예 2와 동일하게 산화물로 이루어지는 것이 바람직하다.Here, an example of the first single crystal silicon film 30 may be a silicon substrate, a silicon-on-insulator substrate, or the like. In addition, an example of the first single crystal silicon film 30 may include an epitaxial film obtained by performing selective epitaxial growth using single crystal silicon as a seed. In this embodiment, a silicon substrate is selected as the first single crystal silicon film 30. In addition, it is preferable that the insulating film pattern 32 be made of an oxide as in the second embodiment.

특히, 상기 제1 단결정 실리콘막(30)으로서 실리콘 기판을 선택함으로서 상기 실리콘 기판 상에는 반도체 구조물로서 게이트 전극, 금속 배선, 로직 소자 등이 형성되어 있는 것이 바람직하다. 아울러, 상기 실리콘 기판 상에는 반도체 장치의 설계에 근거한 구조물들을 다양하게 형성할 수도 있다.In particular, by selecting a silicon substrate as the first single crystal silicon film 30, it is preferable that a gate electrode, a metal wiring, a logic element, or the like is formed on the silicon substrate as a semiconductor structure. In addition, various structures based on the design of the semiconductor device may be formed on the silicon substrate.

도 3b를 참조하면, 선택적 에피택시얼 성장을 수행한다. 이때, 상기 선택적 에피택시얼 성장은 약 700 내지 900℃의 온도에서 수행하는 것이 바람직하고, 약 750 내지 850℃의 온도에서 수행하는 것이 더욱 바람직하고, 약 800℃의 온도에서 수행하는 것이 가장 바람직하다. 이와 같이, 상기 선택적 에피택시얼 성장을 수행한 결과, 상기 개구부(34)에 의해 노출된 제1 단결정 실리콘막(30)의 표면으로부터 상기 제1 단결정 실리콘막(30)과 결정 구조가 동일한 단결정 실리콘으로 이루어지는 제1 시드막(36)이 성장된다. 특히, 상기 제1 시드막(36)을 형성하기 위한 선택적 에피택시얼 성장은 상기 개구부(34)의 입구까지 수행하는 것이 바람직하다.Referring to FIG. 3B, selective epitaxial growth is performed. At this time, the selective epitaxial growth is preferably performed at a temperature of about 700 to 900 ℃, more preferably at a temperature of about 750 to 850 ℃, most preferably performed at a temperature of about 800 ℃. . As a result of performing the selective epitaxial growth, single crystal silicon having the same crystal structure as that of the first single crystal silicon film 30 is exposed from the surface of the first single crystal silicon film 30 exposed by the opening 34. The first seed film 36 is grown. In particular, the selective epitaxial growth for forming the first seed layer 36 is preferably performed up to the inlet of the opening 34.

만약, 도 5에 도시된 바와 같이, 제1 시드막(36a)이 상기 개구부(34)의 입구보다 높은 부위까지 성장할 경우에는 상기 개구부(34)의 입구 부위가 노출될 때까지 상기 제1 시드막(36a)의 제거를 수행한다. 이때, 상기 제1 시드막(36a)의 제거는 화학기계적 연마를 수행하는 것이 바람직하다.As shown in FIG. 5, when the first seed layer 36a grows to a portion higher than the opening of the opening 34, the first seed film is exposed until the opening of the opening 34 is exposed. Removal of 36a is performed. In this case, the first seed layer 36a may be removed by chemical mechanical polishing.

본 실시예에서는 상기 제1 시드막(36)을 형성한 후, 실시예 1과 동일한 HF 용액을 사용한 표면 처리를 더 수행할 수도 있다. 따라서, 상기 제1 시드막(36)을 갖는 결과물의 표면에 얇게 형성되어 있는 자연 산화막을 제거하면서 상기 결과물의 표면에 수소 페시베이션 처리가 이루어진다.In the present exemplary embodiment, after the first seed layer 36 is formed, the surface treatment using the same HF solution as that of Example 1 may be further performed. Accordingly, the hydrogen passivation treatment is performed on the surface of the resultant product while removing the natural oxide film that is thinly formed on the surface of the resultant product having the first seed film 36.

도 3c 및 도 3d를 참조하면, 상기 제1 시드막(36)이 형성된 결과물의 상부로 실리콘 소스 가스를 제공한다. 상기 실리콘 소스 가스의 예로서는 SixHy, Six HyClz, SixCly 등을 들 수 있다. 이들은 단독으로 사용하는 것이 바람직하지만, 경우에 따라서 둘 이상을 혼합하여 사용할 수도 있다. 본 실시예에서는 상기 실리콘 소스 가스로서 SiH4를 주로 사용한다.Referring to FIGS. 3C and 3D, a silicon source gas is provided to an upper portion of a resultant in which the first seed layer 36 is formed. Examples of the silicon source gas include Si x H y , Si x H y Cl z , Si x Cl y , and the like. It is preferable to use these individually, However, you may mix and use two or more as needed. In this embodiment, SiH 4 is mainly used as the silicon source gas.

이와 같이, 상기 제1 시드막(36)이 형성된 결과물의 상부로 실리콘 소스 가스를 제공함으로서 상기 제1 시드막(36)의 표면 상에 자연 산화막이 형성되는 것을 충분하게 저지한 상태에서 상기 제1 시드막(36) 상에는 에피택시얼막(38)의 성장이 이루어지고, 상기 절연막 패턴(32) 상에는 비정질 실리콘막(40)이 형성된다.As such, by providing the silicon source gas to the upper part of the resultant formed product, the first seed film 36 is sufficiently prevented from forming a natural oxide film on the surface of the first seed film 36. An epitaxial film 38 is grown on the seed film 36, and an amorphous silicon film 40 is formed on the insulating film pattern 32.

구체적으로, 도 3c에 도시된 바와 같이, 상기 제1 시드막(36) 상에 제1 에피택시얼막(38a)을 성장시킨다. 이때, 상기 제1 에피택시얼막(38a)의 성장에서는 제1 온도에서 제2 온도로 상승시키면서 상기 실리콘 소스 가스를 제1 유량으로 제공한다. 특히, 본 실시예에서의 상기 제1 온도에서 제2 온도로의 상승은 실시예 2의 그것과 동일하다. 아울러, 상기 제1 유량과 압력도 실시예 2의 그것들과 동일하다. 따라서, 도 4에 도시된 바와 같이, 본 실시예에서는 약 400℃의 온도에서 분당 약 7.5℃로 약 530℃까지 상승시키면서 약 50cc의 유량으로 상기 실리콘 소스 가스를 제공한다. 또한, 압력은 약 0.4torr를 유지하도록 조절한다. 그리고, 본 실시예에 있어서, 상기 제1 온도에서 제2 온도로의 상승에 소요되는 시간(t1)은 약 20분이다.Specifically, as shown in FIG. 3C, a first epitaxial film 38a is grown on the first seed film 36. At this time, in the growth of the first epitaxial film 38a, the silicon source gas is provided at a first flow rate while increasing from the first temperature to the second temperature. In particular, the rise from the first temperature to the second temperature in this embodiment is the same as that of the second embodiment. In addition, the said 1st flow volume and pressure are the same as those of Example 2, too. Thus, as shown in FIG. 4, the present embodiment provides the silicon source gas at a flow rate of about 50 cc while raising the temperature from about 400 ° C. to about 530 ° C. to about 7.5 ° C. per minute. The pressure is also adjusted to maintain about 0.4 torr. In the present embodiment, the time t1 required to rise from the first temperature to the second temperature is about 20 minutes.

이와 같이, 본 실시예에서는 상기 제1 온도에서 제2 온도로 상승시키면서 상기 제1 유량으로 실리콘 소스 가스를 제공함으로서 상기 제1 시드막(36) 상에 자연 산화막이 형성되는 것을 충분하게 저지하면서 상기 제1 에피택시얼막(38a)을 성장시킬 수 있다. 그리고, 본 실시예에서 제공되는 실리콘 소스 가스의 반응 메카니즘은 실시예 1이 그것과 동일하기 때문에 생략하기로 한다.As described above, in the present embodiment, the silicon oxide gas is supplied at the first flow rate while increasing from the first temperature to the second temperature, thereby sufficiently preventing the formation of the natural oxide film on the first seed film 36. The first epitaxial film 38a may be grown. In addition, since the reaction mechanism of the silicon source gas provided in this embodiment is the same as that of Example 1, it will be omitted.

이어서, 도 3d에 도시된 바와 같이, 상기 제1 에피택시얼막(38a) 상에는 제2 에피택시얼막(38b)을 성장시키면서 상기 절연막 패턴(32) 상에는 비정질 실리콘막(40)을 형성한다. 이때, 상기 제2 에피택시얼막(38a)의 성장과 비정질 실리콘막(40)의 형성에서는 제2 온도를 유지하면서 상기 실리콘 소스 가스를 제2 유량으로 제공한다. 특히, 본 실시예에서의 상기 제2 온도는 실시예 2의 그것과 동일하다. 아울러, 상기 제2 유량과 압력도 실시예 2의 그것들과 동일하다. 따라서, 도 4에 도시된 바와 같이, 본 실시예에서는 약 530℃의 온도를 유지하면서 약 150cc의 유 량으로 상기 실리콘 소스 가스를 제공한다. 또한, 압력은 약 0.4torr를 유지하도록 조절한다. 그리고, 본 실시예에 있어서, 상기 제2 에피택시얼막(38b)의 성장과 비정질 실리콘막(40)을 형성하기 위한 제2 온도를 유지하는 시간(t2)은 약 25분 내지 60분이다.3D, an amorphous silicon film 40 is formed on the insulating film pattern 32 while growing the second epitaxial film 38b on the first epitaxial film 38a. In this case, in the growth of the second epitaxial film 38a and the formation of the amorphous silicon film 40, the silicon source gas is provided at a second flow rate while maintaining the second temperature. In particular, the second temperature in this embodiment is the same as that of the second embodiment. In addition, the said 2nd flow volume and pressure are the same as those of Example 2, too. Thus, as shown in FIG. 4, the present embodiment provides the silicon source gas at a flow rate of about 150 cc while maintaining a temperature of about 530 ° C. FIG. The pressure is also adjusted to maintain about 0.4 torr. In the present embodiment, the time t2 for maintaining the second temperature for growing the second epitaxial film 38b and forming the amorphous silicon film 40 is about 25 minutes to 60 minutes.

이와 같이, 본 실시예에서는 상기 제2 온도를 유지하면서 상기 제2 유량으로 실리콘 소스 가스를 제공함으로서 상기 제1 에피택시얼막(38a) 상에는 제2 에피택시얼막(38b)이 성장되고, 상기 절연막 패턴(32) 상에는 비정질 실리콘막(40)이 형성된다.As described above, in the present exemplary embodiment, the second epitaxial film 38b is grown on the first epitaxial film 38a by providing the silicon source gas at the second flow rate while maintaining the second temperature. An amorphous silicon film 40 is formed on the 32.

그리고, 상기 제1 에피택시얼막(38a)과 제2 에피택시얼막(38b)으로 이루어지는 에피택시얼막(38) 및 상기 비정질 실리콘막(40)을 형성한 후, 상기 제2 온도에서 제1 온도로 하강을 수행한다. 특히, 본 실시예에서의 상기 제1 온도에서 제2 온도로의 하강은 실시예 2의 그것과 동일하다. 따라서, 도 4에 도시된 바와 같이, 본 실시예에서는 약 530℃의 온도에서 분당 약 5.5℃로 약 400℃까지 하강시킨다. 그리고, 본 실시예에 있어서, 상기 제2 온도에서 제1 온도로의 하강에 소요되는 시간(t3)은 약 25분이다. 아울러, 본 실시예에서는 상기 제2 온도에서 제1 온도로 하강시키기 직전에 질소(N2) 가스를 상기 에피택시얼막(38)과 비정질 실리콘막(40)을 결과물의 상부로 제공할 수도 있다.After the epitaxial film 38 including the first epitaxial film 38a and the second epitaxial film 38b and the amorphous silicon film 40 are formed, the temperature is changed from the second temperature to the first temperature. Perform the descent. In particular, the fall from the first temperature to the second temperature in this embodiment is the same as that of the second embodiment. Thus, as shown in FIG. 4, in this embodiment, the temperature is lowered to about 400 ° C. at about 5.5 ° C. per minute at a temperature of about 530 ° C. FIG. In the present embodiment, the time t3 required to fall from the second temperature to the first temperature is about 25 minutes. In addition, in the present embodiment, the epitaxial film 38 and the amorphous silicon film 40 may be provided as an upper portion of the resultant nitrogen (N 2 ) gas immediately before the second temperature is lowered to the first temperature.

도 3e를 참조하면, 상기 비정질 실리콘막(40)의 결정 구조를 단결정으로 전환시킨다. 그 결과, 상기 에피택시얼막(38)과 비정질 실리콘막(40)으로부터 제2 단 결정 실리콘막(42a)을 획득한다. 본 실시예에서의 비정질 실리콘막(40)의 결정 구조를 단결정으로 전환시키는 공정은 실시예 2의 그것과 동일하다. 따라서, 본 실시예에서는 약 570 내지 650℃의 온도를 갖는 열처리에 의한 고상 에피택시를 수행하여 상기 비정질 실리콘막(40)의 결정 구조를 단결정으로 전환시킨다.Referring to FIG. 3E, the crystal structure of the amorphous silicon film 40 is converted into a single crystal. As a result, a second single crystal silicon film 42a is obtained from the epitaxial film 38 and the amorphous silicon film 40. The process of converting the crystal structure of the amorphous silicon film 40 in this embodiment to a single crystal is the same as that of the second embodiment. Therefore, in the present embodiment, a solid state epitaxy by heat treatment having a temperature of about 570 to 650 ° C. is performed to convert the crystal structure of the amorphous silicon film 40 into a single crystal.

또한, 상기 도 3c 및 도 3d에서 설명한 상기 제2 온도에서 제1 온도로 하강시키지 않고, 상기 제2 온도로부터 상기 열처리를 위한 온도로 상승시켜 상기 고상 에피택시얼 공정을 연속적으로 수행할 수도 있다.In addition, the solid phase epitaxial process may be continuously performed by increasing the temperature from the second temperature to the temperature for the heat treatment without lowering the temperature from the second temperature described with reference to FIGS. 3C and 3D.

도 3f를 참조하면, 상기 제2 단결정 실리콘막(42a)을 획득한 후, 상기 제2 단결정 실리콘막(42a)의 표면을 평탄화시킨다. 이때, 상기 평탄화는 실시예 2와 동일한 화학기계적 연마를 수행하는 것이 바람직하다. 따라서, 상기 평탄화를 수행하여 평탄한 표면을 갖는 제2 단결정 실리콘막(42)을 형성한다.Referring to FIG. 3F, after obtaining the second single crystal silicon film 42a, the surface of the second single crystal silicon film 42a is planarized. At this time, the planarization is preferably performed the same chemical mechanical polishing as Example 2. Accordingly, the planarization is performed to form a second single crystal silicon film 42 having a flat surface.

이와 같이, 본 실시예에서는 상기 실리콘 소스 가스를 제공하면서 상기 제1 시드막(36) 상에 상기 에피택시얼막(38)을 성장시키기 때문에 상기 제1 시드막(36) 상에 자연 산화막이 형성되는 것을 충분하게 저지할 수 있다.As such, in the present embodiment, since the epitaxial film 38 is grown on the first seed film 36 while providing the silicon source gas, a natural oxide film is formed on the first seed film 36. It can prevent enough.

도 6을 참조하면, 상기 제2 단결정 실리콘막(42)을 액티브 영역인 채널막으로 활용한다. 따라서, 상기 제2 단결정 실리콘막(42) 상에도 게이트 전극, 금속 배선, 로직 소자 등과 같은 반도체 구조물을 형성할 수 있다.Referring to FIG. 6, the second single crystal silicon film 42 is used as a channel film which is an active region. Accordingly, a semiconductor structure such as a gate electrode, a metal wiring, a logic element, or the like may also be formed on the second single crystal silicon layer 42.

이어서, 본 실시예에서와 동일한 방법으로 상기 제2 단결정 실리콘막(42) 상에 상기 제1 절연막 패턴(32)과 동일한 제2 절연막 패턴(62)을 형성하고, 상기 제1 시드막(36)과 동일한 제2 시드막(66)을 형성하고, 제2 단결정 실리콘(42)과 동일한 제3 단결정 실리콘막(68)을 형성한다. 계속해서, 상기 제3 단결정 실리콘막(68) 상에 제n 절연막 패턴, 제n 시드막, 제n+1(n은 3이상의 자연수) 단결정 실리콘막을 서로 반복하여 적층할 수 있다.Subsequently, a second insulating film pattern 62 identical to the first insulating film pattern 32 is formed on the second single crystal silicon film 42 in the same manner as in the present embodiment, and the first seed film 36 is formed. A second seed film 66 identical to the second single crystal silicon 42 is formed, and a third single crystal silicon film 68 identical to the second single crystal silicon 42 is formed. Subsequently, an nth insulating film pattern, an nth seed film, and an n + 1 (n is a natural number of 3 or more) single crystal silicon films may be repeatedly stacked on the third single crystal silicon film 68.

따라서, 본 실시예에 의하면 결정성이 보다 향상된 단결정 실리콘막을 용이하게 형성할 수 있기 때문에 스택 구조를 갖는 반도체 장치의 제조에 적극적으로 활용할 수 있다. 이는, 상기 비정질 실리콘막의 결정 구조를 단결정으로 전환시킬 때 시드로 사용하는 박막의 표면에 자연 산화막과 같은 불순물이 형성되지 않기 때문이다. 또한, 적층 결함(stacking fault)이나 결정 방위가 다소 틀어지는 트윈 경계 등과 같은 불량을 용이하게 제어할 수 있다. 따라서, 본 실시예에서는 결정성이 보다 향상된 에피택시얼막을 제공함으로서 누설 전류가 보다 감소되고, 전자 및 홀의 이동도가 보다 향상되는 트랜지스터를 제조할 수 있다.Therefore, according to this embodiment, since the single crystal silicon film with more improved crystallinity can be easily formed, it can be actively utilized for manufacturing a semiconductor device having a stacked structure. This is because impurities such as a natural oxide film are not formed on the surface of the thin film used as a seed when the crystal structure of the amorphous silicon film is converted into a single crystal. In addition, defects such as stacking faults or twin boundaries where the crystal orientation is somewhat distorted can be easily controlled. Therefore, in the present embodiment, by providing an epitaxial film having more improved crystallinity, a transistor having a lower leakage current and a higher mobility of electrons and holes can be manufactured.

실시예 4Example 4

도 7a 내지 도 7d는 본 발명의 실시예 4에 따른 반도체 장치의 제조 방법을 개략적으로 나타내는 단면도들이다.7A to 7D are cross-sectional views schematically illustrating a method of manufacturing a semiconductor device in accordance with a fourth embodiment of the present invention.

도 7a를 참조하면, 하부막(70) 상에 상기 하부막(70)을 부분적으로 노출시키는 개구부(75)를 갖는 절연막 패턴(74)을 형성한다. 여기서, 상기 하부막(70)은 실리콘을 함유하는 물질로 이루어지는 것이 바람직하고, 실리콘 기판인 것이 더욱 바람직하다. 그리고, 상기 절연막 패턴(74)은 층간 절연막 패턴으로서 산화물로 이루어지는 것이 바람직하다.Referring to FIG. 7A, an insulating layer pattern 74 having an opening 75 partially exposing the lower layer 70 is formed on the lower layer 70. Here, the lower layer 70 is preferably made of a material containing silicon, more preferably a silicon substrate. The insulating film pattern 74 is preferably made of an oxide as an interlayer insulating film pattern.

따라서, 본 실시예에서는 게이트 패턴(72)이 형성된 하부막(70)인 실리콘 기판 상에 상기 게이트 패턴(72) 사이의 표면을 노출시키는 개구부(75)를 갖는 절연막 패턴(74)을 형성한다. 여기서, 상기 절연막 패턴(74)은 주로 상기 실리콘 기판 상에 절연막을 형성한 후, 사진 식각 공정과 같은 패터닝을 수행하여 형성한다.Therefore, in the present exemplary embodiment, an insulating layer pattern 74 having an opening 75 exposing the surface between the gate patterns 72 is formed on the silicon substrate, which is the lower layer 70 on which the gate patterns 72 are formed. In this case, the insulating layer pattern 74 is mainly formed by forming an insulating layer on the silicon substrate and then performing patterning such as a photolithography process.

도 7b를 참조하면, 상기 절연막 패턴(74)이 형성된 결과물의 상부로 실리콘 소스 가스를 제공한다. 상기 실리콘 소스 가스의 예로서는 SixHy, SixH yClz, SixCly 등을 들 수 있다. 이들은 단독으로 사용하는 것이 바람직하지만, 경우에 따라서 둘 이상을 혼합하여 사용할 수도 있다. 본 실시예에서는 상기 실리콘 소스 가스로서 SiH4를 주로 사용한다. 그 결과, 상기 부분적으로 노출된 하부막(70)인 실리콘 기판의 표면 상에 얇은 두께를 갖는 제1 에피택시얼막(76)이 성장한다.Referring to FIG. 7B, a silicon source gas is provided to an upper portion of a resultant in which the insulating layer pattern 74 is formed. Examples of the silicon source gas include Si x H y , Si x H y Cl z , Si x Cl y , and the like. It is preferable to use these individually, However, you may mix and use two or more as needed. In this embodiment, SiH 4 is mainly used as the silicon source gas. As a result, a first epitaxial film 76 having a thin thickness is grown on the surface of the silicon substrate, which is the partially exposed lower film 70.

이와 같이, 상기 제1 에피택시얼막(76)이 형성됨으로서 상기 노출된 하부막(70)인 실리콘 기판의 표면 상에 자연 산화막이 형성되는 것을 충분하게 저지할 수 있다.As such, the first epitaxial layer 76 may be formed to sufficiently prevent the formation of the native oxide layer on the exposed surface of the silicon substrate, which is the lower layer 70.

도 7c를 참조하면, 상기 제1 에피택시얼막(76)이 형성된 결과물 상부로 실시예 2와 유사한 조건으로 실리콘 소스 가스를 제공한다. 그 결과, 상기 제1 에피택시얼막(76) 상에는 제2 에피택시얼막(78)의 성장이 이루어지고, 상기 개구부(75)에 의해 노출된 절연막 패턴(74)의 측벽에서는 비정질 실리콘막(80)이 형성된다. 특히, 상기 비정질 실리콘막(80)을 형성할 때 온도가 약 400℃ 미만이면 상기 비정질 실리콘막(80)의 적층 속도가 너무 느리게 때문에 바람직하지 않고, 약 550℃를 초 과하면 상기 비정질 실리콘막 대신에 다결정 실리콘막이 형성되기 때문에 바람직하지 않다. 따라서, 상기 비정질 실리콘막(80)의 형성은 약 400 내지 550℃의 온도에서 수행하는 것이 바람직하고, 약 450 내지 540℃의 온도에서 수행하는 것이 보다 바람직하고, 약 530℃의 온도에서 수행하는 것이 가장 바람직하다. 특히, 상기 온도 범위 내에서 공정을 실시할 경우, 약 25 내지 150Pa의 압력에서 양호한 적층 속도를 나타낸다.Referring to FIG. 7C, the silicon source gas may be provided under a similar condition to that of Example 2 above the resultant on which the first epitaxial layer 76 is formed. As a result, the second epitaxial film 78 is grown on the first epitaxial film 76, and the amorphous silicon film 80 is formed on the sidewall of the insulating film pattern 74 exposed by the opening 75. Is formed. In particular, when the amorphous silicon film 80 is formed at a temperature less than about 400 ° C., the deposition rate of the amorphous silicon film 80 is too slow, and it is not preferable. If the temperature exceeds about 550 ° C., instead of the amorphous silicon film, It is not preferable because a polycrystalline silicon film is formed. Therefore, the amorphous silicon film 80 may be formed at a temperature of about 400 to 550 ° C., more preferably at a temperature of about 450 to 540 ° C., and at a temperature of about 530 ° C. Most preferred. In particular, when the process is carried out within the above temperature range, a good lamination rate is shown at a pressure of about 25 to 150 Pa.

도 7d를 참조하면, 실시예 2의 도 2d와 동일한 공정을 수행하여 상기 비정질 실리콘막(80)의 결정 구조를 단결정으로 전환시킨다. 그 결과, 상기 제1 및 2 에피택시얼막(76, 78)과 비정질 실리콘막(80)으로부터 단결정 실리콘막(82)을 획득한다.Referring to FIG. 7D, the same process as that of FIG. 2D of the second embodiment is performed to convert the crystal structure of the amorphous silicon film 80 into a single crystal. As a result, a single crystal silicon film 82 is obtained from the first and second epitaxial films 76 and 78 and the amorphous silicon film 80.

이와 같이, 본 실시예는 다양한 패턴을 갖는 반도체 장치에도 적극적으로 활용할 수 있다.As described above, the present embodiment can also be actively utilized for semiconductor devices having various patterns.

실시예 5Example 5

도 8a 내지 도 8c는 본 발명의 실시예 5에 따른 반도체 장치의 제조 방법을 개략적으로 나타내는 단면도들이다.8A to 8C are cross-sectional views schematically illustrating a method of manufacturing a semiconductor device in accordance with a fifth embodiment of the present invention.

도 8a를 참조하면, 실시예 4의 도 7a와 동일한 공정을 수행하여 하부막(85) 상에 상기 하부막(85)을 부분적으로 노출시키는 개구부(91)를 갖는 절연막 패턴(89)을 형성한다. 따라서, 본 실시예에서는 게이트 패턴(87)이 형성된 하부막(85)인 실리콘 기판 상에 상기 게이트 패턴(87) 사이의 표면을 노출시키는 개구부(91) 를 갖는 절연막 패턴(89)을 형성한다.Referring to FIG. 8A, an insulating layer pattern 89 having an opening 91 partially exposing the lower layer 85 is formed on the lower layer 85 by performing the same process as that of FIG. 7A of the fourth embodiment. . Therefore, in this embodiment, an insulating film pattern 89 having an opening 91 for exposing the surface between the gate patterns 87 is formed on the silicon substrate, which is the lower film 85 on which the gate pattern 87 is formed.

도 8b를 참조하면, 실시예 4의 도 7b와 동일한 공정을 수행하여 상기 부분적으로 노출된 하부막(85)인 실리콘 기판의 표면 상에 얇은 두께를 갖는 제1 에피택시얼막(93)을 성장시킨다. 이와 같이, 상기 노출된 하부막(85)인 실리콘 기판의 표면 상에 상기 제1 에피택시얼막(93)을 형성함으로서 상기 표면에 자연 산화막이 형성되는 것을 충분하게 저지할 수 있다.Referring to FIG. 8B, the same process as that of FIG. 7B of Embodiment 4 is performed to grow a first epitaxial layer 93 having a thin thickness on the surface of the silicon substrate, which is the partially exposed lower layer 85. . As such, by forming the first epitaxial layer 93 on the surface of the silicon substrate, which is the exposed lower layer 85, the formation of the native oxide layer on the surface may be sufficiently prevented.

도 8c를 참조하면, 상기 제1 에피택시얼막(93)이 형성된 개구부(91)에 상기 실리콘 소스 가스의 열분해를 통하여 제2 에피택시얼막(94) 및 폴리 실리콘막(95)을 동시에 형성한다. 상기 폴리 실리콘막(95)을 형성할 때 온도가 약 500℃ 미만이면 상기 폴리 실리콘막(95)이 적층되는 속도가 너무 느리기 때문에 바람직하지 않고, 약 650℃를 초과하면 상기 실리콘 소스 가스의 고갈이 발생하고, 상기 폴리 실리콘막(95)의 적층 균일도가 나빠지기 때문에 바람직하지 않다. 따라서, 상기 열분해를 통한 폴리 실리콘막(95)의 형성은 약 500 내지 650℃의 온도에서 수행하는 것이 바람직하고, 약 550 내지 620℃의 온도에서 수행하는 것이 더욱 바람직하고, 약 600℃의 온도에서 수행하는 것이 가장 바람직하다. 특히, 상기 온도 범위 내에서 공정을 실시할 경우, 약 25 내지 150Pa의 압력에서 양호한 적층 속도를 나타낸다.Referring to FIG. 8C, the second epitaxial layer 94 and the polysilicon layer 95 are simultaneously formed through thermal decomposition of the silicon source gas in the opening 91 in which the first epitaxial layer 93 is formed. If the temperature is less than about 500 ° C. when the polysilicon film 95 is formed, the polysilicon film 95 is not preferable because the rate of lamination is too slow. It is not preferable because it occurs, and the lamination uniformity of the polysilicon film 95 becomes worse. Therefore, the formation of the polysilicon film 95 through the pyrolysis is preferably performed at a temperature of about 500 to 650 ° C, more preferably at a temperature of about 550 to 620 ° C, and at a temperature of about 600 ° C. Most preferably. In particular, when the process is carried out within the above temperature range, a good lamination rate is shown at a pressure of about 25 to 150 Pa.

이어서, 상기 제2 에피택시얼막(94)과 폴리 실리콘막(95)을 형성한 후, 상기 개구부(91)의 입구 부위가 노출될 때까지 상기 폴리 실리콘막(95)을 제거하여 상기 개구부(91)에만 상기 폴리 실리콘막(95)을 매립시킨다. 이에 따라, 상기 폴리 실리콘막(95)은 이후에 형성하는 비트 라인 또는 커패시터의 하부 전극과 연결되는 플 러그로 형성된다. 따라서, 상기 개구부(91)는 전기적으로 연결되는 플러그를 형성하기 위한 부위로 정의할 수 있다.Subsequently, after the second epitaxial film 94 and the polysilicon film 95 are formed, the polysilicon film 95 is removed until the inlet portion of the opening 91 is exposed, thereby removing the opening 91. ), The polysilicon film 95 is embedded. Accordingly, the polysilicon layer 95 is formed of a plug connected to a bit line or a lower electrode of the capacitor. Therefore, the opening 91 may be defined as a portion for forming a plug that is electrically connected.

본 실시예에서는 상기 플러그로 사용하기 위한 폴리 실리콘막(95)을 형성하기 이전에 상기 실리콘 소스 가스를 제공하여 상기 폴리 실리콘막(95)을 형성하기 위한 부분에 제1 에피택시얼막(93)을 얇게 성장시킨다. 아울러, 상기 폴리 실리콘막(95)을 형성할 때에는 제2 에피택시얼막(94)이 성장된다. 그 결과, 상기 제1 에피택시얼막(93)과 제2 에피택시얼막(94)이 자연 산화막이 형성되는 것을 방해한다.In the present embodiment, before forming the polysilicon film 95 for use as the plug, the first epitaxial film 93 is provided at a portion for forming the polysilicon film 95 by providing the silicon source gas. Grow thinly. In addition, when the polysilicon film 95 is formed, the second epitaxial film 94 is grown. As a result, the first epitaxial film 93 and the second epitaxial film 94 prevent the formation of the natural oxide film.

그러므로, 본 실시예를 반도체 장치의 제조에 적용할 경우 상기 자연 산화막의 형성으로 인한 콘택 저항을 충분하게 줄일 수 있다. 따라서, 반도체 장치의 전기적 특성이 향상된다.Therefore, when the present embodiment is applied to the manufacture of a semiconductor device, the contact resistance due to the formation of the natural oxide film can be sufficiently reduced. Thus, the electrical characteristics of the semiconductor device are improved.

결정 구조에 대한 평가Evaluation of Crystal Structure

실시예 3과 동일한 방법으로 공정을 수행하여 단결정 실리콘막을 획득하였다. 특히, 800℃의 온도에서 선택적 에피택시얼 성장을 수행하여 시드막을 형성하였다. 그리고, 제1 에피택시얼막의 성장에서는 약 400℃의 온도에서 분당 약 7.5℃로 약 530℃까지의 온도로 상승시키면서 약 50cc의 SiH4를 제공하였고, 제2 에피택시얼막의 성장 및 비정질 실리콘의 형성에서는 약 530℃의 온도를 유지하면서 약 150cc의 SiH4를 제공하였다. 아울러, 상기 비정질 실리콘막의 결정 구조의 전환은 약 600℃의 온도에서 수행하였다.A process was performed in the same manner as in Example 3 to obtain a single crystal silicon film. In particular, selective epitaxial growth was performed at a temperature of 800 ° C. to form a seed film. In the growth of the first epitaxial layer, about 50 cc of SiH 4 was provided while raising the temperature from about 400 ° C. to about 530 ° C. at about 7.5 ° C. per minute. Formation provided about 150 cc of SiH 4 while maintaining a temperature of about 530 ° C. In addition, the switching of the crystal structure of the amorphous silicon film was performed at a temperature of about 600 ℃.

그리고, 상기 비정질 실리콘막의 결정 구조를 전환시켜 획득한 단결정 실리콘막의 결정 구조를 주사 전자 현미경으로 확대하였다. 그 결과, 도 9에서와 같이 상기 단결정 실리콘막의 결정 구조는 조밀하면서 동시에 규칙적인 배열을 갖는 것을 확인할 수 있었다.The crystal structure of the single crystal silicon film obtained by switching the crystal structure of the amorphous silicon film was enlarged with a scanning electron microscope. As a result, as shown in FIG. 9, it was confirmed that the crystal structure of the single crystal silicon film had a dense and regular arrangement.

또한, 종래에서와 같이 질소 가스를 제공하면서 비정질 실리콘막을 형성한 후, 상기 비정질 실리콘막의 결정 구조를 전환시켜 획득한 박막의 결정 구조를 주사 전자 현미경으로 확대하였다. 그 결과, 도 10에서와 같이, 불규칙적인 배열을 갖는 것을 확인할 수 있다.Further, after forming an amorphous silicon film while providing nitrogen gas as in the related art, the crystal structure of the thin film obtained by switching the crystal structure of the amorphous silicon film was enlarged by a scanning electron microscope. As a result, as shown in Figure 10, it can be confirmed that having an irregular arrangement.

따라서, SiH4와 같은 실리콘 소스 가스를 제공하면서 형성한 비정질 실리콘막으로부터는 조밀하면서 동시에 규칙적인 배열의 결정 구조를 갖는 단결정 실리콘막을 용이하게 획득할 수 있다.Therefore, from the amorphous silicon film formed while providing a silicon source gas such as SiH 4 , a single crystal silicon film having a dense and regular array of crystal structures can be easily obtained.

본 발명은 실리콘 소스 가스를 제공하면서 시드로 사용하는 단결정의 박막 상에는 에피택시얼막을 성장시키고, 절연막 패턴 상에는 비정질 실리콘막을 형성한다. 그리고, 상기 에피택시얼막을 시드로 사용하는 고상 에피택시를 수행하여 상기 에피택시얼막과 비정질 실리콘막으로부터 단결정 실리콘막을 획득한다.An epitaxial film is grown on a single crystal thin film used as a seed while providing a silicon source gas, and an amorphous silicon film is formed on an insulating film pattern. A solid crystal epitaxy using the epitaxial film as a seed is performed to obtain a single crystal silicon film from the epitaxial film and the amorphous silicon film.

따라서, 본 발명은 스택 구조를 갖는 단결정 실리콘막을 용이하게 형성할 수 있다. 그러므로, 스택 구조를 갖는 반도체 장치를 용이하게 형성할 수 있는 효과가 있다. Therefore, the present invention can easily form a single crystal silicon film having a stack structure. Therefore, there is an effect that a semiconductor device having a stack structure can be easily formed.                     

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다. As described above, although described with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified without departing from the spirit and scope of the invention described in the claims below. And can be changed.

Claims (59)

산소를 함유하지 않으면서 실리콘을 함유하는 물질로 이루어진 단결정 실리콘막을 마련하는 단계; 및Providing a single crystal silicon film made of a material containing silicon without containing oxygen; And 상기 단결정 실리콘막의 상부로 SixHy, SixHyClz 및 SixCly로 구성되는 그룹으로부터 선택되는 적어도 어느 하나의 실리콘 소스 가스를 제공하면서 상기 단결정 실리콘막 상자연산화막이 존재하지 않는 에피택시얼막을 성장시키는 단계를 포함하는 에피택시얼막 형성 방법. At least one of, providing a silicon source gas native oxide film on the single crystal silicon film is selected from the group consisting of an upper portion of the single crystal silicon film to the Si x H y, Si x H y Cl z and Si x Cl y presence An epitaxial film forming method comprising the step of growing an epitaxial film that does not . 삭제delete 제1 항에 있어서, 상기 단결정 실리콘막은 실리콘 기판 또는 실리콘-온-인슐레이터(SOI) 기판인 것을 특징으로 하는 에피택시얼막 형성 방법.The method of claim 1, wherein the single crystal silicon film is a silicon substrate or a silicon-on-insulator (SOI) substrate. 제1 항에 있어서, 상기 단결정 실리콘막은 단결정 실리콘을 시드로 사용하는 선택적 에피택시얼 성장을 수행하여 획득하는 에피택시얼막인 것을 특징으로 하는 에피택시얼막 형성 방법.The epitaxial film forming method according to claim 1, wherein the single crystal silicon film is an epitaxial film obtained by performing selective epitaxial growth using single crystal silicon as a seed. 삭제delete 제1 항에 있어서, 상기 에피택시얼막을 성장시키는 단계는 350 내지 530℃의 온도에서 수행하는 것을 특징으로 하는 에피택시얼막 형성 방법.The method of claim 1, wherein the growing the epitaxial film is performed at a temperature of 350 to 530 ℃. 제1 항에 있어서, 상기 에피택시얼막을 성장시키는 단계는 0.2 내지 0.6Torr의 압력에서 수행하는 것을 특징으로 에피택시얼막 형성 방법.The method of claim 1, wherein the growing the epitaxial film is performed at a pressure of 0.2 to 0.6 Torr. 제1 항에 있어서, 상기 실리콘 소스 가스와 함께 질소 가스를 더 제공하는 것을 특징으로 하는 에피택시얼막 형성 방법.The epitaxial film forming method according to claim 1, further comprising nitrogen gas together with the silicon source gas. 제8 항에 있어서, 상기 질소 가스가 제공되는 유량은 상기 실리콘 소스 가스가 제공되는 유량을 초과하지 않는 것을 특징으로 하는 에피택시얼막 형성 방법.9. The method of claim 8, wherein the flow rate at which the nitrogen gas is provided does not exceed the flow rate at which the silicon source gas is provided. a) 제1 단결정 실리콘막을 부분적으로 노출시키는 절연막 패턴을 형성하는 단계; 및a) forming an insulating film pattern partially exposing the first single crystal silicon film; And b) 상기 절연막 패턴을 갖는 결과물 상부로 SixHy, SixHyClz 및 SixCly로 구성되는 그룹으로부터 선택되는 적어도 어느 하나의 실리콘 소스 가스를 제공하면서 상기 제1 단결정 실리콘막 상자연산화막이 존재하지 않는 에피택시얼막을 성장시키면서 상기 절연막 패턴 상에 비정질 실리콘막을 형성하는 단계를 포함하는 박막 형성 방법.b) on the first single crystal silicon film while providing at least one silicon source gas selected from the group consisting of Si x H y , Si x H y Cl z, and Si x Cl y over the resultant having the insulating film pattern . Forming an amorphous silicon film on the insulating film pattern while growing an epitaxial film in which a natural oxide film does not exist . 제10 항에 있어서, 상기 제1 단결정 실리콘막은 실리콘 기판 또는 실리콘-온-인슐레이터 기판인 것을 특징으로 하는 박막 형성 방법.The method of claim 10, wherein the first single crystal silicon film is a silicon substrate or a silicon-on-insulator substrate. 제10 항에 있어서, 상기 제1 단결정 실리콘막은 단결정 실리콘을 시드로 사용하는 선택적 에피택시얼 성장을 수행하여 획득하는 에피택시얼막인 것을 특징으로 하는 박막 형성 방법.The method of claim 10, wherein the first single crystal silicon film is an epitaxial film obtained by performing selective epitaxial growth using single crystal silicon as a seed. 제10 항에 있어서, 상기 절연막 패턴은 산화물로 이루어진 것을 특징으로 하는 박막 형성 방법.The method of claim 10, wherein the insulating layer pattern is formed of an oxide. 삭제delete 제10 항에 있어서, 상기 b) 단계는,The method of claim 10, wherein b), b-1) 상기 제1 단결정 실리콘막 상에 제1 에피택시얼막을 성장시키는 단계; 및b-1) growing a first epitaxial film on the first single crystal silicon film; And b-2) 상기 제1 에피택시얼막 상에 제2 에피택시얼막을 성장시키면서 상기 절연막 패턴 상에 비정질 실리콘막을 형성하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.b-2) forming an amorphous silicon film on said insulating film pattern while growing a second epitaxial film on said first epitaxial film. 제15 항에 있어서, 상기 b-1) 단계는 제1 온도에서 제2 온도로 상승시키면서 상기 실리콘 소스 가스를 제1 유량으로 제공하고, 상기 b-2) 단계는 상기 제2 온도를 유지하면서 상기 실리콘 소스 가스를 제2 유량으로 제공하는 것을 특징으로 하는 박막 형성 방법.The method of claim 15, wherein the step b-1) provides the silicon source gas at a first flow rate while increasing the temperature from the first temperature to the second temperature, and the step b-2) maintains the second temperature. Providing a silicon source gas at a second flow rate. 제16 항에 있어서, 상기 제2 온도에서 제1 온도로 하강시키는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.The method of claim 16, further comprising lowering the temperature from the second temperature to the first temperature. 제17 항에 있어서, 상기 제2 온도에서 제1 온도로의 하강은 분당 5 내지 10℃로 이루어지는 것을 특징으로 하는 박막 형성 방법.18. The method of claim 17, wherein the lowering from the second temperature to the first temperature is 5 to 10 [deg.] C. per minute. 제16 항에 있어서, 상기 제1 온도는 350℃이상이고, 상기 제2 온도는 500 내지 550℃인 것을 특징으로 하는 박막 형성 방법.The method of claim 16, wherein the first temperature is 350 ° C. or higher and the second temperature is 500 to 550 ° C. 18. 제16 항에 있어서, 상기 제1 온도에서 제2 온도로의 상승은 분당 5 내지 10℃로 이루어지는 것을 특징으로 하는 박막 형성 방법.The method of claim 16, wherein the increase from the first temperature to the second temperature is made from 5 to 10 ° C. per minute. 제16 항에 있어서, 상기 제2 유량은 상기 제1 유량보다 2 내지 4배인 것을 특징으로 하는 박막 형성 방법.The method of claim 16, wherein the second flow rate is 2 to 4 times greater than the first flow rate. 제15 항에 있어서, 상기 b-1) 단계와 b-2) 단계는 0.2 내지 0.6Torr의 압력에서 수행하는 것을 특징으로 하는 박막 형성 방법.The method of claim 15, wherein the steps b-1) and b-2) are performed at a pressure of 0.2 to 0.6 Torr. 제10 항에 있어서, 상기 비정질 실리콘막의 결정 구조를 단결정으로 전환시킴으로서 상기 에피택시얼막과 비정질 실리콘막으로부터 제2 단결정 실리콘막을 획득하는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.11. The method of claim 10, further comprising obtaining a second single crystal silicon film from the epitaxial film and the amorphous silicon film by converting the crystal structure of the amorphous silicon film into a single crystal. 제23 항에 있어서, 상기 제2 단결정 실리콘막을 획득하는 단계는 열처리에 의한 고상 에피택시를 수행하는 것을 특징으로 하는 박막 형성 방법.24. The method of claim 23, wherein the obtaining of the second single crystal silicon film comprises performing solid state epitaxy by heat treatment. 제24 항에 있어서, 상기 열처리는 570 내지 650℃의 온도에서 수행하는 것을 특징으로 하는 박막 형성 방법.The method of claim 24, wherein the heat treatment is performed at a temperature of 570 to 650 ℃. 제23 항에 있어서, 상기 제2 단결정 실리콘막의 표면을 평탄화시키는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.24. The method of claim 23, further comprising planarizing the surface of the second single crystal silicon film. 제26 항에 있어서, 상기 평탄화는 화학기계적 연마인 것을 특징으로 하는 박막 형성 방법.27. The method of claim 26, wherein the planarization is chemical mechanical polishing. 제10 항에 있어서, 상기 실리콘 소스 가스와 함께 질소 가스를 더 제공하는 것을 특징으로 하는 박막 형성 방법.The method of claim 10, further comprising providing nitrogen gas together with the silicon source gas. 제28 항에 있어서, 상기 질소 가스가 제공되는 유량은 상기 실리콘 소스 가스가 제공되는 유량을 초과하지 않는 것을 특징으로 하는 에피택시얼막 형성 방법.29. The method of claim 28, wherein the flow rate at which the nitrogen gas is provided does not exceed the flow rate at which the silicon source gas is provided. a) 제1 단결정 실리콘막 상에 상기 제1 단결정 실리콘막의 표면을 부분적으로 노출시키는 개구부를 갖는 제1 절연막 패턴을 형성하는 단계;a) forming a first insulating film pattern on the first single crystal silicon film, the first insulating film pattern having an opening that partially exposes the surface of the first single crystal silicon film; b) 상기 개구부에 의해 노출된 제1 단결정 실리콘막 상에 단결정 실리콘으로 이루어진 제1 시드막을 형성하는 단계;b) forming a first seed film made of single crystal silicon on the first single crystal silicon film exposed by the opening; c) 상기 제1 시드막이 형성된 결과물 상부로 SixHy, SixHyClz 및 SixCly로 구성되는 그룹으로부터 선택되는 적어도 어느 하나의 실리콘 소스 가스를 제공하면서 상기 제1 시드막자연산화막이 존재하지 않는 에피택시얼막을 성장시키면서 상기 제1 절연막 패턴 상에 비정질 실리콘막을 형성하는 단계; 및c) Si x H y, Si x H y Cl z and Si x Cl y, providing at least one of the silicon source gas is selected from the group consisting of the first seed film phase to output upper formed film of the first oxide Forming an amorphous silicon film on the first insulating film pattern while growing an epitaxial film containing no natural oxide film on the first insulating film pattern; And d) 상기 비정질 실리콘막의 결정 구조를 단결정으로 전환시켜 상기 에피택시얼막과 비정질 실리콘막으로부터 제2 단결정 실리콘막을 획득하는 단계를 포함하는 박막 형성 방법.d) converting the crystal structure of the amorphous silicon film into a single crystal to obtain a second single crystal silicon film from the epitaxial film and the amorphous silicon film. 제30 항에 있어서, 상기 제1 단결정 실리콘막은 실리콘 기판 또는 실리콘-온-인슐레이터 기판인 것을 특징으로 하는 박막 형성 방법.31. The method of claim 30, wherein the first single crystal silicon film is a silicon substrate or a silicon-on-insulator substrate. 제30 항에 있어서, 상기 제1 단결정 실리콘막은 단결정 실리콘을 시드로 사용하는 선택적 에피택시얼 성장을 수행하여 획득하는 에피택시얼막인 것을 특징으로 하는 박막 형성 방법.31. The method of claim 30, wherein the first single crystal silicon film is an epitaxial film obtained by performing selective epitaxial growth using single crystal silicon as a seed. 제30 항에 있어서, 상기 제1 절연막 패턴은 산화물로 이루어진 것을 특징으로 하는 박막 형성 방법.The method of claim 30, wherein the first insulating layer pattern is formed of an oxide. 제30 항에 있어서, 상기 제1 시드막은 상기 제1 단결정 실리콘막을 시드로 사용하는 선택적 에피택시얼 성장을 수행하여 형성하는 것을 특징으로 하는 박막 형성 방법.31. The method of claim 30, wherein the first seed film is formed by performing selective epitaxial growth using the first single crystal silicon film as a seed. 삭제delete 제30 항에 있어서, 상기 c) 단계는,The method of claim 30, wherein step c) c-1) 상기 제1 시드막 상에 제1 에피택시얼막을 성장시키는 단계; 및c-1) growing a first epitaxial film on the first seed film; And c-2) 상기 제1 에피택시얼막 상에 제2 에피택시얼막을 성장시키면서 상기 제 1 절연막 패턴 상에 비정질 실리콘막을 형성하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.c-2) forming an amorphous silicon film on said first insulating film pattern while growing a second epitaxial film on said first epitaxial film. 제36 항에 있어서, 상기 c-1) 단계는 제1 온도에서 제2 온도로 상승시키면서 상기 실리콘 소스 가스를 제1 유량으로 제공하고, 상기 c-2) 단계는 상기 제2 온도를 유지하면서 상기 실리콘 소스 가스를 제2 유량으로 제공하는 것을 특징으로 하는 박막 형성 방법.37. The method of claim 36, wherein step c-1) provides the silicon source gas at a first flow rate while increasing from a first temperature to a second temperature, and step c-2) is performed while maintaining the second temperature. Providing a silicon source gas at a second flow rate. 제37 항에 있어서, 상기 제2 온도에서 제1 온도로 하강시키는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.38. The method of claim 37, further comprising the step of lowering from the second temperature to the first temperature. 제38 항에 있어서, 상기 제2 온도에서 제1 온도로의 하강은 분당 5 내지 10℃로 이루어지는 것을 특징으로 하는 박막 형성 방법.39. The method of claim 38, wherein the lowering from the second temperature to the first temperature is 5-10 [deg.] C. per minute. 제37 항에 있어서, 상기 제1 온도는 350℃ 이상이고, 상기 제2 온도는 500 내지 550℃인 것을 특징으로 하는 박막 형성 방법.38. The method of claim 37, wherein the first temperature is at least 350 ° C and the second temperature is at 500 to 550 ° C. 제37 항에 있어서, 상기 제1 온도에서 제2 온도로의 상승은 분당 5 내지 10℃로 이루어지는 것을 특징으로 하는 박막 형성 방법.38. The method of claim 37, wherein said rising from said first temperature to said second temperature is between 5 and 10 degrees Celsius per minute. 제37 항에 있어서, 상기 제2 유량은 상기 제1 유량보다 2 내지 4배인 것을 특징으로 하는 박막 형성 방법.38. The method of claim 37, wherein the second flow rate is 2 to 4 times greater than the first flow rate. 제36 항에 있어서, 상기 c-1)와 c-2) 단계는 0.2 내지 0.6Torr의 압력에서 수행하는 것을 특징으로 하는 박막 형성 방법.37. The method of claim 36, wherein the steps c-1) and c-2) are performed at a pressure of 0.2 to 0.6 Torr. 제30 항에 있어서, 상기 d) 단계는 열처리에 의한 고상 에피택시를 수행하는 것을 특징으로 하는 박막 형성 방법.31. The method of claim 30, wherein step d) comprises performing solid state epitaxy by heat treatment. 제44 항에 있어서, 상기 열처리는 570 내지 650℃의 온도에서 수행하는 것을 특징으로 하는 박막 형성 방법.The method of claim 44, wherein the heat treatment is performed at a temperature of 570 to 650 ℃. 제30 항에 있어서, 상기 제1 시드막이 상기 개구부의 입구 부위보다 높게 형성될 경우, 상기 개구부의 입구 부위가 노출될 때까지 상기 제1 시드막을 제거하는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.31. The method of claim 30, further comprising removing the first seed film until the inlet portion of the opening is exposed when the first seed layer is formed higher than the inlet portion of the opening. Way. 제30 항에 있어서, 상기 제2 단결정 실리콘막의 표면을 평탄화시키는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.31. The method of claim 30, further comprising planarizing the surface of the second single crystal silicon film. 제30 항에 있어서, 상기 제2 단결정 실리콘막 상에 상기 제1 절연막 패턴과 동일한 제2 내지 제n 절연막 패턴, 상기 제1 시드막과 동일한 제2 내지 제n 시드막, 상기 제2 단결정 실리콘막과 동일한 제3 내지 제n+1(n은 3이상의 자연수) 단결정 실리콘막을 서로 반복하여 적층하는 단계를 더 포함하는 것을 특징으로 하는 박막 형성 방법.31. The semiconductor device of claim 30, further comprising a second to n-th insulating film pattern that is the same as the first insulating film pattern, a second to n-th seed film that is the same as the first seed film, and the second single crystal silicon film on the second single crystal silicon film. And laminating a third to n + 1 (n is a natural number of 3 or more) single crystalline silicon films which are the same as each other repeatedly. 제30 항에 있어서, 상기 c) 단계는 상기 실리콘 소스 가스와 함께 질소 가스를 더 제공하는 것을 특징으로 하는 박막 형성 방법.31. The method of claim 30, wherein step c) further provides nitrogen gas together with the silicon source gas. 제49 항에 있어서, 상기 질소 가스가 제공되는 유량은 상기 실리콘 소스 가스가 제공되는 유량을 초과하지 않는 것을 특징으로 하는 박막 형성 방법.50. The method of claim 49, wherein the flow rate at which the nitrogen gas is provided does not exceed the flow rate at which the silicon source gas is provided. 하부막 상에 상기 하부막을 부분적으로 노출시키는 개구부를 갖는 절연막 패턴을 형성하는 단계; 및Forming an insulating layer pattern having an opening on the lower layer, the opening partially exposing the lower layer; And 상기 절연막 패턴이 형성된 결과물 상부로 SixHy, SixHyClz 및 SixCly로 구성되는 그룹으로부터 선택되는 적어도 어느 하나의 실리콘 소스 가스를 제공하여 상기 부분적으로 노출된 하부막의 표면상에 자연산화막이 존재하지 않는 제1 에피택시얼막을 성장시키는 단계를 포함하는 반도체 장치의 제조 방법. At least one silicon source gas selected from the group consisting of Si x H y , Si x H y Cl z, and Si x Cl y is provided on the resultant layer on which the insulating film pattern is formed . Growing a first epitaxial film in which no natural oxide film is present in the semiconductor device. 제51 항에 있어서, 상기 하부막은 실리콘을 함유하는 물질로 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 51, wherein the lower film is made of a material containing silicon. 제51 항에 있어서, 상기 개구부는 전기적으로 연결되는 플러그를 형성하기 위한 영역인 것을 특징으로 하는 반도체 장치의 제조 방법.52. The method of claim 51, wherein the opening is an area for forming a plug that is electrically connected. 삭제delete 제51 항에 있어서, 상기 제1 에피택시얼막이 성장된 개구부에 실리콘 소스 가스의 열분해를 수행하여 폴리 실리콘막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.52. The method of claim 51, further comprising forming a polysilicon film by thermally decomposing a silicon source gas in the opening in which the first epitaxial film is grown. 제55 항에 있어서, 상기 열분해는 550 내지 650℃의 온도와 25 내지 150Pa의 압력에서 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 55, wherein the pyrolysis is performed at a temperature of 550 to 650 ° C. and a pressure of 25 to 150 Pa. 제51 항에 있어서, 상기 제1 에피택시얼막 상에는 제2 에피택시얼막을 성장시키면서 상기 개구부의 측벽으로부터는 비정질 실리콘막을 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.52. The method of claim 51, wherein an amorphous silicon film is formed from the sidewall of the opening while growing a second epitaxial film on the first epitaxial film. 제51 항에 있어서, 상기 실리콘 소스 가스와 함께 질소 가스를 더 제공하는 것을 특징으로 하는 반도체 장치의 제조 방법.52. The method of claim 51, further comprising nitrogen gas together with the silicon source gas. 제58 항에 있어서, 상기 질소 가스가 제공되는 유량은 상기 실리콘 소스 가스가 제공되는 유량을 초과하지 않는 것을 특징으로 하는 반도체 장치의 제조 방법.59. The method of claim 58, wherein the flow rate at which the nitrogen gas is provided does not exceed the flow rate at which the silicon source gas is provided.
KR1020040079971A 2004-09-08 2004-10-07 Method of manufacturing an epitaxial layer and method of manufacturing a thin layer and a semiconductor device using the same KR100611061B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/095,496 US7141116B2 (en) 2004-09-08 2005-04-01 Method for manufacturing a silicon structure
JP2005190648A JP4510707B2 (en) 2004-09-08 2005-06-29 Epitaxial film forming method, thin film forming method using the same, and semiconductor device manufacturing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20040071611 2004-09-08
KR1020040071611 2004-09-08

Publications (2)

Publication Number Publication Date
KR20060023101A KR20060023101A (en) 2006-03-13
KR100611061B1 true KR100611061B1 (en) 2006-08-10

Family

ID=37129428

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040079971A KR100611061B1 (en) 2004-09-08 2004-10-07 Method of manufacturing an epitaxial layer and method of manufacturing a thin layer and a semiconductor device using the same

Country Status (1)

Country Link
KR (1) KR100611061B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653472B2 (en) 2014-08-22 2017-05-16 Samsung Electronics Co., Ltd. Semiconductor device, method of fabricating the semiconductor device, and method of forming epitaxial layer

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080070423A1 (en) * 2006-09-15 2008-03-20 Crowder Mark A Buried seed one-shot interlevel crystallization

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02246210A (en) * 1989-03-20 1990-10-02 Sanyo Electric Co Ltd Formation of soi film
KR19990038114A (en) * 1997-11-03 1999-06-05 윤종용 Manufacturing method of SOO element
KR20010037531A (en) * 1999-10-18 2001-05-15 박종섭 Method for forming epitaxial layer of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02246210A (en) * 1989-03-20 1990-10-02 Sanyo Electric Co Ltd Formation of soi film
KR19990038114A (en) * 1997-11-03 1999-06-05 윤종용 Manufacturing method of SOO element
KR20010037531A (en) * 1999-10-18 2001-05-15 박종섭 Method for forming epitaxial layer of semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653472B2 (en) 2014-08-22 2017-05-16 Samsung Electronics Co., Ltd. Semiconductor device, method of fabricating the semiconductor device, and method of forming epitaxial layer

Also Published As

Publication number Publication date
KR20060023101A (en) 2006-03-13

Similar Documents

Publication Publication Date Title
US6391749B1 (en) Selective epitaxial growth method in semiconductor device
KR100611108B1 (en) Method of manufacturing a thin film layer
CN102456742A (en) Semiconductor device and a method for manufacturing a semiconductor device
JP3974542B2 (en) Semiconductor substrate manufacturing method and semiconductor device manufacturing method
WO2000001005A1 (en) Method for forming monocrystalline silicon layer, method for manufacturing semiconductor device, and semiconductor device
US6908853B2 (en) Method of fabricating a semiconductor device having reduced contact resistance
US9881991B2 (en) Capacitor and method of forming a capacitor
JP2002343743A (en) Method of forming contact plug of semiconductor device
US7049230B2 (en) Method of forming a contact plug in a semiconductor device
KR20010009810A (en) Trench-type isolation method using Si-Ge epitaxial layer
US8329532B2 (en) Process for the simultaneous deposition of crystalline and amorphous layers with doping
KR100611061B1 (en) Method of manufacturing an epitaxial layer and method of manufacturing a thin layer and a semiconductor device using the same
JP4510707B2 (en) Epitaxial film forming method, thin film forming method using the same, and semiconductor device manufacturing method
KR100566675B1 (en) Semiconductor device and method of manufacturing the same
US7166517B1 (en) Semiconductor device and method of manufacture thereof
KR100578821B1 (en) Method of manufacturing a thin layer
KR100650715B1 (en) Method for forming contact plug of semiconductor device
JP2005005321A (en) Semiconductor substrate, semiconductor device, and these manufacturing methods
KR20020028488A (en) Method for growthing a epi-layer and a method for manufacturing a transistor using the same
KR100518561B1 (en) Method for manufacturing bipolar device including germane gas pre-treatment on single crystalline silicon layer and apparatus by the same
KR100414947B1 (en) Method of forming a contact plug in a semiconductor device
JP2002329673A (en) Semiconductor crystal film, its manufacturing method, semiconductor device and its manufacturing method
KR100342867B1 (en) Method of forming gate electrode of semiconductor device including Co-silicide
KR100522420B1 (en) Method for forming capacitor having mps grain with improved doping efficiency
KR100955924B1 (en) Method for forming contact plug of semicondutor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130731

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140731

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160801

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180731

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190731

Year of fee payment: 14