KR100495783B1 - 기판처리장치의인-시튜진공라인을세척하기위한평행판장치 - Google Patents

기판처리장치의인-시튜진공라인을세척하기위한평행판장치 Download PDF

Info

Publication number
KR100495783B1
KR100495783B1 KR1019970055160A KR19970055160A KR100495783B1 KR 100495783 B1 KR100495783 B1 KR 100495783B1 KR 1019970055160 A KR1019970055160 A KR 1019970055160A KR 19970055160 A KR19970055160 A KR 19970055160A KR 100495783 B1 KR100495783 B1 KR 100495783B1
Authority
KR
South Korea
Prior art keywords
electrode
dpa
fluid conduit
gas
plasma
Prior art date
Application number
KR1019970055160A
Other languages
English (en)
Other versions
KR19980033191A (ko
Inventor
벤 팡
세바스탠 라옥스
데이비드 쳉
윌리암 엔 주니어 테일러
마크 포더
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19980033191A publication Critical patent/KR19980033191A/ko
Application granted granted Critical
Publication of KR100495783B1 publication Critical patent/KR100495783B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D45/00Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces
    • B01D45/04Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia
    • B01D45/06Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia by reversal of direction of flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/46Removing components of defined structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/12Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
    • B01J19/122Incoherent waves
    • B01J19/126Microwaves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/20Halogens or halogen compounds
    • B01D2257/206Organic halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • B01D2259/818Employing electrical discharges or the generation of a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/461Microwave discharges
    • H05H1/4622Microwave discharges using waveguides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2245/00Applications of plasma devices
    • H05H2245/10Treatment of gases
    • H05H2245/17Exhaust gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Biomedical Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrostatic Separation (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 기판 처리 챔버의 배기 라인내의 증착물을 최소화시키는 장치에 관한 것이다. 본 발명에 따른 장치는 그 사이에 유체도관을 형성하는 대향 표면을 가진 제 1 및 제 2 전극을 포함한다. 유체도관은 입구, 출구, 및 상기 입구와 상기 출구 사이에 수집 챔버를 포함한다. 본 발명에 따른 장치는 기판 처리 챔버의 배기물을 수용하기 위해 상기 기판 처리 챔버의 입구에 접속된다. 수집 챔버는 유체도관을 통해 흐르는 미립자 물질을 수집하여 상기 미립자 물질이 배기되는 것을 억제하도록 구성 및 배치된다. 플라즈마 발생 시스템은 유체도관내에 에천트 가스로부터 플라즈마를 형성하기 위해 전극에 전력을 공급한다. 플라즈마로부터의 성분은 유체도관으로부터 펌핑될 수 있는 가스 발생물을 형성하기 위해 수집 챔버내에 수집된 미립자 물질과 반응한다. 본 발명에 따른 장치는 수집 챔버내에 입자 수집을 개선하여, 상기 미립자 물질의 배기를 보다 억제하기 위해 정전기 수집기를 더 포함할 수 있다.

Description

기판 처리 장치의 인-시튜 진공라인을 세척하기 위한 평행판 장치{PARALLEL PLATE APPARATUS FOR IN-SITU VACUUM LINE CLEANING FOR SUBSTRATE PROCESSING EQUIPMENT}
본 발명은 일반적으로 반도체 처리 장치, 특히 처리 챔버에 접속된 진공 배기 라인의 내부로부터 오염물 및 잔류물을 제거하기 위한 방법 및 장치 그리고 처리 챔버로부터 퍼플루오로화합물(PFC)(perfluorocompound) 가스의 방출을 감소시키기 위한 방법 및 장치에 관한 것이다.
화학적 기상 증착(CVD) 공정 동안, 처리되는 기판 표면상에 박막층을 형성하기 위해 처리챔버내에 증착 가스가 제공된다. 이러한 CVD 공정 동안 처리 챔버 벽과 같은 영역상에 원하지 않는 증착이 발생한다. 그러나, 이들 증착 가스 각각의 미립자가 챔버에 거주하는 시간은 매우 짧기 때문에, 챔버내로 방출된 미립자의 일부분만이 증착 공정 동안에 소모되며 웨이퍼 또는 챔버 벽중 한 부분에 증착된다.
소모되지 않은 가스 분자는 통상적으로 "포어라인"이라 불리는 진공 라인을 통해 부분적으로 반응된 화합물 및 반응 부산물과 함께 챔버 밖으로 펌핑된다. 이들 방출되는 가스중 많은 화합물은 높은 반응 상태에 있으며 및/또는 포어라인내에 원하지 않는 증착물을 형성할 수 있는 잔류물 또는 미립자 물질을 포함한다. 주어진 시간에 분말형 잔류물 및/또는 미립자 물질의 증착물 축적은 문제를 야기시킨다. 첫째, 상기 물질은 진공 시일이 파괴되어 포어라인이 주기적인 표준 세척 동작 동안 대기 조건에 노출될 때 문제를 야기하는 자연 발화 물질이다. 둘째, 포어라인에 많은 증착 물질이 축적되는 경우, 포어라인 및/또는 그와 관련된 진공 펌프가 적절히 세척되지 않을 때 막힌다. 주기적으로 세척할 때 조차, 축적된 물질은 진공펌프의 정상 동작을 방해하며 펌프의 사용 기간을 단축시킨다. 또한, 고체물질이 포어라인으로부터 처리 챔버로 역류할 수 있으며, 처리 단계를 오염시켜 웨이퍼 수율에 악영향을 줄 수 있다.
이러한 문제점들을 극복하기 위해서, 포어라인의 내부 표면은 증착 물질을 제거하기 위해서 규칙적으로 세척되야 한다. 이 과정은 처리 챔버의 챔버 벽 및 유사한 영역으로부터 원치않는 증착 물질을 제거하기 위해 사용되는 표준 챔버 세척 동작 동안 수행된다. 공통 챔버 세척 기술은 챔버벽 및 다른 영역으로부터 증착된 물질을 제거하기 위해 불소와 같은 에칭 가스 사용을 포함한다. 에칭가스가 챔버내로 유입되고 플라즈마가 형성되어, 에칭 가스가 챔버 벽으로부터의 증착 물질과 반응하여 상기 증착된 물질을 제거한다. 이러한 세척 과정은 일반적으로 웨이퍼 마다 또는 모든 N개 웨이퍼 마다 증착 단계 사이에서 수행된다.
챔버 벽으로부터의 증착 물질 제거는 플라즈마가 증착 물질에 인접한 플라즈마내의 영역에서 발생한다는 점에서 매우 간단하다. 포어라인으로부터 증착물 제거는 포어라인이 처리 챔버의 하단에 있기 때문에 더 복잡하다. 고정된 시간 주기에서, 처리 챔버내의 대부분의 포인트는 포어라인내의 포인트보다 에천트 불소 원자와 더 접촉한다. 따라서, 고정된 시간 주기에서, 챔버는 잔류물 및 유사한 증착물이 포어라인에 남아 있는 동안 세척 공정에 의해 충분히 세척될 수 있다.
포어라인을 충분히 세척하기 위해서, 세척 동작의 주기는 증가되어야 한다. 그러나, 세척 동작의 길이를 증가시키는 것은 웨이퍼 처리량에 악영향을 미치기 때문에 바람직하지 않다. 또한, 이러한 축적 잔류물은 세척 단계로부터의 반응물이 포어라인에서 잔류물과 반응할 수 있는 상태에서 포어라인내로 방출될 수 있을 정도까지만 세척될 수 있다. 몇몇의 시스템 및 응용에 있어서, 배기된 반응물의 수명은 포어라인의 끝부분 또는 중간부분에 조차 도달하기에 충분치 않다. 이들 시스템 및 응용에 있어서, 축적 잔류물은 중요한 관심사이다. 따라서, 반도체 처리 시스템에서 포어라인을 효과적으로 철저히 세척하기 위한 장치 및 이를 수행하는 방법에 대한 필요성이 존재한다.
포어라인을 세척하기 위해 사용되는 방법중 하나는 막이 전극 표면상에 증착될 때, 배기 가스의 반응성 성분을 추출하기 위해 플라즈마 강화 CVD 기술을 사용하는 세척 시스템(scrubbing system)에 있다. 시스템은 고체막으로서 반응물 제거를 최대화시키도록 설계되며 큰 표면적의 나선형 전극을 사용한다. 나선형 전극은 송풍식 펌프(blower pump) 및 기계식 펌프 사이의 포어라인 단부 근처에 위치하는 제거가능한 캐니스터(canister)내에 포함된다. 많은 양의 고체 오염물이 전극상에 축적된 후에, 캐니스터는 처리 및 교체를 위해 제거될 수 있다.
수집을 위해 증착된 고체 물질에 대한 영역을 제공하는 전극의 큰 표면적에 따르는 시스템의 종래 방법에는 문제점이 있다. 전극의 큰 표면적을 수용하기 위해서, 시스템은 필수적으로 크고 거대해야 한다. 또한, 제거가능한 캐니스터는 적절히 교체되고 폐기되어야 하는 일회용 제품이기 때문에, 종래의 세척 시스템은 고비용을 야기시킨다. 또한, 세척 시스템은 진공 포어라인의 시작 부분으로부터 하류에 위치되어 상기 포어라인의 시작부분에 축적되는 분말형 물질 또는 미립자 물질을 확실히 제거할 수 없다.
따라서, 포어라인을 세척하기 위한 개선된 방법 및 장치가 요구된다.
CVD 및 다른 기판 처리 장치에서 다른 중요한 문제는 포어라인을 통해 처리 챔버로부터 배기되는 가스 및 부산물의 형태에 관한 것이다. 예를 들어, 세척 플라즈마내에서 가스의 분리는 완벽하지 않고(몇몇의 응용에서 유입된 가스 미립자의 10%만이 분리된다) 세척가스 각각의 분자가 챔버내에 거주하는 시간은 매우 짧기 때문에, 챔버내로 방출된 미립자의 일부분만이 증착된 물질과 반응한다. 에천트 반응에 참여하지 않는 가스 분자는 소위 "포어라인"으로 언급되는 진공 라인을 통해 에칭 물질 및 반응성 부산물과 함께 챔버 밖으로 펌핑된다. 배기된 가스는 반도체 공정에서 발생되는 부산물이다.
세척 에천트 가스로서 반도체 산업에서 사용되는 많은 불소 함유 가스는 불소 화합물 또는 간단히 "PFC"로서 간주된다. 보다 통상적으로 사용되는 몇몇의 PFC로는 CF4, C2F6, NF3 및 SF6 또는 유사한 가스가 포함한다. 이들 가스는 긴 수명(예를들어, CF4는 50,000년)을 가지는 것으로 알려져 있으며, 지구 온난화효과를 유발한다. 따라서, 이들을 대기로 배기하는 것은 매우 해로워서 규제되어야 한다. 따라서, CVD 반응 챔버와 같은 반도체 처리 장치로부터 방출되는 PFC를 감소시키는 것이 중요하다.
본 발명의 목적은 미립자 물질 및 다른 잔류물질이 기판 처리 챔버의 배기 라인에 축적되는 것을 방지 및/또는 상기 기판 처리 챔버로부터 PFC 방출을 감소시키기 위한 장치를 제공하여 종래기술의 문제점을 해결하는데 있다. 본 발명의 상이한 실시예는 미립자 감소 또는 PFC 방출 감소중 하나를 위해 특별히 설계되고 최적화될 수 있다. 또한, 임의의 기판 처리 동작에 사용하기 위한, 미립자 및 PFC 방출 감소 모두를 위해 최적화된 실시예를 설계할 수 있다.
본 발명은 공정중에 상기 목적을 달성한다. 즉, 바람직한 실시예에 있어서, 본 발명의 동작은 미립자 물질이 포어라인내에 축적되는 것을 방지하기 위해 또는 PFC 방출을 적절하게 감소시키기 위한 추가 처리 시간이 요구되지 않는다. 또한, 몇몇의 바람직한 실시예에 있어서, 본 발명은 추가 가스 및/또는 소모품을 필요로 하지 않는다.
미립자를 감소시키도록 최적화되어 설계된 본 발명에 따른 장치의 일 실시예에 있어서, 한쌍의 용량성 결합된 전극은 장치의 입구 및 배기구 사이에 배치된 래비린드(labyrinth) 가스 경로를 형성한다. 기판 처리 챔버로부터 배기될 때(예를들어 CVD 단계 동안) 진공 라인에 다른 방식으로 수집되는 분말형 잔류물 및 다른 미립자 물질이 가스 통로에서 트랩된다. 이 장치는 가스 통로내에 플라즈마를 형성하기 위해서 전극에 전력을 공급하는 플라즈마 발생 시스템을 포함할 수 있다. 플라즈마는 세척 주기 동안 가스 통로를 통해 펌핑되는 비반응성 배기 가스로부터 형성된다. 플라즈마로부터의 성분은 트랩된 미립자 물질과 반응하여, 상기 물질은 배기 라인을 통해 배기 라인 밖으로 용이하게 펌프되는 가스 발생물로 전환된다.
다른 실시예에 있어서, 본 발명의 장치는 유체도관을 형성하는 대향 표면을 가진 제 1 및 제 2 부재를 포함한다. 유체도관은 입구, 배기구, 및 상기 입구와 배기구 사이에서 유체도관을 흐르는 미립자 물질을 수집하고 미립자 물질이 수집 챔버로부터 빠져나가는 것을 방지하도록 구조화되고 배열된 수집 챔버를 포함한다. 마이크로파 플라즈마 발생시스템은 상기 유체도관내의 에천트 가스로부터 플라즈마를 형성하도록 장치에 동작가능하게 접속된다. 상기 플라즈마로부터의 성분은 유체도관으로부터 펌핑될 수 있는 가스 발생물을 형성하기 위해 수집 챔버에 수집된 미립자 물질과 반응한다. 상기 장치의 바람직한 실시예에 있어서, 제 1 및 제 2 부재는 각각의 전극이며, 상기 장치는 전극표면상에 미립자 물질을 수집하기 위해 두 개의 전극 사이에 전압을 인가하는 미립자 트랩 시스템을 포함한다. 플라즈마는 장치로부터 펌핑될 수 있는 가스 발생물로 상기 미립자 물질을 전환시키기 위해 전기적으로 수집된 미립자 물질과 반응한다.
가스 통로는 적어도 하나의 수집 챔버를 포함하며, 상기 수집 챔버는 중력이 통로를 흐르는 미립자 물질을 수집하고 미립자 물질이 상기 수집 챔버로부터 빠져나오는 방지하는 작용하도록 구조화되어 배열된다. 더욱이, 통로를 흐르는 미립자 물질을 수집하고 트랩하는데 도움이되는 전극 사이에 전압 필드를 형성하기 위해 적어도 하나의 전극에 전압이 공급된다.
다른 실시예에 있어서, 본 발명은 반도체 처리 장치로부터의 PFC 방출을 감소시키도록 최적화되어 설계된다. 이러한 장치의 일실시예는 유체도관을 형성하는 용기 챔버를 포함한다. PFC 산화제 소스는 유체도관내에 있으며, 플라즈마 발생 시스템은 상기 장치를 통해 펌핑되는 방출 PFC가스로부터 플라즈마를 형성한다. 플라즈마로부터의 성분은 PFC 산화제와 반응하여 방출 PFC 가스를 덜 해로운 물에 녹는 비-PFC 가스 발생물 및 부산물로 전환시킨다.
본 발명의 장치의 바람직한 실시예는 실리콘 함유 필터내에 PFC 산화제를 제공한다. 플라즈마 발생 시스템은 상기 장치로부터 펌핑되는 방출 PFC 가스로부터 플라즈마를 형성한다. 플라즈마로부터의 성분은 필터내에서 실리콘 함유 화합물과 반응하여 방출 PFC 가스를 덜 해로운 비-PFC가스 발생물 및 부산물로 전환시킨다. 본 발명의 바람직한 실시예에 있어서, 실리콘 함유 화합물은 실리콘 산화 물질이다.
본 발명의 또다른 실시예에 있어서, 가스 실리콘 소스 및/또는 산소 소스는 PFC 산화제를 제공하도록 상기 장치내로 유입된다. 플라즈마는 가스 실리콘 소스 및/또는 산소 소스 및 PFC가스로부터 형성된다. 플라즈마로부터의 성분은 덜 해로운 비 PFC가스 발생물 및 부산물로 전환시키기 위해 반응한다.
본 발명의 또다른 실시예에 있어서, 미립자 트랩 및 수집 시스템은 기판 처리 챔버에 접속된 배기 라인내에 축적된 미립자를 감소시키며, 수집된 미립자 및 잔류물은 PFC 산화제를 제공한다. 미립자 트랩 및 수집 시스템은 이러한 잔류물을 발생하는 증착공정으로부터 실리콘 함유 잔류물을 트랩한다. 플라즈마 발생 시스템은 방출 PFC 가스로부터 플라즈마를 형성한다. 플라즈마로부터의 성분은 수집된 잔류물과 반응하여 방출 PFC 가스를 덜 해로운 비-PFC 가스 발생물 및 부산물로 전환시킨다.
이러한 실시예의 일 형태에 있어서, 한 쌍의 용량성 결합된 전극은 래비린드 가스 통로를 형성한다. 통로내에 전압 필드를 만들기 위해 전극에 DC 또는 AC 전압이 공급된다. 전압 필드는 통로를 통해 배기된 음으로 하전된 미립자를 하나의 전극상으로 끌어당기며, 다른 전극상으로는 양으로 하전된 미립자를 끌어당긴다. 형성된 통로는 중력이 통로를 통해 배기된 미립자 물질을 트랩하는 작용을 하는 적어도 하나의 영역(수집챔버)을 포함한다. 통로를 통해 배기된 PFC 가스는 전극에 인가된 RF 전력의 영향을 받아 플라즈마 상태로 여기된다. 플라즈마로부터의 성분은 수집챔버내에 트랩된 실리콘 잔류물 미립자와 반응하여 PFC 가스를 비-PFC 가스 부산물로 전환시킨다.
본 발명은 첨부된 도면을 참조로하여 이하에서 상세히 설명될 것이다.
I. 예시적인 반도체 처리챔버
본 발명의 장치는 다양한 다른 반도체 처리 장치와 관련하여 사용될 수 있다. 적절한 장치중 하나인 화학적 기상 증착 장치는 간략화된 평행판 화학적 기상 증착 시스템(10)의 단면도인 도 1에 도시되어 있다. 시스템(10)은 진공 챔버(15)내의 서셉터(12)에 위치하는 웨이퍼(도시안됨)에 증착가스를 분산시키는 가스분배 매니폴드(11)를 포함한다. 서셉터(12)는 열에 매우 민감하다. 서셉터(12)(및 서셉터(12)의 상부 표면상에서 지지되는 웨이퍼)는 하부 로딩/오프-로딩 위치와 매니폴드(11)에 인접한 상부 처리 위치(14) 사이에서 제어가능하게 이동될 수 있다.
서셉터(12) 및 웨이퍼가 처리 위치(14)에 있을 때, 그들은 환형 진공 매니폴드(24) 속으로 배기되는 다수의 이격된 홀(23)을 가진 격벽판(baffle plate)(17)에 의해 둘러싸인다. 처리과정 동안, 매니폴드(11)에 대한 가스 입구는, 화살표(21)에 의해 표시된 것처럼, 웨이퍼의 표면에 걸쳐 방사상 균일하게 분배된다. 그리고 나서, 포트(23)를 통해 가스가 원형의 진공 매니폴드(24)로 배기되며, 진공 펌프 시스템(32)에 의해 진공 포어라인(31)을 지나 배기된다. 가스가 매니폴드(11)에 도달하기 전에, 가스 라인(18)을 통해 증착 및 캐리어 가스가 혼합 챔버(19)에 공급되며, 여기서 증착 및 캐리어 가스는 조합되어 매니폴드(11)로 전달된다.
RF 전원장치(25)로부터 매니폴드(11)에 인가된 RF 에너지에 의해 웨이퍼 근처에 제어된 플라즈마가 형성된다. 가스 분배 매니폴드(11)는 RF 전극이며, 서셉터(12)는 접지된다. RF 전원장치(25)는 챔버(15)내로 유입된 반응성 종(species)의 분해를 강화시키기 위해 매니폴드(11)에 단일 또는 혼합된 주파수의 RF 전력(또는 다른 적정 변수)중 하나를 공급할 수 있다.
원형 외부 램프 모듈(26)은 석영 윈도우(28)를 통해 조준된 환형 패턴의 광(27)을 서셉터(12) 환형 외부 주변부상에 제공한다. 이러한 열 분배는 서셉터의 고유 열손실 패턴을 보상하며 증착을 위해 서셉터 및 웨이퍼를 균일하게 가열한다.
모터(도시안됨)는 처리 위치(14) 및 하부 웨이퍼 로딩 위치 사이에서 서셉터(12)를 상승 및 하강시킨다. 가스라인(18) 및 RF 전원장치(25)에 접속된 모터 가스 공급 밸브(도시안됨)는 단지 일부만이 도시된 제어라인(36) 위의 프로세서(34)에 의해 제어된다. 프로세서(34)는 메모리(38)와 같은 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램의 제어하에서 동작한다. 컴퓨터 프로그램은 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 서셉터 위치 및 특정 공정의 다른 파라미터를 명령한다.
전형적으로, 몇몇 또는 모든 챔버 라이닝, 가스 입구 매니폴드 면판, 지지 핑거(13) 및 다양한 다른 반응기의 하드웨어는 양극처리된 알루미늄과 같은 물질로 제조된다. 이러한 PECVD 장치의 예는 "열적 CVD/PECVD 반응기 및 이산화실리콘의 열적 화학적 기상 증착과 인-시튜 다단계 평탄화 공정 사용"이란 명칭으로, 공동 양도된 미합중국 특허 제 5,000,113호에 개시되어 있다.
전술한 반응기는 설명을 위해 사용되었으며, 본 발명에서는 전자 사이클로티론 공진(ECR) 플라즈마 CVD 장치 및 유도 결합된 RF 고밀도 플라즈마 CVD장치 등과 같은 다른 CVD 장치가 사용될 수 있다. 본 발명에서는 또한 열 CVD 장치, 플라즈마 에칭 장치, 물리적 기상 증착 장치 및 다른 기판 처리 장치가 사용될 수 있다. 본 발명의 장치 및 진공 라인내에서 증착물 축적을 방지하기 위한 방법은 임의의 특정 반도체 처리 장치 및 임의의 특정 증착 또는 에칭 공정 및 방법으로 제한되지 않는다.
II. 예시적인 본 발명의 사용
CVD 반응기(10)에 의해 실행되는 화학적 기상 증착 공정과 같은 반도체 처리공정 동안, 다양한 가스 폐기 발생물 및 오염물은 진공 챔버(15)로부터 진공 라인(31)내로 배기된다. 실행된 특정 공정에 따라, 이들 배기물은 이들이 포어라인을 통해 배기될 때 포어라인 내에 잔류물 또는 유사한 분말 물질을 남기는 부분적으로 반응된 생성물 및 부산물과 같은 미립자 물질 또는 PFC 가스중 하나 또는 이들 모두를 포함할 수 있다. 본 발명은 포어라인내에 미립자 물질 축적을 방지 및/또는 진공챔버(15)로부터 방출된 PFC 가스를 감소시킨다. 본 발명의 또다른 실시예는 미립자의 축적을 방지하거나 또는 PFC 가스방출을 감소시키도록 특정하게 설계된다. 또한, 본 발명의 소정 실시예는 미립자의 축적 및 PFC 방출 모두를 감소시키기도록 최적화된다.
본 발명의 장치에 적합한 도 1의 간략화된 CVD 장치의 단면도인 도 2에 도시된 것처럼, 본 발명의 장치는 배기 가스 소스-처리 챔버 하측에 위치한다. 이 장치는 진공 포어라인 부분에 접속되거나 또는 진공 포어라인 부분을 교체할 수 있다. 도 2에 있어서, 하측 플라즈마 세척 장치(40)(이하 "DPA(40)" 또는 "DPA"로 언급됨)는 진공 라인(31) 부분을 따라 진공 펌프시스템(32) 및 진공 매니폴드(24) 사이에 고정된다. 이러한 위치 때문에, 진공 챔버(15)로부터 배기된 가스는 DPA(40)를 통과한다. DPA(40)는 진공 라인(31)을 따라 임의의 위치에 배치될 수 있으나, 바람직하게 DPA(40)는 챔버(15)로부터 배기된 가스가 진공 라인(31)의 임의의 부분을 통과하기 전에 DPA(40)을 통과하도록 배기 매니폴드(24)에 가능한 근접하여 배치된다.
또한, 도 3에 도시된 것처럼, 진공 라인(31)에 두 개 이상의 DPA가 접속될 수 있다. 이러한 구조는 미립자 및 잔류물 축적으로부터 진공펌프(32)를 보다더 보호하기 위해 미립자 수집이 최적화되도록 두 개의 DPA를 사용할 수 있다. 도 3에 도시된 구조에 있어서, 제 2 DPA(42)는 펌프(32) 바로앞 DPA(40)의 하측에 배치된다. 만일 임의의 미립자 물질이 DPA(40)로부터 누출된다면, 이 미립자 물질은 DPA(42)내에서 트랩되어 가스 형태로 전환될 수 있다. DPA(40, 42)는 스플릿터(46)에 의해 스필릿되는 전력으로 단일 RF 전원장치(44)에 의해 구동될 수 있다. 선택적으로, DPA(40, 42)는 개별 RF 전원장치에 의해 각각 구동되거나 또는 처리챔버(10)에 접속된 주 RF 전원장치로부터 모두 구동될 수 있다.
이러한 두 개의 DPA 구조는, PFC 감소를 최적화시키는 두 개의 DPA를 사용하는데 이용될 수 있어 방출되는 PFC 가스를 보다 제한할 수 있다. 또는, 선택적으로 두 개의 DPA 구조는 미립자 감소를 위해 사용되는 하나의 DPA와 PFC 감소를 위해 사용되는 하나의 DPA를 포함할 수 있다. 만일 PFC 감소 및 미립자 수집을 위해 사용되는 개별 DPA가 사용된다면, PFC 감소를 위한 DPA의 포어라인 상측에서 미립자 수집을 위해 제공되는 DPA 위치를 설정하는 것은 바람직하다. 이러한 구조는 다음 섹션보다 전체 포어라인에 미립자 축적을 더 막을 수 있으며, PFC를 감소시키는 DPA의 원하지 않는 미립자 축적을 감소시킬 수 있다.
포어라인의 미립자 축적 감소 및/또는 PFC 방출을 감소시키도록 구성된 DPA(40)의 다양한 구조 및 실시예가 이하에서 상세히 설명될 것이다. 이들 실시예는 예시적인 목적으로만 설명된다. 어쨌튼, 본 발명은 이들 특정 구조 또는 실시예에 제한된다.
A. 미립자 감소를 위해 최적화된 DPA(40)의 특정 실시예
본 발명의 몇몇 실시예는 미립자가 챔버로부터 배기될 때 포어라인내의 미립자 및 잔류물 축적을 감소시키도록 구성된다. 이러한 미립자 물질의 예로는, 선구물질로서 실란(SiH4), 질소(N2) 및 암모니아(NH3)를 사용하여 실리콘 질화물을 증착하는 동안, SixNyHz, SixHy 및 실리콘 원소로 이루어진 브라운 파우더 형태의 잔류물이 포어라인에서 관찰되었다. 이러한 잔류물 축적은 SiH4 + N2 + NH3 반응중 절반만 반응한 부산물로부터 발생된다. 실란 기초 실리콘 질화물을 CVD 증착하는 동작은 대부분의 미립자를 발생시키는 기판 처리 동작 동안 이루어진다. 그러나, 다른 기판 처리 동작은 미립자 축적 및 잔류물을 발생시킬 수 있다. 예를 들어, 유사한 잔류물은 디실란(Si2H6) 또는 유기 소스와 같은 다른 선구물질 가스 또는 액체를 사용하여 실리콘 질화물층을 증착하는 동안 형성된다. 또한 잔류물 축적은 다른 층 사이에 옥시질화물막, 실리콘 산화물, 실리콘 탄화물 및 비정질 실리콘막을 증착시키는 동안 발생할 수 있으며, 플라즈마 에칭 및 다른 처리 단계 동안 발생할 수 있다.
본 발명의 미립자 감소 실시예는 수집 챔버에서 미립자 물질을 트랩하여 진공포어라인을 통해 배기된 반응성 가스와 수집 챔버내의 잔류물 및 미립자 물질을 플라즈마 상태로 여기시킴으로서 잔류물 및 미립자 물질의 축적을 막는다. 플라즈마는 라인내에 증착물을 형성하거나 응축시키지 않고 DPA 및 진공 라인을 통해 펌핑될 수 있은 가스 생성물 및 부산물을 형성하도록 수집 챔버내에 트랩되는 잔류물 및 미립자 물질과 반응한다.
동작중, 증착가스가 진공 라인(31)을 통해 진공 챔버(15)로부터 배기될 때, 가스로부터의 미립자 물질 및 잔류물은 DPA내의 가스 통로의 내부 표면상에 증착된다. 미립자 물질 및 잔류물의 제거는 DPA내에 플라즈마를 형성하기 위해서 DPA(40)를 동작시킴으로서 수행될 수 있다. DPA는 에천트 가스가 챔버(15)로부터 배기될 때 세척 주기동안 플라즈마를 형성하기 위해 동작된다.
동작중, DPA(40)는 플라즈마 상태로 DPA를 통과하는 배기(에천트)가스로부터의 플라즈마를 형성하는 전계를 만든다. 플라즈마는 포어라인을 통해 펌핑될 수 있는 가스 생성물 및 부산물로 DPA(40)내의 미립자 및 잔류물의 분해를 촉진시켜서, 포어라인내에서 미립자 증착 또는 잔류물 축적을 방지한다. 예를 들어, DPA(40)내에 축적되는 잔류물이 실리콘 질화물 증착과 관련하여 SixNyHz,SixHy 및 실리콘 원소를 포함하는 브라운 파우더의 형태이고 세척 주기 동안 사용되는 에천트 가스가 CF4 및 N2O 혼합물인 경우, DPA(40)에 의해 형성된 플라즈마는 SiFx, COF2, F2, SiOF2, CO 및 CO2, NO , O 및 O2와 같은 가스 성분으로 분해될 것이다.
몇몇의 응용에서, DPA(40)속으로 배기되는 에천트 가스로부터 플라즈마를 만드는 것보다, DPA(40)는 기판 처리 챔버에 형성된 플라즈마를 거의 유지한다. 즉, 이들 응용에서, 챔버내에 형성된 몇몇 또는 모든 플라즈마는 챔버 하단에서 작용한다. 이는 반응성이 높은 불소화 종(fluorinated species)으로부터 플라즈마가 형성될 때 챔버 세척 동작동안 발생할 수 있다. 플라즈마로부터의 성분은 여기 상태 또는 플라즈마 상태에서 챔버로부터 포어라인 및 DPA로 배기될 수 있다. 따라서, 이들 실시예에 있어서, DPA(40)의 전압 필드는 새로운 형태의 것이라기 보다는 플라즈마를 유지할 수 있다. 플라즈마가 DPA내에 유지되거나 또는 DPA내에서 발생되는지에 따라 DPA의 설계 및 동작이 변정될 필요는 없다.
DPA(40)가 대부분의 실시예에서 세척 주기 동안만 플라즈마를 형성 및/또는 유지하기 위해 동작하지만, 다른 실시예에서 CVD 가스와 더 반응하도록 증착 및 세척 주기 동안 플라즈마를 유지하는 것도 가능하다. 이러한 구조에 있어서, 이하에 더 상세히 기술되는 바와 같이, 추가 에천트 가스는 증착 주기 동안 DPA의 하단 또는 DPA내에 직접 유입될 수 있다.
DPA(40)내의 일반적인 증착에 의해 잔류물을 수집하는 것 외에, DPA(40)의 다양한 바람직한 실시예는 미립자 물질이 DPA의 하단에 증착될 수 없도록 DPA내에서 챔버(15)로부터 배기된 미립자 물질을 트랩하도록 특정하게 설계된다. 트랩핑은 이하에 더 상세히 기술된 것처럼 기계적, 정전기적 및/또는 열적 트랩핑 메커니즘에 의해 행해진다. 일단 트랩되면, 미립자 물질은 진공 라인(31)을 통해 펌핑되는 가스 부산물을 형성하기 위해 세척 처리 동안 플라즈마의 활성 종과 반응할 때까지 DPA(40)내에 남게된다.
이들 실시예에 있어서, DPA내에 플라즈마를 형성하거나 또는 유지하는 전압 필드를 인가하지 않고 미립자 축적을 효과적으로 감소시키는 것이 가능하다. 예를 들어, 에천트(예를 들어, 불소)의 이온화가 챔버를 세척하는 동안 충분히 높다면, 세척 플라즈마에서 발생된 자유 라디칼(free radical)이 DPA내로 배기될 때 여기된 상태를 유지하기에 충분히 긴 수명을 갖는다. 이러한 여기된 상태에서, 자유 라디칼은 트랩된 미립자 물질과 반응하여 앞서 기술된 것처럼 가스 생성물로 미립자 물질을 전환시킨다.
플라즈마는 용량성 결합된 전극 또는 유도성 결합된 코일에 HF 또는 RF 전력을 인가하는 것과 같은 다양한 공지된 기술 또는 마이크로파 또는 ECR 기술을 사용하여 DPA(40)내에서 이루어질 수 있다. 이들 방법에 대한 일부 특정 실시예가 이하에 보다 상세히 기술될 것이다. 이하에 기술된 각각의 실시예에서, 비용 효율 면에서 바람직하게 상기 DPA는 공정이 용이하도록 설계된다. 즉, DPA(40)는 임의의 여분의 세척 가스 또는 여분의 세척 시간의 사용을 필요로하지 않고 포어라인내에 미립자 축적을 방지하도록 바람직하게 설계된다. 또한, DPA가 균일성, 미립자 오염, 응력 등과 같은 막 특성에 악영향을 미치지 않는 것은 바람직하다.
1. 바람직한 실시예
도 4(a)-4(f)는 잔류물 및 미립자 축적을 감소시키도록 구성 및 최적화된 DPA(40)의 바람직한 실시예에 대한 다양한 투시 단면도이다. 도 4(a)는 문이 제거된 DPA(40)의 정면 투시도이다. 도 4(b)는 DPA의 전면 평면도(문이 제거된)이다. 도 4(c)는 DPA의 중심에서 평면을 따라 취해진 전면 투시 단면도이다. 도 4(d)는 DPA의 중심에서 평면을 따라 취해진 측면 투시 단면도이다. 도 4(e)는 DPA(40)의 접속부를 통해 전력을 공급하는 단면도이다. 도 4(f)는 부착된 문 및 핸들을 가진 DPA(40)의 투시도이다.
도 4(a) 내지 도 4(f)에 도시된 것처럼, DPA(40)는 입구(50) 및 출구(52)를 포함한다(도 4(c)에 도시됨). 입구(50) 및 출구(52) 사이에는 한쌍의 대향하는 알루미늄 전극, 즉 캐소드(56) 및 애노드(58)에 의해 형성된 유체도관(54)(가스 통로)이 있다. DPA(40)는 결합 메커니즘(64, 66)(도 4(a))을 통해 포어라인(또는 처리 챔버에 직접 접속됨)에 접속된다. 예를 들어, 일 실시예에서 DPA(40)는 결합 메커니즘(64)에 의해 챔버 배기 포트에 직접 접속되며, 포어라인의 시작부는 결합 메커니즘(66)에서 DPA와 접속된다. 기판 처리 챔버로부터 포어라인속으로 배기된 가스 및 미립자 물질은 입구(50)를 통해 DPA(40)을 통과하여 출구(52)를 통해 배기된다.
제거가능한 알루미늄 문(63)(도 4(d))은 후면판(65)(도 4(d))과 함께 가스 통로(54)를 밀봉한다. 알루미늄 문(63) 및 후면판(65)은 전극(애노드)(58)에 전기적으로 접속된다. 전극(56, 58), 문(63) 및 후면판(65)은 DPA(40)내로 배기된 가스가 누출되는 것을 막는 밀봉된 진공 챔버(유체도관(54))를 형성한다. 문(63) 및 후면판(65)은 DPA를 통해 배기된 가스가 화살표(60)로 표시된 가스 흐름 경로밖으로 이동하는 것을 막는 시일을 형성하기 위해 전극(56, 58)과 접촉하는 세라믹 절연판(71)(도 4(d))을 포함한다. 바람직한 실시예에 있어서, 테프론 쿠션(73)(도 4(d))은 알루미늄 문 및 세라믹 절연층(71) 사이의 문(63)에 포함된다. 테프론 쿠션(73)은 세라믹 절연층(71)보다 높은 열팽창을 가지며 상대적으로 연성이며, 이는 파괴 또는 균열없이 팽창될 수 있게 한다. DPA(40)가 플라즈마를 발생하기 위해 활성화될 때, 테프론 층(73)은 전극(56, 58)에 대해 세라믹 절연층(71)을 팽창시키고 압박하게 하는 열이 발생된다. 이것은 가스가 DPA로부터 누출되지 않도록 문(63)을 밀봉하는데 도움이 된다.
문(63)은 나사(59)를 통해 DPA(40)에 부착되며(도 4(f)) 나사를 제거함으로써 핸들(67)과 함께 제거될 수 있다(도 4(f)). 일단 제거되면, DPA(40) 내부는 알콜과 같은 습식 용액으로 세척 또는 처리될 수 있으며, 및/또는 연장 사용 또는 다른 이유로 발생할 수 있는 미립자 축적 또는 잔류물을 제거하도록 진공처리 될 수 있다. 바람직한 실시예에 있어서, 핸들(67)은 플라스틱과 같이 열전도성이 낮은 물질로 만들어진다.
전극(56, 58)은 캐소드 리테이너로 간주되는 4개의 절연 플러그(바람직한 실시예에서 세라믹으로 만들어짐)(61)(도 4(a))에 의해 서로 전기적으로 분리된다. 도면에 도시된 것처럼, 전극(56, 58)은 캐소드 리테이너의 일부분을 수용하도록 내부가 가공된 홈을 가진다. 두 개의 캐소드 리테이너(61)는 DPA의 정면측 상에 있는 것으로 도시되며, 다른 두 개의 캐소드 리테이너는 DPA의 뒷면에 배치된다. 일 실시예에서, 캐소드 리테이너(61)는 각각 약 1cm의 두께를 가진다. 따라서, 캐소드 리테이너(61)는 가스통로(54)의 전체폭을 연장시키지 않으며 통로를 통하는 가스 흐름을 차단하지 않는다.
DPA에 있어서, 가스는 화살표(60)에 의해 표시된 것처럼 유체도관(54)을 흐른다(도 4(b)). 유체도관(54)은 두 개의 거울상 가스흐름 경로를 포함한다. 캐소드(56)의 돌출부(흐름 분할기(57)--도 4(b)에 도시됨)는 가스가 두 개의 흐름 경로중 하나로 흐르게 한다. 대략 가스흐름의 절반은 DPA(40)의 좌측 통로를 향해 편향되며, 다른 절반은 장치의 우측을 향해 편향된다.
유체도관(54)은 방출 가스 스트림에 존재하는 미립자, 예를 들어 증착 또는 다른 형태의 기판 처리 단계 동안 발생된 미립자를 수집 및 트랩하기 위해 부분적으로 중력에 의존하는 미립자 수집영역(62)(도 4(a))을 포함하는 래비린드/서팬타인(labyrinthal/serpentine) 통로이다. 각각의 미립자 수집영역(62)은 미립자가 DPA로부터 미립자를 쓸어내는 방출 가스 흐름 경로에도 불구하고 중력에 의해 "U"자형 부분의 하부영역내로 수집 및 고정되도록 배치된 가스 통로의 "U"자형 세그먼트이다. 가스 흐름은 도 4(c)에 도시된 것처럼 캐소드(56) 또는 애노드(58)중 하나의 돌출 핑거(79)에 의해 각각 "U"자형 부분을 통해 흐른다. 이러한 미립자 수집영역(62)은 중력 또는 기계적 트랩으로서 언급되며, 이하에서 더 상세히 기술될 것이다.
전극(56, 58)은 평행판 플라즈마 발생 시스템 및 정전기 미립자 수집기 모두를 형성한다. 정전기 미립자 트랩 부분으로서, DC 전력이 전극(56)에 인가되며, 전극(58)은 전기적으로 하전되어 배기된 미립자 물질을 끌어당기도록 접지된다. 인가된 DC 전력은 하나의 전극상에는 DPA를 통해 배기된 양으로 하전된 미립자를 끌어당기며 다른 전극상에는 음으로 하전된 미립자를 끌어당기는 전압 필드를 만든다. 접지될 때, 전극(58)은 RF 차폐용 패러데이 케이지로서 작용한다. 플라즈마 발생 시스템의 일부분으로서, RF 전력이 전극(56)에 인가된다. 인가된 RF 전력은 DPA를 통해 흐르는 방출가스로부터 플라즈마를 형성하여 중력 트랩 영역(62) 또는 전극(56,58)의 표면을 따라 수집된 미립자 및 잔류물을 에칭한다.
도 5는 전극(56, 58)을 포함하는 전기 회로를 도시한 다이어그램이다. 도 5에 도시된 것처럼, 전극(56)은 DC 발생기(100)와 RF 발생기(102)에 접속되며, 전극(58)은 접지된다. DC 발생기(100)는 정전기 트랩에 의해 요구되는 DC 전압을 공급하며, RF 발생기(102)는 플라즈마를 형성하기 위한 RF 전력을 공급한다. RF 매칭회로(104)는 반사되는 전력을 최소화시키기 위해 발생기 출력 임피던스를 50Ω으로 매칭시키며, DC/RF필터(저역통과 RC필터)(106)는 RF 신호 간섭으로부터 DC 전원장치(100)를 분리시킨다. RF 발생기(102)는 도 2에 도시된 RF 전원장치(25)와 동일한 전원장치이거나 또는, DPA(40)만을 구동하는 개별 RF 전원장치일 수 있다. 또한, 클린룸에 다중 처리 챔버가 존재한다면, 챔버에 접속된 다중 DPA는 적정수의 RF 전력 스플리터에 접속된 전용화된 개별 DPA RF 전원장치에 의해 구동될 수 있다.
DPA(40)를 통해 및/또는 DPA(40)내에 증착된 물질의 완전한 반응을 위하여, DPA는 플라즈마를 형성 및/또는 유지하기에 충분한 레벨에서 RF 전원장치(예를 들어, RF 발생기(102))에 의해 구동되어야 한다. 일반적으로, 50-2000와트 이상의 전력 레벨은 캐소드 표면적 및 플라즈마의 적정 세기에 따라 사용될 수 있다. 캐소드(58) 표면적이 약 120in2인 실시예에 있어서, 바람직하게 750-1000와트(6.31 및 8.42W/in2) 사이의 전력 레벨이 사용된다. 선택된 실제 전력 레벨은 강한 플라즈마를 형성하기 위한 높은 전력 레벨 사용 요구조건과 에너지 비용을 절약하기 위한 낮은 전력 레벨 사용 요구조건의 균형을 맞춤으로서 결정되어, 보다 작고 덜 비싼 전원장치의 사용을 허용한다.
DPA(40)를 구동시키는 전원장치는 약 50KHz 내지 약 200MHz 이상의 주파수 범위에서 동작되며, 바람직하게 50KHz 내지 60MHz의 범위에서 동작된다. 일반적으로, 저주파수의 전원장치는 고주파수의 전원장치보다 구매 및 동작시키는 비용이 덜 든다. 따라서, 가장 바람직한 실시예에 있어서, DPA(40)을 구동시키는 전원장치는 325KHz 이하의 RF주파수를 제공하도록 설계된다. RF 전원장치는 단일 주파수 RF 소스 또는 혼합 주파수 RF 소스 중 하나로부터 공급된다. 전원장치 최적의 출력 전력 및 동작 주파수는 DPA가 사용되는 응용 분야 및 비용문제와 함께 DPA(40)에서 처리될 가스의 용량(volume)을 따른다.
DPA(40)에 대한 전기적 접속은 부품(PFD)(68)을 통한 전원장치에 의해 이루어진다. PFD(68)는 PFD(68)의 확대 측입면도인 도 4(e)에 상세히 도시된다. PFD(68)는 커넥터(70)를 통해 캐소드(56)와 DC 발생기(100) 및 RF 발생기(102)를 결합시킨다. 바람직한 실시예에 있어서, 커넥터(70)는 캐소드(56)속으로 직접 나사 결합되는 쓰레드 나사(threaded screw)이다.
RF 접속부의 부식을 감소시키고 나사(70) 및 캐소드(56) 사이에 적절한 전기적 접속을 유지하기 위해, 접속부는 대기압에서 만들어져야 한다. 대기압 영역은 영역(76)으로 도시되며, 캐소드(56)와 접촉되는 쓰레드 나사(70)의 영역을 포함한다. o-링(78)은 캐소드(56)와 영역(76) 사이에 시일(seal)을 유지한다. o-링(78)이 DPA의 동작중에 발생될 수 있는 강한 열에 의해 녹는 것을 막기 위해, 특별히 설계된 영역은 캐소드(56)의 주요부분으로부터 o-링(78)이 매립되는 캐소드(56) 영역(영역(56B))으로 열전달을 감소시키기위해 제공된다. 특별히 설계된 이러한 영역은 진공영역(80) 및 캐소드(56)의 얇은 부분(82)을 포함한다. 캐소드(56)의 영역(56A)에 발생 및/또는 전달된 열은 진공영역(80)이 캐소드 영역(56A)으로부터 캐소드 영역(56B)을 대부분 절연시키기 때문에 영역(56B)에 용이하게 전달되지 않는다. 영역(56B)으로부터 영역(56A)으로 RF 및 DC신호를 전달하는 캐소드(56)의 작은 부분은 영역(56A)에서 영역(56B)으로 절단된 열을 상당히 감소시키기에 충분히 얇다.
접속부를 통한 전원장치는 알루미늄 하우징(72)에 고정되며 테프론 판(73) 및 테프론 링(74, 75, 81)에 의해 하우징(72) 및 문(63)으로부터 절연된다. 하우징(72)은 애노드(58)와 문(63)에 전기적으로 접속된다. 플랫 와셔(84), 로크 와셔(85) 및 너트(86) 어셈블리는 캐소드(56)의 영역(56B)에 테프론 링(75) 및 테프론 라이닝(73)의 클램핑을 허용한다. 이 클램핑 힘은 적절한 밀봉을 유지하기 위해 o-링(78)을 압축한다. 제 2 o-링인 o-링(77)은 접속부(68)를 통해 공급되는 전력을 통해 가스가 누출되지 않도록 테프론 라이닝(73) 및 문(63) 사이에 밀봉을 유지한다.
표준동작중, DPA(40)의 미립자 트랩 능력을 강화하기 위해, CVD 단계와 같은 기판 처리 단계 동안 캐소드(56)에 DC 전력이 공급된다. 전극(56)에 공급된 전압은 응용분야에 따라 변한다. 전형적으로, 100-3000볼트 사이의 전압을 인가하면 효과적인 트랩 메커니즘이 만들어진다. 이러한 DC 전압은 챔버가 동작하는 모든 시간(처리 및 세척 단계)에 공급되거나, 또는 DPA(40)가 동작될 때 챔버의 세척 동작중에 정지될 수 있다.
실험을 통해 SiH4, N2 및 NH3 처리 가스로부터 실리콘 질화물이 증착되는 기판 처리 동작중에, 발생된 미립자의 대략 60%ㅁ10%가 양으로 하전되며 발생된 미립자의 대략 40%ㅁ10%는 음으로 하전된다는 것이 측정되었다. 도 6에 도시된 것처럼, DPA(40)에서 약 500볼트/cm DC 필드 발생이 기판 처리 동작에 사용하기 위한 최적의 정전 수집기를 제공한다는 것이 실험을 통해 측정되었다.
도 6에 있어서, 라인(110)은 전극 사이에 200-1200볼트/cm 전계 발생으로부터 양으로 하전된 전극상에 수집된 음으로 하전된 미립자의 전체 축적을 나타내며, 라인(112)은 접지된 전극상에 수집된 양으로 하전된 미립자의 전체 축적을 나타낸다. 라인(114)은 트랩된 미립자의 전체 축적을 나타낸다. 500 볼트 이하의 전압에서, 더 큰 미립자는 정전 수집기에 의해 효과적으로 트랩될 수 없지만, 높은 전압의 발생은 미립자 플라즈마를 형성한다. 이러한 플라즈마 형성은 발생된 전계의 특성을 변화시키며 트랩 능력을 감소시킨다.
정전 수집기와 기계적인(중력) 트랩 조합은 진공 라인(31)내에 증착물이 축적되는 것을 방지하는 효과적인 메커니즘을 제공한다. 중력 트랩(gravity trap)은 미립자가 중력에 의해 외부 튜브(62)내에서 유지되기 때문에 방출 가스 스트림에 존재하는 상대적으로 큰 미립자를 트랩할 때 유용하다. 다른 한편으로, 정전기 트랩은 중력 트랩에 의해 수집되지 않을 수 있는 방출가스 스트림에서 수집 및 트랩되는 보다 작은 미립자에 대해 유용하다.
예로서, 전술한 실리콘 질화물의 증착에 있어서는 1㎛ 크기와 1mm 이상의 직경의 미립자가 관찰된다. 이들 미립자가 배기라인에 있을 때, 미립자 상에는 중요한 두 힘, 즉 중력(Fg) 및 중성 견인력(Fnd)이 작용한다. 100㎛ 이상의 직경을 가진 미립자와 같이 큰 미립자 물질에 대해, 주요한 상호작용은 중력이어서, 기계적인 트랩은 특히 유용하다. 그러나, 작은 미립자에 대해서, 가스의 견인력은 중력보다 클 수 있다. 결과적으로, 정전기 트랩의 두개 전극 사이에서 발생된 전계는 미립자의 궤도에 수직 보강력(Felec)을 제공한다. 이 보강력(Felec)은 10㎛ 이하 직경의 미립자와 같이 매우 작은 미립자에 대한 중력 및 견인력보다 클 수 있어서, 매우 높은 수집능력이 야기될 수 있다.
도 7은 본 발명의 일 실시예에 따라 미립자상의 중성 견인력과 비교된 정전기 및 중력의 효과를 도시한 그래프이다. 라인(122)은 중력을 도시하며, 라인(124)은 정전기력을 도시하며, 라인(126)은 미립자의 중성 견인력을 도시한다. 도시된 것처럼, 더 작은 미립자의 경우, 정전기력(124)은 중력(122)보다 크다. 보다 큰 미립자에 대해, 중력(122)은 정전기력(124)보다 우세하다. 본 실시예에 있어서, 이 힘은 약 30 마이크로미터의 직경을 가진 미립자가 주로 정전기 수집기에 의해 수집되도록 하며 약 30마이크로미터 이상의 미립자가 기계적인 트랩에 의해 수집되게 한다. 정전기력 또는 중력이 주어진 미립자에 대해 어느것이 우세한지와 관계없이, 도 7의 초점은 DPA(40)가 정전기력(124) 또는 중력(122)중 적어도 하나가 임의의 주어진 크기의 미립자에 대한 중성 견인력보다 크도록 설계되는 것이 바람직하다. 이러한 경우에, 정전기 및 기계적인 트랩 수집기의 조합은 다양한 크기의 미립자가 효과적으로 수집되게 한다.
또한 제 4 힘인 열 이동력(thermophoretic force)(Fth)은 DPA(40)내의 미립자에 작용한다. 열 이동력은 온도 변화로 인해 DPA내에 형성된다. 이러한 온도 변화는 플라즈마-보조 세척 공정 동안 플라즈마 형성에 의해 만들어질 수 있다. 플라즈마 형성 동안 캐소드(56)는 이온 충격 및 주울 효과로 인해 애노드(58)보다 고온이다. 일 실시예에 있어서, 캐소드(56) 및 애노드(58) 사이의 온도 변화는 150ㅀ의 가스 온도에서 200℃/cm이다. 본 실시예에 있어서의 열 이동력은 도 7에 라인(128)으로 도시된다. 본 실시예에서 열 이동력(128)은 0.1 내지 100㎛ 사이의 미립자를 트랩하기에 충분하지 않지만, 이는 하전된 미립자 및 비하전된 미립자 모두를 트랩할 수 있다. 또한, 또다른 실시예에서 당업자는 미립자 및 잔류물을 보다 효과적으로 트랩하도록 형성되게 큰 열 이동력이 큰 온도 변화를 형성하는 방법을 인식할 수 있을 것이다.
전술한 것처럼, 챔버의 세척 동작 동안에, DPA내로 배기된 방출 에칭가스로부터 플라즈마를 형성 및/또는 유지하기 위해 전극(56)에 RF 에너지가 공급된다. 플라즈마로부터의 성분은 앞서 하나 이상의 기판 처리 장치로부터 DPA내에 트랩된 미립자 및 잔류물과 반응한다. 바람직하게, DPA(이러한 구조에서, DPA(40)는 수동소자보다는 능동소자로 언급된다)를 통해 에천트 가스가 배기되지 않는 시간 동안 플라즈마를 형성하기 위한 RF 에너지의 공급은 중단된다. DPA(40)가 능동소자로 구성될 때 DPA(40)의 타이밍 제어(예를들어, RF 전원장치(102) 및/또는 DC 전원장치(100)의 ON 및 OFF 스위칭)는 도 1에 도시된 제어라인(36)을 통해 전송된 제어신호의 인가를 통해 프로세서(34)에 의해 수행된다. 비록 도 12에 도시되지 않았지만, 이러한 제어라인은 상기 구조에서 DPA(40)에 접속된다.
선택적인 실시예에 있어서, 세척 동작중에 챔버(15)로부터 배기된 에천트 가스 이외에 에천트가스로부터 분리된 에천트 가스의 유입을 위해 DPA(40)에 가스 공급 라인을 직접 제공하는 것은 바람직하다. 이러한 여분의 가스 공급 라인은 입구(50)에 또는 입구(50) 근처에서 DPA와 접속될 수 있다. 또한 DPA의 상측에 있는 포어라인에 직접 접속될 수 있다. 만일 이러한 개별 가스 라인이 제공된다면, 에천트 가스의 추가 공급은 세척 시퀀스 동안에만, 증착 또는 다른 기판 처리 단계동안 DPA에 공급될 수 있으며, 이는 증착 및 세척 주기 동안 연속적으로 공급될 수 있다. 에천트 가스가 기판 처리 단계 동안 DPA에 공급되는 실시예에 있어서, 플라즈마를 형성하기 위해 기판 처리 단계 동안 RF 에너지가 전극(56)에 공급되어 DPA내의 증착물질을 더 에칭한다.
미립자를 트랩하고 증착물 축적을 감소시킬 때 DPA(40)의 유효성은 챔버(15)로부터 발생 및 배기되는 미립자의 양을 포함하는 다수의 인자와, DPA(40)를 통해 흐르는 방출가스의 비율과, 전극(56, 58) 사이에 만들어진 전압 필드, 전극(56, 58) 표면적, 특히 세척 과정 동안 발생된 플라즈마의 세기에 따른다.
또한, 다수의 다른 설계시 고려할 문제는 DPA(40)의 유효성을 증가시키는 것이다. 예를 들어, 바람직한 실시예에 있어서, 흐름 분할기(57)(도 4(a))의 상부 표면은 단일 에지로 날카로운 각을 이룬다. 실험은 가스흐름이 DPA내의 장벽 또는 다른 표면과 직접 접촉하는 위치에서 축적되는 증착물이 더 신속하게 수집되는 것을 나타낸다. 흐름 분할기(57)의 단일 에지 바로 위 및 상기 분할기 에지에 수직인 입구(50)를 통한 방출 가스 유입의 조합은 입구(50)를 통해 DPA(40)로 진입하는 방출 가스 스트림에 대해 작은 접촉 영역을 제공하여 흐름 분할기(57)의 상부 표면상의 증착이 최소화된다. 경사진 표면(예를들어, 둥근 표면)없이 수행되는 실험에 있어서, 분할기(57)의 상부면상에 축적되는 미립자가 수집된다. 이러한 축적물의 양에 따라, 축적이 중지되어 수집 영역(62)중 하나로 떨어지는 것이 가능하다. 만일 미립자 축적이 충분히 크다면, 그것은 정상적인 세척 주기 동안 형성된 플라즈마에 의해 방산되지 않는다. 이는 가스 통로를 차단할 수 있다. 또한, 만일 축적물이 절연물질이라면(예를들어, 실리콘 질화물 증착으로부터의 축적), 이러한 축정물은 플라즈마 발생을 간섭하여 형성된 플라즈마의 세기를 약화시킨다. 이것은 증착된 물질을 덜 에칭시키며 통로를 차단할 가능성을 증가시킨다. 바람직하게, 흐름 분할기(57)의 측면은 이러한 축적을 막기 위해서 30도 또는 그 이하의 각을 요구한다. 형성된 각은 약 10도 및 그 이하일 수 있다.
DPA(40)중 임의의 특정 영역에서 미립자 축적을 감소시키는 다른 설계 특성은 입구(50)와 가스 흐름이 좌우측 흐름으로 분할되는 포인트 사이의 가스통로(54) 부분에 대한 벽의 윤곽에 있다. 예리한 각을 갖는 것과는 상반되게, 가스 통로속으로 입구(50)에서 가스 통로 안으로 평탄한 전이부(또는 수평부)는 통로 속으로의 가스 흐름의 균일한 분배를 보조한다. 입구(50)로부터 유체도관(54)으로의 전이부는 프로파일 매니폴드로 간주된다.
프로파일 매니폴드를 통해 흐르는 가스는 가스통로(54) 각각의 좌우측 부분으로 가스의 흐름을 동일하게 분배하여, 다른 것에 비교하여 통로의 한 부분에 미립자 축적을 방지한다. 프로파일 매니폴드는 전극의 전체폭 전반에 걸쳐 균일한 가스분배를 가능케한다. 프로파일 매니폴드의 바람직한 윤곽은 가스 통로 표면(55)으로서 도 4(c) 및 도 4(d)에 상세히 도시되어 있다.
균일한 플라즈마의 형성은 DPA(40)내에 수집된 미립자 및 잔류물의 완벽한 제거를 보조한다. 이를 위해 전극(56) 표면적은 전극(58)의 표면적과 대략 동일한 것이 유용다. 다양한 위치에서 3:1 및 1.3:1 사이의 전극간 표면적 차이를 가진 DPA에서 수행된 실험은 균일하지 않은 표면적 전극을 가진 플라즈마를 형성하는 것이 가능하며 이러한 플라즈마가 DPA내에 수집된 물질을 충분히 제거할 수 있다는 것을 나타낸다. 그러나, 이러한 실험에 있어서, 축적되는 미립자 및 잔류물은 전극 표면적 비가 3:1보다 1.3:1에 근접한 DPA의 영역에서 보다더 제거된다. 캐소드(56)의 표면적이 애노드(58) 표면적(118.79in2vs.123.31in2)의 95퍼센트 이내인 추가 실험에 있어서, 플라즈마 형성은 더 강하며 미립자 제거는 더 효율적이다. 다른 실시예에 있어서, 캐소드 표면적은 애노드의 표면적과 거의 동일하다.
다른 플라즈마 균일성 문제는 전극(58)에 관련하여 전극(56)의 공간을 포함한다. 이러한 공간은 다음과 같은 예외를 가진 DPA(40)의 가스 통로에 걸쳐 일정하게 유지된다. 플라즈마의 항복 전압은 전극 사이의 압력 및 거리의 함수(P ㅧD)이다. DPA(40)를 흐르는 방출 가스 스트림에 대해, 입구(50) 근처의 압력이 출구(52) 근처의 압력보다 약간 큰 것은 필요하다. 바람직한 실시예에서 일정한 항복 전압을 유지하기 위해서, DPA(40)의 상부에 있는 전극 사이 보다 DPA(40)의 상부에 있는 전극 사이에 더 큰 공간이 도입된다. 이 공간 변화는 도 4(b)에 도시된 것처럼 DPA의 상부 부분에 두꺼운 전극(56) 및/또는 전극(58)중 하나 또는 전극(56) 및/또는 전극(58) 둘다의 돌출 핑거를 제조함으로써 행해질 수 있다. 도 4(b)에 있어서, DPA(40)의 상부 부분에 있는 캐소드(56) 및 애노드(58)의 핑거는 각각 두께 a 및 b를 가진다. DPA(40)의 하부에 있는 대응하는 부분은 각각 c 및 d의 두께를 가지며, 여기서 a>c 및 b>d이다.
DPA내의 압력은 플라즈마 형성에 영향을 준다. 일반적으로, 높은 압력은 보다 효과적인 플라즈마 에칭을 야기한다. 따라서, 높은 압력에서 DPA를 동작시키는 것은 낮은 압력 보다 더 낮은 전력을 요구하며, 이는 동작 비용 절감을 야기시킨다. 높은 DPA 압력은 DPA후 포어라인 내에 쓰로틀 밸브를 배치함으로써 얻어질 수 있다. 이러한 구조에 있어서, DPA의 하단의 단일 쓰로틀 밸브 또는 바람직하게는 이중 쓰로틀 밸브를 사용하는 것이 바람직하다. DPA의 상단의 한 쓰로틀 밸브는 챔버 압력을 제어하며, DPA의 하단의 쓰로틀 밸브는 처리 챔버내의 압력에 상관없이 DPA 압력을 제어한다.
DPA의 하단에 쓰로틀 밸브가 없다면, DPA내의 압력은 포어라인의 압력과 일반적으로 동일하다(약 4.5 - 6torr에서 동작되는 몇몇의 PECVD 처리장치에서 0.8-2.5torr 사이). 그러나, DPA의 하단에 있는 쓰로틀 밸브에 있어서, DPA내의 압력은 넓은 범위상에서 제어될 수 있다. 물론, DPA내의 압력은 챔버로부터 방출 가스 스트림을 유지하기 위해 챔버내의 압력보다 작아야 한다. DPA내에 압력을 증가시키는 것은 DPA내로 배기된 미립자의 중성 견인력 증가의 원치않는 부작용을 나타내며, 이는 결국 중력 트랩의 효율성을 감소시킨다. 따라서, DPA내에 설정된 실제 압력은 미립자 트랩 문제와 플라즈마 효율성 문제의 균형을 유지해야 하며 DPA가 사용되는 특정 응용 분야를 따른다.
DPA(40)내의 압력을 모니터하기 위해 압력 감지 스위치(53)(도 4(d))가 포함될 수 있다. DPA 축적물내의 압력이 바람직하지 않은 레벨에 있는 경우, 스위치(53)는 DPA 및 기판 처리 챔버(10) 둘 다를 턴-오프시키기 위해 프로세서(34)에 신호를 전송한다. 바림직한 실시예에 있어서, 스위치(53)는 DPA(40)내의 압력이 대기압의 1/2 (360torr)을 너머 증가할 때 운전정지(shutdown) 과정을 초기화시키는 1/2 대기압 스위치이다.
DPA내에 플라즈마를 형성하기 위해 사용되는 RF전력, 캐소드의 크기, DPA가 동작되는 시간주기 및 다른 요인에 따라, 상당한 량의 열이 발생될 수 있다. 열을 방산시키기 위해, DPA(40)는 도 4(e)에 도시된 것처럼, 열 방산핀(69)을 포함할 수 있다. 열 방산 핀(69)은 애노드(58)에 부착된다.
열은 이온 충돌 및 주울 효과에 의해 캐소드(56)에서 플라즈마를 형성하는 동안 발생된다. 결과적으로, 애노드(58)는 캐소드(56)보다 더 냉각된다. 선택적으로, 애노드(68)는 세라믹 캐소드 리테이너(61), 세라믹 라이닝 판(71)(뒷면 및 문에) 및 PFD(68)의 테프론 절연 링에 의해 캐소드(56)로부터 열적으로 절연된다. 핀(69)은 애노드의 추가 냉각을 보조한다. 핀(69)은 알루미늄과 같은 열 도전성 물질로 구성되며 수동 냉각 장치인 냉각 DPA(40)의 바람직한 방법이다. 안정성의 이유로 DPA(40)의 외부가 적어도 75℃ 또는 그 이하로 냉각되도록 핀(69)을 설계하는 것이 바람직하다.
DPA가 어플라이드 머티어리얼스에 의해 제조된 P5000 반응기 시스템의 DCVD챔버에 장착되는 바람직한 실시예에 있어서, 핀은 DPA의 3측면상에 배치되나 4 측면상에는 배치되지 않는다. 대신에, DPA의 4측면(뒤면)은 기판처리챔버의 일부분에 직접 배치된다. 핀(69)에 의해 제공된 냉각 정도는 핀의 크기에 따른다. 캐소드 온도가 250-300℃ 사이에서 수행되는 일 실시예에 있어서, 핀(69)은 약 75℃로 DPA의 외부를 냉각하도록 충분히 크다.
DPA(40)를 냉각하는 또다른 방법이 사용될 수 있다. 예를 들어, DPA(40)의 둘레에서 물을 순환시키는 냉각 시스템은 DPA로부터 열을 전달하기 위해 사용될 수 있다. 이러한 냉각 시스템은 능동 냉각 메커니즘이다.
2. DPA(40)의 바람직한 미립자 감소 실시예를 사용한 검사결과
미립자축적을 감소시키는 본 발명의 유효성을 증명하기 위해서, 앞서 기술된 바람직한 실시예에 따라 설계된 DPA(40)가 6인치 웨이퍼를 위해 제공되며 실리콘 질화물의 CVD 증착을 위해 설계된 프리시전 5000 챔버에 부착되는 실험이 수행된다. 프리시전 5000챔버는 본 발명의 양수인인 어플라이드 머티어리얼스에 의해 제조된다.
DPA의 유효성을 검사하는 실험이 실행되기 전에, 불소 세척 단계를 수반하는 실리콘 질화물 증착 단계에 의해 처리 챔버에 증착된 잔류물의 조성을 검출하는 실험이 수행되었다. 잔류물의 조성은 두 개의 상이한 실리콘 질화물 증착/불소 세척 공정 시퀀스 동안 검출된다. 각각의 공정 시퀀스에 있어서, 실리콘 질화물 증착 단계는 세척 단계가 제 1시퀀스에서 CF4 화합물에 기초하고 제 2시퀀스에서 NF3 화학물에 기초하는 동안 동일하다.
실리콘 질화물 막은 웨이퍼를 실란(SiH4), 질소(N2) 및 암모니아(NH3) 가스의 플라즈마에 노출시킴으로서 웨이퍼상에 증착된다. SiH4는 275sccm의 흐름 속도로 챔버내에 유입되며, N2는 3700sccm의 흐름속도로 챔버내에 유입되며, NH3은 100sccm의 흐름속도로 챔버내에 유입된다. 플라즈마는 720와트에서 구동된 13.56MHz RF 전원 장치를 사용하여 400℃의 온도에서 4.5torr의 압력하에서 형성된다. 실리콘 질화물 증착 공정은 웨이퍼상에 대략 10,000Å의 두께의 막이 충분히 증착되도록 약 75초 지속된다.
제 1 샘플에 대해, 실리콘 질화물 증착 단계가 완료되고 웨이퍼가 챔버로부터 제거된 후, 챔버는 120초 동안 CF4 및 N2O로 플라즈마 세척된다. CF4 대 N2O의 비는 3:1이며, CF4는 1500sccm의 흐름속도로 유입되며, N2O는 500sccm의 흐름속도로 유입된다. 세척 단계 동안, 챔버는 400℃의 온도와 5torr의 압력으로 유지된다. 플라즈마는 1000와트 전력이 가해지는 13.56MHz 전원장치를 이용하여 형성된다.
제 2 샘플에 대해, 챔버는 NF3, N2O 및 N2 선구물질 가스로 형성된 플라즈마로 세척된다. NF3 대 N2O 대 N2 비율은 대략 5:2:10이며, NF3은 500sccm의 비율로 유입되며, N2O는 200sccm의 비율로 유입되며, N2는 1000sccm의 비율로 유입된다. 챔버는 대략 95초동안 계속되는 세척 공정 동안 400℃의 온도 및 5torr의 압력으로 유지된다. 플라즈마의 형성은 1000와트의 전력이 가해지는 13.56MHz 전원장치를 이용하여 달성된다.
CF4 세척 동안 잔류물은 갈색을 띠며, NF3 세척 동안 잔류물은 노란색/흰색이다. Si3N4증착 단계로부터 발생된 잔류물은 갈색이다. 따라서, 이들 결과는 초기 갈색 파우더를 NF3 세척으로부터 노란색/흰색 파우더로 완전히 전환하는 것을 나타낸다. 이것은 NF3 플라즈마에서 발생된 여분의 자유 불소 라디칼에 의한 것으로 여겨진다.
일련의 다른 실험에 있어서, 3개의 다른 잔류물 샘플, 즉 앞서 기술된 Si3N4증착 단계 후 처리 챔버로부터 약 0.5m 하단에 있는 포어라인에서 수집된 파우더(샘플 A), 앞서 기술된 NF3/N2O/N2 세척 플라즈마를 실행한 후 샘플 A와 동일한 위치에서 선택된 파우더(샘플 B), 수 일동안 계속된 증착/세척 시퀀스 후 챔버로부터 대략 12m 하단에 있는 건식 진공펌프의 입구에서 선택된 파우더(샘플 C)가 선택된다. 파우더 샘플의 조성은 수소 전방 산란(hydrogen forward scattering)(HFS), X-레이 광전자 분광학(XPS) 및 X-레이 회절(XRD) 분석으로부터 추론된다. 이들 각각의 파우더 조성은 표 1에 도시되어 있다.
표 1
실리콘 질화물 증착/불소 세척 공정으로부터의 잔류물 형성
샘플 잔류물형태 잔류물 조성
C% O% N% Si% F% H%
A 갈색파우더 2.1 41 8.0 33 0.9 15
B 노란색/흰색파우더 0 1 12 8.5 38.5 40
C 오렌지색/갈색파우더 0.2 6.8 13 42 1 37
샘플 A는 Si3N4 증착 화학의 직접 고체 부산물이다. 파우더는 RF플라즈마내에서 발생된 미립자 조성을 반영한다. 파우더는 대부분 Si, N, H 및 산소로 이루어진다. 산소는 샘플을 수집하는 동안 공기로부터 흡수된다. 증착 동안 산소함유 가스가 사용되지 않기 때문에, 산소는 파우더의 초기 성분일 수 없다. 마찬가지로, 플라즈마에서 발생된 잔류 미립자는 고도로 수소화된 실리콘 질화물(SixNyHz)이다. 이러한 파우더는 반응성이 높다. XPS 측정으로 HFS 결과가 확인되며, 공기에 노출된 후 실리콘은 18% 원소, 24% 질화물 및 58% 산화물을 가지는 것을 나타낸다. 질소는 93% 질화물 및 7% 암모늄을 가진다. XRD 분석은 비정질 파우더를 나타낸다.
샘플(B)은 NF3/N2O/N2 플라즈마를 사용하여 세척 처리한 후 파우더 A의 전환결과이다. 세척 공정은 증착 챔버내에 축적된 잔류물을 완전히 기화시키나, 상기 전환은 제한된 F* 자유 라디칼 수명 때문에 포어라인에서 완벽하지 않다. 그러나, 이러한 수명은 미립자 전환이 포어라인의 제 1 미터에서 발생할 수 있도록 충분히 길다. 이러한 흰색 파우더는 SixNyHz가 (NH4)2SiF6로 전환되기 때문에 높은 F 함유량을 가진다. 다결정성 흰색 파우더는 250℃의 승화온도를 나타낸다.
샘플(B)에서 축적된 파우더의 양은 처리 챔버로부터의 가녁 증착에 따라 증가하며 이는 포어라인을 따라 가스가 이동함에 따라 고체-가스 기화가 덜 되고 덜 유효해진다는 것을 나타낸다. 이는 특히 챔버로부터 이동하는 동안 F*, CFX, O*와 같이 여기된 종의 희박화로 인한 것일 수 있다. 펌프 부근에, 파우더(A,B)의 혼합물이 존재한다. 이 잔류물(파우더C)은 챔버로부터 거리가 증가함에 따라 황색 내지 갈색을 띤다.
화학 분석은 파우더(C)가 파우더(A)로부터 불완전하게 전환된다는 것을 나타낸다. 보호 코팅을 형성하고, 증착중에 수집된 파우더의 추가 전환을 방지하는 초기 갈색 잔류물을 코팅할 수 있은 중합체-(-CF2-C2F4-O-)x-의 형성은 중요하다. 상업용 PECVD 실리콘 질화물 시스템의 포어라인에 대한 파우더(C)의 축적은 한 달 동안의 연속적인 증착/세척 시퀀스 후에 500g 이상일 수 있다.
챔버에 축적되는 잔류물의 조성이 검출된 후, 잔류물 파우더의 그레인 크기를 검출하기 위한 실험이 실행된다. 이 실험동안, 실리콘 부품은 증착 공정으로부터 증착된 물질을 수집하기 위해 포어라인내에 위치된다. 15초의 증착 공정 후에도, 갈색 파우더 형태로 축적되는 잔류물이 진공 라인(3)에서 형성한다. 이 잔류물 축적을 도시한 마이크로그래프는 도 8에 도시된다. 갈색 파우더는 SixNyHz, SixHy, SiOx 및 실리콘 원소 잔류물로 이루어진다. 잔류물의 기본적인 그레인은 2.3g/cm3의 밀도를 가진 낟알모양 및 스폰지 형태를 가진다. 회전타원체 대칭의 그레인이 도 9에 도시되며, 균일한 핵형성에 의해 성장이 이루어질 수 있음을 나타낸다. 도 10은 대략 50마이크로미터의 직경을 가진 전형적인 잔류물 집합체로 4개 또는 5개의 기본적인 그레인(각각 15-20마이크로미터 직경을 가짐)의 집합체를 기술하는 마이크로그래프이다. 또다른 실험은 90초의 증착 단계 동안 1.0mm 또는 그 이상의 집합체를 형성하기 위해 증착시간에 따라 파우더의 그레인 크기가 증가되는 것을 도시된다.
실란 기초 실리콘 질화물 증착 동작으로부터의 잔류물과 같은 미립자 축적을 감소시킬 때 본 발명의 유효성을 증명하기 위해 프로토타입 DPA가 사용된다. 프로토타입 DPA는 도 4(a)-(f)에 도시된 실시예에 따라 구성되며 포어라인 바로 앞에 P5000 CVD 챔버의 배기 포트에 접속된다. 실험시, 챔버는 실리콘 질화물에 대한 공통 증착/세척 시퀀스에 따라 동작하며 3개의 순차적인 1.0미크론 증착단계(3개의 개별 웨이퍼에 대해)에 이은 CF4/N2O 세척 단계를 포함한다. 증착/세척 주기는 5000 웨이퍼 작동 검사를 위해 연속적으로 반복된다.
실리콘 질화물 증착 단계에 대해, 챔버 압력은 4.5torr으로 설정 및 유지되며, 챔버 온도는 400℃로 설정되며, 서셉터는 가스분배 매니폴드로부터 600밀(mils) 떨어져 배치된다. 증착가스는 190sccm의 흐름속도로 주입되는 SiH4 및 1500sccm의 흐름속도로 주입되는 N2 및 60sccm의 흐름속도로 주입되는 NH3를 포함한다. 13.56MHz의 주파수에서 단일 주파수 RF 전력은 플라즈마를 형성하고, 약 7500Å/min 흐름속도로 실리콘 질화물층을 증착하기 위해 455와트의 전력 레벨이 공급된다. 각각 1.0미크론 층에 대한 전체 증착 시간은 약 80초이다.
챔버 세척 단계 동안, 챔버 압력은 4.6 torr로 설정 및 유지되며, 챔버 온도는 400℃로 설정되며, 서셉터(웨이퍼 없이)는 가스 분배 매니폴드로부터 600mil의 간격을 두고 배치된다. 세척가스는 1500sccm 흐름속도로 주입되는 CF4와 500sccm의 흐름속도로 주입되는 N2O를 포함한다다. 에칭 플라즈마를 형성하여, 챔버내에 증착된 물질을 에칭하도록 RF 전력이 공급된다. 주파수 전원 장치는 13.56MHz에서 동작되며, 1000와트에서 구동된다. 3개의 1.0 미크론 실리콘 질화물층의 증착 단계 후 챔버를 세척하기 위해 사용되는 전체 세척 시간은 처음 3000 웨이퍼에 대해 110초이다. 엔드포인트 검출기는 최종 2000 웨이퍼에 대한 세척시간을 최적화시키는데 사용된다.
프로토타입 DPA는 대략 35cm의 길이와 14cm의 직경을 가진다. 전극(56, 58)은 242.1 in2의 전체 표면적을 가지며 알루미늄으로 제조된다. 캐소드 폭은 3.00 인치이며 직경은 39.5966 인치이다.
500볼트의 DC 전압은 전술한 것처럼 실리콘 질화물 증착 단계 및 CF4 세척 단계 동안 전기적으로 하전된 미립자를 트랩하기 위해 전극(56, 58) 사이에서 만들어진다. 전압 필드는 전극(56) 및 접지 전극(58)에 500볼트를 공급함으로서 만들어진다. 플라즈마 형성에 대해, DPA장치는 능동 장치로서 동작한다(즉, RF 전력은 세척 단계 동안만 플라즈마를 형성하기 위해 DPA에 제공되며, RF 전력은 증착 단계동안 제공되지 않는다). 플라즈마 형성은 1000와트에서 구동되는 325 KHz RF 파형에 의해 발생된다. DPA내의 압력은 0.8torr에서 측정된다.
추가 검사시, 프로토타입 DPA는 전술한 실리콘 질화물 증착/CF4 세척 시퀀스를 사용하는 20,000 웨이퍼를 검사하는 동안 포어라인내의 미립자 축적을 방지할 때 100% 효과를 가지는 것으로 도시된다. 프로토타입 DPA의 사용은 실험 동안 챔버로부터 배기된 모든 미립자 물질을 트랩 및 제거하기 위해 임의의 추가 세척 가스 또는 임의의 추가 세척 시간을 필요로하지 않는다. 1번째 및 5000번째 웨이퍼 사이(또는 임의의 웨이퍼 사이)에 임의의 특성에 있어 중요한 변화가 존재하지 않는 것을 나타내는 실험 동안 증착된 실리콘 질화물막에 대한 두께, 균일성, 압력 및 굴절률과 같은 막 특성이 측정된다. 또한, 실험동안 챔버내의 미립자 수를 측정하여 얻어진 측정치는 웨이퍼를 처리하는 동안 0.16 미크론 이상의 직경의 미립자에서 증가하지 않는다는 것을 나타낸다.
3. 나선형 코일, 단일 튜브 실시예
다른 플라즈마 형성 구조와 통합되는 DPA(40)의 또다른 실시예가 가능하다. 예를 들어, 소정 실시예에서 플라즈마는 나선형 공진기 코일과 같은 유도성 코일에 RF 신호를 인가함으로서 형성된다. 나선형 코일은 작은 크기를 가지며 상대적으로 높은 플라즈마 밀도를 갖는 플라즈마를 형성하기 위한 용량을 가진다. 이러한 코일은 당업자에게 공지되어 있으며 Michael A. Lieberman 및 Allan J. Lichtenberg, "Principles of Plasma Discharges and Materials Processing", pp. 404-410 John Wiley & Sons(1994)과 같이 다수의 공지된 문헌에 발표된 기준을 따라 설계될 수 있으며, 상기 문헌은 본 명세서에서 참조된다.
나선형 공진기 코일은 구리, 니켈 또는 금과 같이 도전성이 높은 물질 또는 유사한 도전성 물질로 만들어질 수 있다. 코일을 적절히 공진시키기 위해, 코일의 길이가 공급된 RF 신호의 파장의 약 1/4 또는 이보다 약간 크게하는 것은 매우 중요하다.
도 11은 이러한 코일을 포함하는 DPA(40)의 일 실시예의 단면도이다. 도 11에 있어서, DPA(40)는 가스가 DPA를 통과함에 따라 처리챔버(15)로부터 흐르는 가스를 배기하는 튜브(150)를 포함한다. 튜브(150)는 세라믹, 유리 또는 석영과 같은 절연물질로 만들어진 실린더형 튜브이다. 바람직한 실시예에 있어서, 튜브(150)는 세척단계에서 사용되는 불소와 같은 에천트 가스와 반응하지 않는 세라믹 물질로 만들어진다. 또한, 튜브(150)는 진공 라인(31)의 내부직경과 동일한 내부직경을 가진다. 다른 실시예에 있어서, 튜브(150)가 실린더 형태일 필요는 없으며, 대신에 모서리가 있는 평면 또는 타원형 또한 유사한 곡선을 가진 내부표면을 가질 수 있다. 이들 및 다른 실시예에 있어서, 튜브(150)의 내부직경은 진공 라인(31)의 내부직경보다 크거나 또는 작을 수 있다.
코일(152)은 튜브(150)의 외부 둘레에 감기며, 점(156)의 한 단부에서 RF전원장치와 접속되며, 점(155)의 또다른 단부에서 접지 전위에 접속된다. 튜브(150)를 통과하는 배기 가스는 RF 전원장치로부터 코일(152)에 전압을 인가함으로서 플라즈마 상태로 여기된다. 플라즈마 상태에서, 플라즈마로부터의 성분은 전술한 펌프 시스템(32)에 의해 DPA(40) 및 진공 라인(31)으로 펌핑될 수 있는 가스 생성물을 형성하기 위해 튜브내에 증착된 물질과 반응한다. 코일(152)은 전술한 것처럼 표준 나선형 공진기 코일이며 튜브의 외부보다 튜브(150) 내부에 감길 수 있다.
외부 용기(154)는 튜브(150)를 둘러싼다. 용기(154)는 적어도 두가지 용도로 사용한다. 첫째, 그것은 코일(152)에 의해 발생된 패러데이 케이지 차폐 방출기로서 작용한다. 둘째, 만일 세라믹 튜브(150)가 파괴 또는 균열되거나 또는 튜브(150)내의 진공밀봉이 다른 방식으로 파괴된다면, 용기(154)는 배기가스가 누출되는 것을 막기위해 제 2밀봉을 제공한다. 용기(154)는 알루미늄 또는 강철 또는 다른 화합물과 같은 다양한 금속으로 만들어질 수 있으며 차폐효과를 위해 접지된다. 상부 및 하부 플랜지(157, 158)는 각각 진공밀봉을 유지하면서 동안 진공 매니폴드(24) 및 진공 라인(31)과 DPA(40)를 접속한다.
표준 RF 전원장치는 부하로서 50오옴의 임피던스를 갖도록 설계된다. 따라서, 코일(152)과 RF전원장치에 대한 접촉점(점 156)은 코일(152)이 50오옴의 임피던스를 갖도록 선택되어야 한다. 만일 전원장치가 다른 임피던스 레벨을 요구한다면, 점(156)은 그에 따라 선택될 수 있다.
코일(152)은 50와트 또는 그 이상의 전력 레벨에서 RF 전원장치에 의해 구동되며, 바람직하게는 500와트 또는 그 이상의 전력레벨에서 구동된다. 이러한 조건하에서, 플라즈마 발생은 최대이며, 균일성은 중요하지 않다. 코일(152)에 의해 형성된 실제적인 전압은 RF 전원장치에 의해 사용된 전력, 코일(152)의 길이 및 권선간격 및 코일의 저항과 같은 다수의 요소에 따라 좌우된다. 전압이 코일을 따라 균일하게 공급되기 때문에, 전체 코일에 대한 전압 레벨 검출은 코일이 접지 및 RF 에 접속되는 점(점(155, 156)) 사이의 레벨을 결정함으로서 행해질 수 있다. 예를들어, 만일 특정 코일이 점(155, 156)사이의 코일 부분에 비해 4배 길다면, 코일 전체전 압은 점(155, 156)사이의 전압 레벨의 4배일 수 있다.
코일, 전력 레벨 및 인가된 RF 주파수는 강한 플라즈마가 튜브(150)내에 형성되고 코일(152)에 의해 발생된 전압은 전류가 코일로부터 용기(154)로 아킹되는(arc) 전류 레벨을 초과하지 않도록 선택되어야 한다. 만일 아킹(arcing)이 특히 DPA에 대해 문제점이라면, 용기(154) 및 코일(152) 사이에 절연 물질을 삽입하는 것이 가능하다. 그러나, 간단한 설계를 위해, 공기로 채워진 용기(154) 및 코일(152) 사이에 공간을 가지는 것은 바람직하다.
DPA(40)의 길이 및 크기는 변화할 수 있다. 몇가지 응용에 있어서, DPA(40)의 길이는 4-6인치 또는 이 보다 짧을 수 있으며, 다른 응용에 있어서, DPA(40)는 진공 라인(31)의 전체 길이(4-5피트 또는 그 이상)일 수 있어서, 라인을 교체할 수 있다. 더 긴 DPA는 동일하게 설계된, 짧은 DPA보다 더 많은 미립자 물질을 수집하여 제거할 수 있을 것이다. DPA 설계는 잔류물 수집 효율을 갖는 균형 공간을 고려해야 한다. 그러나, 개선된 트랩 메커니즘을 포함하는 짧은 DPA는 덜 중요한 요인의 길이를 만드는 처리챔버로부터 배기된 모든 미립자 물질의 99.9%를 수집 및 트랩할 수 있다. 코일의 길이가 RF 파장의 1/4보다 약간 길어야 하기 때문에, 사용된 코일 길이 및 RF 주파수 사이에는 직접적인 상호관계가 있다. 긴 코일은 더 낮은 주파수 RF 전력 신호를 요구한다.
DPA(40)는 처리 과정중 특정 주기 동안 바람직하게 턴-온 및 턴오프되며, DPA는 수동장치로서 구성될 수 있다. 수동 장치로서의 DPA(40)는 DPA를 턴온 및 턴오프하는데 특정 제어 신호 또는 프로세서 시간이 필요없도록 충분한 RF 전력신호가 연속적으로 공급된다.
4. 제 1나선형 코일, 기계 및 정전기 트랩 실시예
도 12는 DPA(40)의 또다른 실시예에 대한 단면도이다. 도 12에 도시된 DPA(40)의 실시예 제 1 내부 세라믹 튜브(160) 및 제 2 외부 세라믹 튜브(162)를 포함한다. 튜브(160) 단부는 화살표(164)로 표시된 것처럼 가스가 DPA(40)를 통과하도록 튜브(162)의 실린더 공간내에 있다.
나선형 공진기 코일(166)은 도 11의 실시예에 관련하여 기술된 것처럼 튜브(162)의 외부 둘레에 감기며 RF 전원장치(168)에 접속된다. 코일(166)은 튜브(162)의 내부에 또는 튜브(160)의 외부 또는 내부 둘레에 감긴다.
전술한 용기(150)와 유사한 셸(shell)(168)은 내부 및 외부 튜브(160, 162)를 밀봉한다. 외부 튜브(162)는 내부 튜브(160) 또는 셸(168)중 하나에 접속될 수 있다. 어느 경우에나, 외부 튜브(162)에 대한 지지 구조는 방출 가스 스트림이 DPA(40)을 통과하도록 허용한다. 이를 위해, 지지 구조는 다수의 관통 홀을 가지는 튜브(160 및 162) 사이의 평면 세라믹 물질일 수 있고 , 튜브(290 및 292) 사이로 연장하는 핑거 또는 4개의 슬랜더 접속부중 단지 3개만으로 구성되거나 또는 및 다수의 다른 똑같은 방식으로 설계될 수 있다. 관통된 홀을 포함하는 구조는 이하에 기술된 수집 영역(170)내에 미립자 물질 수집 및 트랩을 보조할 수 있다. 그러나, 이 구조는 홀이 DPA(40)를 통해 펌핑된 가스의 흐름 속도를 감소시키기 않도록 충분히 크게 설계되어야 한다.
본 실시예의 DPA(40)의 바람직한 설계는 미립자 물질의 트랩 및 분해를 촉진시킨다. 이러한 설계는 가스가 도 4(a)의 트랩(62)과 유사한 방식으로 DPA의 나머지를 통해 진공 라인(31)으로 이동하지 않도록 배기 가스 스트림에서 미립자를 수집 및 보유하는 기계적인 트랩으로 작동하는 튜브(162)의 수집영역(170)을 포함한다. 이 미립자는 트랩에 유지되며 그들이 형성된 플라즈마하에서 분해 또는 파괴될 때까지 플라즈마에 처리된다.
DPA(40)의 트랩부 동작은 DPA 장치를 통해 진공 라인으로 미립자를 세척하기 시작하는 방출 가스 흐름 경로에도 불구하고 트랩내에 미립자 물질을 보유하기 위해 동작하는 중력에 따른다. 따라서, DPA(40)의 유효성은 미립자가 가스 생성물에 도달할 때까지 미립자가 튜브(162)에 남는 것을 방지하기 위해 외부 튜브(162)의 능력에 따른다. 이를 위해 수집영역(170)이 DPA에 대한 입구의 하단에 있으며 외부 튜브(162)의 길이가 중력과 조합하여 상기 트랩을 만들기에 충분하도록 DPA(40)가 배치되는 것은 중요하다.
DPA(40)내의 평면(176)을 따라 가스 통로의 단면적을 증가시키는 것은 미립자 물질을 트랩하는데 도움이 된다. 임의의 주어진 증착 공정에서 방출 가스 스트림에 대한 흐름 속도는 일반적으로 일정하다. 따라서, 하나 이상의 통로의 단면적을 증가시키는 것은 미립자에 대한 중성 견인력을 감소시키는 가스 스트림에서 미립자의 속도를 감소시킨다. 만일 입자에 대한 중력이 중성 견인력을 초과한다면 주어진 미립자는 DPA(40)의 중력 트랩내의 중력에 의해 트랩된다.
기계적인 트랩의 유효성을 보다 강화시키기 위해서, 정전기 수집기(172)는 수집 영역(170) 근처에 배치될 수 있다. 정전기 수집기(172)는 DC 또는 AC 전원장치에 접속된 작은 전극일 수 있다. 정전기 수집기(172)에 공급된 전하의 극성 및 양은 각각의 응용에서 배기된 미립자 물질의 극성 형태 및 전형적인 전하 레벨에 따른다.
다양한 다른 정전기 트랩 장치는 본 발명에 사용될 수 있다. 이러한 정전기 수집기의 다른 실시예는 도 13(a), 도 13(b) 및 도 13(c)을 참조로 이하에서 상세히 설명될 것이다.
5. 제 2 나선형 코일 기계적 및 정전기 트랩(trap) 실시예
도 13(a)은 DPA(40)의 다른 실시예에 대한 단면도이다. 도 13(a)의 실시예는 도 12의 실시예와 유사한 기계적인 트랩 설계 및 변형된 정전기 트랩을 사용한다. 또한, 방출 가스는 상부 플랜지(181)에 대향되기 것보다는 상부 플랜지에 인접하여 배치된 측면 플랜지(180)를 통해 배기된다. 플랜지(180)는 외부 튜브(186) 보다는 외부 케이싱(184)에 진공 밀봉을 위해 배치된다. 케이싱(184)은 금속 또는 유사한 물질로 만들어지는 반면, 튜브(186)는 세라믹과 같은 절연물질로 만들어진다./
RF 전력은 RF 전원장치에 대한 접속점(188) 및 점(189) 사이에 50오음의 임피던스를 갖도록 설계되는 외부 코일(187)을 통해 DPA에 공급된다. 전술한 것처럼, 코일(187)은 코일이 표준 RF 전원장치에 의해 구동될 수 있도록 50오옴의 임피던스를 갖도록 설계되어야 한다. 내부 코일(190)은 내부 튜브(185)내에 감긴다. 내부코일(190)은 외부 코일(187)상에 공급된 RF신호를 유도에 의해 수신하며 플라즈마 반응을 구동하기 위해 전계를 만든다.
중심 와이어(192)는 내부 튜브(185)의 중심을 통해 연장되며, 전위는 DPA를 통과하는 미립자 물질을 정전기적으로 트랩하기 위해 중심 와이어(192) 및 내부 코일(190) 사이에서 형성된다. 전위는 다수의 상이한 방법을 사용하여 만들어질 수 있다. 각각의 방법에 있어서, 중심 와이어(192) 및 코일(190)은 전극으로서 동작한다. 일 실시예에 있어서, 중심 와이어(192)는 접지되며, 양의 DC 또는 AC 전압이 코일(190)에 공급된다. 도 13(b)에 도시된 것처럼, 배기 미립자(194)가 음으로 하전되는 경우에, 미립자는 와이어(192) 및 코일(190)에 의해 형성되는 전압 필드(Felec)에 의해 유인되며 양으로 하전된 코일상의 위치(195)에서 수집된다. 만일 코일(190)이 접지되고 음전압이 중심 와이어(192)에 공급된다면 유사한 결과가 달성될 수 있다. 그러나, 이 경우 와이어(192)는 코일(190)을 향해 음으로 하전된 미립자를 반발시킨다.
다른 실시예에 있어서, 양의 DC 또는 AC 전압이 중심 와이어(192)에 공급되며, 코일(190)은 접지 전위에 접속된다. 이러한 방법에 있어서, 음으로 하전된 미립자는 도 13(c)에 도시된 것처럼 양으로 하전된 와이어(192)상의 위치(196)에 수집된다. 만일 음전압이 코일(190)에 인가되고 중심와이어(192)가 접지된다면 유사한 결과가 달성될 수 있다. 이러한 경우에, 코일(190)은 와이어(192)를 향해 음으로 하전된 미립자를 반발시킨다.
또 다른 실시예에 있어서, 와이어(192) 또는 코일(190)은 둘다 접지되지 않으며 대신 코일(190)과 관련하여 와이어(192) 사이에 양전위 또는 음전위를 형성하는 전압원에 모두 접속된다. 물론, 양으로 하전된 미립자 물질이 존재하는 경우, 상기 미립자 물질은 음으로 하전된 미립자 물질이 수집되는 전극에 대향하는 전극상에 수집될 수 있다.
또한, 미립자는 미립자 물질이 양으로 하전된 미립자 및 음으로 하전된 미립자 모두를 포함하는 경우에 정전기력에 의해 수집된다. 이러한 경우, 양으로 하전된 미립자는 보다 낮은 전위 전극으로 유인되며, 음으로 하전된 미립자는 보다 높은 전위 전극으로 유인된다. 또한, 이러한 경우 중심 와이어(192)에 AC 전압을 공급하는 것은 가능하다. 만일 AC 전압이 중심 와이어(192)에 접속되고 코일(190)이 접지된다면, 양의 미립자 물질은 양의 절반 주기 동안 와이어로부터 코일(90)을 향해 반발된다. 그러나, 음의 절반 주기 동안, 음의 미립자 물질은 와이어로부터 반발되어 코일(190)상에 수집된다. 이러한 경우에, AC 전압 주기는 미립자의 응답 시간보다 커야한다.
몇몇의 앞의 경우에 있어서, 두 개의 전극 사이의 전계는 50 및 5000볼트/cm 사이일 수 있다. 바람직하게, 전계는 500볼트/cm(DC) 내지 1000볼트/cm(AC) 사이로 전극 사이에 제공된다. 미립자는 코일(190)상에 수집되도록 중심 와이어(192)로부터 유인되거나 또는 미립자의 극성과 코일(190) 및 와이어(192)에 공급된 전하에 따른다.
이러한 설계는 코일(190) 및 중심 와이어(192) 사이에서 만들어진 전압 전위차를 따르기 때문에, 최대 미립자 수집을 달성하기 위해 코일(190)은 내부 튜브(185) 안쪽에 위치되어 튜브의 절연물질에 의해 와이어(192)로부터 분리되지 않는다. 튜브(185)내에 위치될 때, 코일(190) 및 중심 와이어(192)는 불소와 같이 다양한 고반응성 종과 접촉한다. 따라서, 코일(190) 및 와이어(192)는 이러한 종과 반응하지 않는 니켈과 같은 적절한 도전성 물질로 만들어진다. 코일(190)은 본 실시에에서 미립자 및 RF 전력신호를 유인 또는 반발시키기 위한 전위를 보유한다.
6. 평행전극을 포함하는 제 3 기계적 및 정전기 트랩 실시예
도 14(a)는 기계적 및 정전기 트랩을 포함하는 또다른 DPA(40)의 단면도이다. 도 14의 실시예는 도 4(a)-(c)에 도시된 실시예와 유사하며, 이는 한쌍의 용량성 결합된 전극에 인가된 RF전력으로부터 플라즈마를 형성한다. 그러나, 도 14(a)에서의 전극은 도 4(a)-(f)의 실시예와 거의 동일한 표면적의 평행판 전극보다는 주변 실린더형 전극(402, 404)이다. 도 14(b)에 도시된 것처럼, 그들의 실린더형 성질 때문에, 전극(404) 대 전극(404)의 표면적비는 DPA의 상이한 부분에서 다르다. 예를들어, 전극(402) 대 (404)의 표면적 비는 내부통로(405a)에서 약 3:1이다. 동일한 실시예에 있어서, 전극(402) 대 전극(404)의 표면적비는 외부 통로(405b)에서 약 1.3:1이다.
전극(402, 404)은 처리챔버(15)로부터 배기된 가스가 통과하는 가스 통로(405)를 형성한다. RF 및 DC전력이 전극(404)에 인가되는 동안 전극(402)은 접지된다. RF 및 DC 전력은 PFD(406)을 통해 전극(404)에 공급된다. PFD(406)은 테프론 절연체(408)에 의해 접지된 전극(402)으로부터 절연된다.
통로(405)는 "U"자형 중력 트랩 영역(410)을 포함하며, 이는 전극의 동심원 성질이 원형 도넛의 하부 절반과 같은 형상을 갖기 때문이다. 방출 가스는 입구(401)로부터 가스 통로(405)로 입력되며 출구(403)를 통해 배기된다.
만일 적절하다면, DC 필터(412)는 방출가스 스트림에서 전기적으로 하전된 물질을 트랩하기 위해 DPA에 인가되는 전압이 챔버내에서 발생하는 기판처리동작을 간섭하지 않도록 DPA(40) 및 처리챔버(15) 사이에 배치될 수 있다.
전극(402, 404)을 포함하는 전기 회로는 도 15에 도시되어 있다. 도 15에 도시된 것처럼, 전극(404)은 전극(404)이 접지되는 동안 DC 발생기(420) 및 RF 발생기(422) 모두에 접속된다. DC 발생기(420)는 정전기 트랩에 의해 요구된 DC전압을 공급하며, RF 발생기(422)는 플라즈마를 형성하기 위해 RF전력을 공급한다. RF 매칭회로(424)는 반사된 전력을 최소화시키기 위해 발생기 출력 임피던스를 50Ω에 매칭시키며, DC/RF 필터(바람직한 실시예에서 1mΩ 저항)(426)는 RF전원장치로부터 DC 전원장치(420)를 분리시킨다.
7. 평행 전극을 포함하는 제 4 기계적 및 정전기 트랩 실시예
도 16(a)는 기계적 및 정전기 트랩을 포함하는 DPA(40)의 또다른 실시예에 대한 단면도이다. 도 16(a)의 실시예는 DPA로 배기되는 방출 가스로부터 용량성 결합된 플라즈마를 형성하는 균일하게 이격된 평행 전극(430, 432)을 포함한다. 전극(430)은 도 14(a)의 실시예와 유사한 방식으로 RF 및 DC 전원장치에 접속되며, 전극(432)은 접지된다.
각각의 전극은 강철 금속으로 형성되며 가스 통로(435)를 형성하도록 구부러진다. 챔버(15)로부터의 방출 가스는 입구(434)를 통해 가스 통로에 입력되며 출구(436)로부터 배기된다. 가스 통로(435)는 나란히 배치되며 전극(430)의 일부에 의해 초기에 분리되는 두 개의 가스흐름 경로, 즉 경로(435a, 435b)를 포함한다. 고정된 영역내에 전극(430, 432)의 표면적을 증가시키는 방식으로 가스 흐름 통로를 두 개의 개별 통로로 나눈다. 전극(430, 432)은 DPA내의 RF 플라즈마 형성에 의해 발생된 열조건하에서 용해 및/또는 구부러지지 않도록 충분한 두께를 가져야한다. 또다른 실시예에 있어서, 전극(430, 432)은 알루미늄으로 가공될 수 있다.
도 16(b)는 도 16(a)에 도시된 DPA의 투시도이다. 도 16(b)에 있어서, DPA(40)는 DPA의 이미 기술된 다른 실시예와 유사하게 알루미늄 케이싱(440)에 의해 둘러싸인다. 케이싱(440)은 나사(442)에 의해 DPA에 부착된 문(441)을 포함한다. DPA(40)는 이 문의 제거에 의해 세척될 수 있다. 또한, PFD접속부(438)를 통해 RF 및 DC 전력이 전극(430)에 공급된다.
8. 제 1마이크로파 실시예
도 17(a)은 DPA(40)의 또다른 실시예에 대한 단면도이며, 도 17(b)는 도 17(a)에 도시된 실시예의 전면 입면도이다. 도 17(a) 및 (b)의 실시예는 플라즈마를 발생시키기 위해 마이크로파 소스를 사용하며 DPA내에 수집된 미립자물질 및 잔류물을 제거한다. 다수의 상이한 마이크로파 소스가 이용가능하지만, 한쌍의 선택적으로 펄스화된 전자관(450)(예를 들어, 몇몇의 마이크로파 오븐에 사용되는 형태의 전자관)이 구매 및 동작 비용의 면에서 바람직하게 사용된다. 이러한 전자관은 CW 마이크로파 발생기 또는 RF 발생기의 가격보다 싸다.
도 18(a)에 도시된 것처럼, 각각의 전자관(450)은 선택적으로 펄스화된(60Hz) 전계(2.45GHz)를 발생시킨다. 도 18(b)에 도시된 것처럼, 다른 전자관과 관련하여 180도의 위상차만큼 한 전자관의 펄스를 지연시킴으로서, 두 개의 전자관 소스는 도 18(c)에 도시된 것처럼 120Hz로 일정하게 펄스화될 수 있다. 도 18(c)에 있어서, 파형(M1)의 제 1 주기는 전자관중 하나에 의해 발생되며, 제 2 주기(M2)는 다른 전자관에 의해 발생된다. 전자관에 의해 발생된 에너지는 고플라즈마 밀도로 90%에 근접한 이온화 효율을 제공할 수 있다. 따라서, 이러한 전력원은 10-20% 사이의 이온화 효율을 감소시키는 용량성 결합된 전극보다 더 높은 세척 효율을 발생시킨다.
마이크로파 소스의 또다른 장점은 주울 효과에 의한 열감소이다. 약한 열이 발생하기 때문에, 가스 흐름통로(456)를 형성하는 전극(452, 454)(도 17(a))은 비교적 얇은 시트 금속으로 용이하게 만들어질 수 있다. 가스 흐름 통로(456)는 입구(458)를 출발하여 출구(460)에서 종료된다. 통로는 나누어져서, 도 16(a)의 실시예의 가스 통로(435)와 유사한 방식으로 이중 경로로 나뉜다. 또한, 입구(458)는 도 17(b)에서 프로파일(464)로 도시된 것처럼 가스 통로(456)의 시작부분에서 DPA(40)로 돌출된다(flared out).
전자관(450)은 DPA(40)의 맞은편에 배치된다. 마이크로파 전력은 적절한 도파관(462)(도 17(b))에 의해 반응기에 접속된다. 플라즈마가 전체 가스통로 전반에 걸쳐 형성되도록 가스 통로(456)의 폭을 통해 마이크로파를 투사하도록 전자관 및 도파관이 조합된다. 시트 금속 전극 사이의 간격은 전계 각각의 노드(세기가 제로인 점)가 전극 표면에 위치되도록 마이크로파의 파장에 따라 조절될 수 있다(즉, 전극판 사이의 간격은 마이크로파의 1/2파장의 배수이어야 한다). 전자관(450) 및 도파관(462)의 위치설정 때문에, 가스통로(456)의 모든 경로에 플라즈마가 형성된다. 세라믹 문(466)(도 17(b))은 전극(452)으로부터 전자관 및 도파관을 분리하며, 외부 케이싱(468)은 DPA를 밀봉하며 제 2 레벨 밀봉을 제공한다.
DC 전원장치(도시안됨)는 증착 또는 다른 기판 처리 동작 동안 이전에 기술된 것처럼 정전기 수집기를 제공하기 위해 전극(452)에 접속된다. 전극(452)에 대한 DC전력은 OFF로 스위칭되며(도시안됨 스위치에 의해), 전극은 전자관(450)이 세척동작동안 동작될 때 접지된다. 스위칭 전극(452)은 다른 방식으로 발생할 수 있는 아킹을 방지하기 위해 요구되는 시간 동안 접지된다.
9. 제 2 마이크로파 실시예
도 19(a)는 DPA(40)의 또다른 실시예의 측단면도이며, 도 19(b)는 도 19(a)에 도시된 실시예의 전면 입면도이다. 도 19(a) 및 도 (b)는 그것이 DPA 플라즈마를 발생시키기 위해 전자관(450)을 사용한다는 점에서 도 17(a) 및 도 17(b)의 실시예와 유사하다.
그러나, 도 19(a)에 도시된 것처럼, DPA(40)의 실시예에서 DPA는 입구(474) 바로 하단에 위치한 초기 모듈(472)을 포함한다. 이 모듈(472)은 에천트 라디칼(예를들어, CF4가 에천트 가스로 사용될 때 CFx 및 자유 F)이 증가된 이온화 효율로 발생될 수 있도록 세척 주기 동안 플라즈마를 발생시키기 위해 전용된다. 이렇게 발생된 라디칼은 비교적 긴 수명을 가지며 그들이 증착 및 수집된 물질과 반응하도록 DPA의 제 2 모듈(475)로 펌핑될 때 동작을 유지한다.
제 2 모듈(475)은 바람직한 실시예에서 시트 금속으로 구성된 대향하는 전극(476, 480)에 의해 형성된 가스 통로(470)를 포함한다. 가스 통로(470)는 도 17(a) 및 도 17(b) 실시예의 가스 통로(456)와 유사하다. 가스 통로는 이중 통로(470a, 470b)를 포함하며 출구(478)에서 종결된다.
도파관(482)은 전자관(450)에 접속된다. 도파관 및 전자관은 마이크로파 형성이 모듈(472)내에 플라즈마를 형성하도록 배치된다. 애노드(476)의 내부벽은 마이크로파가 모듈(472) 외부의 가스통로(470)의 다른 부분에 도달하는 것을 방지한다. 전극(480)은 이전에 기술된 것과 유사한 정전기 수집기를 제공하기 위해 DC 전원장치(도시안됨)에 접속된다. 이러한 실시예에 있어서, 전극(480)에 대한 DC 전력은 세척 주기 동안 OFF로 스위칭될 필요가 없다. 플라즈마가 제 2 모듈(475)에 발생하지 않기 때문에, 아킹 문제가 발생하지 않는다.
10. 프로토타입 DPA를 사용하는 추가적인 미립자 감소 실시예
본 발명의 유효성을 설명하기 위한 다른 실험에 있어서, 제 2 프로토타입 DPA(40)는 8인치 웨이퍼를 위해 준비된 프리시전 5000 챔버에 부착된다. 제 2 프로토타입 DPA는 포어라인에 DPA를 접속하기 위해 사용된 하부 플랜지의 설계를 제외하고 도 11에 도시된 DPA(40)과 유사하다. 이러한 제 2 프로토타입 DPA 및 하부 플랜지의 단면도는 도 20에 도시된다. 도 20에 도시된 것처럼, 하부 플랜지(200)는 대략 90도의 각도에서 DPA를 통해 포어라인으로 흐르는 배기 가스의 방향을 다시 설정한다. 플랜지는 플랜지의 하부(204)상에 축적된 증착물질이 관찰될 수 있도록 포어라인 접속부에 대향하는 석영 윈도우에 접속된다. 전술한 것처럼, 프로토타입 DPA의 하부 플랜지에 대한 이러한 설계는 도 4(a)-(f), 도 12 및 도 13(a)에 도시된 DPA(40)의 실시예에서 "U"자형 통로 또는 기계적인 버킷 트랩(bucket trap) 설계와 유사하나 사실상은 그렇지 않은 방식으로 영역(204)에 미립자 물질을 트랩하는 장점이 부가된다.
제 2 프로토타입 장치는 석영 튜브의 외부 둘레에 감긴 3/8인치 구리 배관으로 만들어진 코일(208)을 가진 석영 튜브(206)를 포함한다. 코일(208)의 전체 길이는 대략 25피트이며, 13.56MHz 전원장치는 이하의 실험에 대한 기술에 설명된 것처럼 다양한 전력 레벨로 구동된다. 석영 튜브(206) 및 코일(208)은 알루미늄 용기(210)내에 밀봉된다. 어셈블리의 전체 길이는 대략 14인치이며, 어셈블리의 폭은 대략 4.5인치이다.
제 2 프로토타입 DPA의 유효성은 3개의 개별 실험에서 검사된다. 각각의 실험에 있어서, 진공 배기 매니폴드 및 포어라인 사이에 접속된 제 2 프로토타입 DPA를 가진 프리시전 5000 챔버에서 수행된 실리콘 질화물 증착/CF4 불소 세척동작 시퀀스로 100개의 웨이퍼가 처리된다. 제 2 프로토타입 DPA는 각 실험의 증착 시퀀스 동안 OFF로 유지되며 불소 세척 시퀀스 동안 13.56 RF 전원장치에 의해 전력이 인가되어 ON으로 스위칭된다. 증착 동안 OFF일 때, 영역(212)으로서 도 20에 도시된 것처럼 튜브(206)의 내부를 따라 미립자가 수집된다. 이들 미립자는 DPA가 세척 시퀀스 동안 활성화될 때 튜브(206)로부터 제거된다. 각각의 3개의 실험 조건은 이하의 테이블에서 요약된다.
표 2
포어라인 세척결과
실험 1 실험 2 실험 3
RF 주파수 13.56MHz 13.56MHz 13.56MHz
RF 전력 200 500 500
CF4 흐름 1500 2000 2500
N2O 흐름 500 500 500
결과 테이블 2에서의잔류물 #1 테이블 2에서의잔류물 #2 제거된 잔류물
제 1 실험에 있어서, 불소 세척 시퀀스는 135초이며, DPA는 200와트에서 구동된다. CF4는 1500sccm의 흐름속도로 처리챔버내에 유입되며 500sccm의 흐름속도로 챔버내에 유입된 N2O와 혼합된다(3:1 비율). 100 증착/세척 시퀀스 후, DPA가 검사되며 잔류물 및 증착물 모두 없다는 것이 발견되었다. DPA의 하부에 있는 모서리가 있는 플랜지에 있어서, 작은 양의 잔류 축적물이 수집된다. 이러한 축적되는 잔류 축적물의 원자 농도가 측정되어 이하의 테이블 3에 요약된다. 잔류물에서 다수의 실리콘은 실리콘 산화물의 형태로 포함되며, 대략 질소의 절반은 실리콘 질화물 막에 포함되며 다른 절반은 암모니아의 형태를 가진다.
제 2 실험에 있어서, 불소 세척 시퀀스는 120초로 단축되며, DPA가 구동되는 전압은 500와트로 증가된다. CF4는 2000sccm의 속도로 처리 챔버내로 유입되며 500sccm의 흐름 속도로 챔버내로 유입되는 N2O와 혼합된다(4:1비율). 100 증착/세척 시퀀스 후, DPA가 검사되며 잔류물 및 증착물 모두 없다는 것이 발견되었다. 작은양의 잔류물 축적은 각을 이룬 가진 플랜지에 수집된다. 그러나, 육안 검사로부터, 축적되는 잔류물 양은 제 1 실시예에서의 축적되는 양의 대략 80% 이하이다.
축적되는 잔류물 원자 농도가 측정되어 이하의 표3에서 요약된다. 표에서 나타난 것처럼, 이 실험으로부터의 잔류물은 제 1 실험으로부터의 잔류물보다 상당히 높은 농도의 불소를 포함한다. 불소 농축 잔류물은 플라즈마에 대한 불소 종을 더 제공하여 추가의 DPA 활성화 동안 잔류물 세척을 용이하게 한다. 이 실험으로부터의 잔류물내의 다수의 실리콘은 실리콘 산화물 형태로 포함되며 다수의 질소는 암모니아 형태를 가진다.
제 3 실험은 잔류물이 제 1 및 제 2 실험 동안 수집되는 DPA 및 각을 이룬 플랜지 모두로부터 완전히 제거될 수 있다는 것을 증명한다. 이러한 제 3 실험에 있어서, 불소 세척 시퀀스는 120초로 연장되며, DPA가 구동되는 전압은 500와트로 증가된다. CF4가 처리챔버내로 유입되는 흐름속도는 2500sccm으로 증가되며 500sccm의 흐름 속도로 챔버내로 유입되는 N2O와 혼합된다(5:1). 100 증착/세척 시퀀스 후에, DPA 및 각을 이룬 플랜지가 검사되며 잔류물 및 증착물이 모두 없다는 것이 발견되었다.
잔류물이 존재할 때 실험결과 및 혼합물은 이하의 표 3에 요약된다.
표 3
DPA의 하부에서 수집된 잔류물
원자농도% Si% N%
C O N Si F H elem. nit. ox. 질화물 NH3
잔류물#1 3.4 44.8 7.4 31.4 13.1 N/A 13.9 20 66.1 48.6 51.4
잔류물#2 4.8 20.5 15.2 19.8 39.8 N/A 4.2 3.3 92.5 3.7 96.3
잔류물#3 없음 없음 없음 없음 없음 없음 없음 없음 없음 없음 없음
B. PFC 감소를 위해 최적화된 DPA (40)의 특정 실시예
본 발명의 일부 실시예는 이러한 가스를 방출하는 임의의 공정으로부터 방출된 PFC 가스를 감소시키도록 최적화되어 구성된다. 이렇게 구성된 DPA 가스는 PFC 감소 반응기(이하 "PR2"라 함)로 참조될 수도 있다. 편리 및 참조를 위하여, PR2 장치로서 구성되고 최적화된 DPA (40)은 본 출원의 나머지 부분에서 PR2 (240)로 표시된다. PR2(240)가 제 3도에 도시된 DPA (40)과 같이 챔버에 연결될 수도 있다는 것이 이해될 것이다.
실리콘 산화막 증착/세척 시퀀스는 본 발명에서 PFC 방출을 감소하기 위해 사용되는 공정의 일예로서 사용된다. 그러나, 본 발명은 다음의 예시적인 공정 시퀀스에서 PFC의 방출을 감소시키는데 제한되지 않고, 그 대신에 PFC 가스를 챔버(15)로 유입하는 임의의 공정과 PFC 가스가 챔버(15)에서 형성된 처리 부산물이 되는 임의의 공정에 적용된다는 것을 이해하여야 한다. 부가적으로, 본 발명은 하이드플루오로카본(HFC)이나 유사한 가스와 같은 다른 물질의 방출을 감소하는데 사용될 수도 있다.
예시적인 증착/세척 시퀀스에서, 실리콘 산화막은 실란(SiH4)과 일산화질소(N2O) 선구가스를 포함하는 처리가스로부터 기판상에 증착된다. 증착이 완료된 후에, 챔버로부터 기판이 제거되고 챔버 벽으로부터 불필요한 산화실리콘 축적을 에칭 및 제거하기 위하여 챔버 세척 동작이 수행된다. 세척동작은 CF4와 N2O의 플라즈마를 충돌시킴으로써 행해진다.
상기한 바와 같이, 세척 동작 동안에 챔버로 유입된 CF4의 일부만이 실제로 챔버 벽상에 증착된 물질과 반응한다. 남아있는 반응되지 않은 CF4는 다른 가스성분, 생성물 및 반응 부산물과 함께 포어라인을 통해 챔버로부터 배기된다.
본 실시예에서, 본 발명의 PR2는 배기된 CF4로부터 플라즈마를 형성한다. 플라즈마로부터의 성분은 CF4 가스를 PFC의 잠재적 손상 효과를 갖지 않는 덜 해로운 생성물 및 부산물로 전환시키기 위해 PR2내의 고체 실리콘 산화물과 같은 실리콘 소스와 반응한다. 내부에 PR2를 발생하는 반응의 일부는 다음과 같다:
CFx + SiO2 -----------> SiFx + CO2
CF4 + O2 ------------> CO2 + 2F2
2CF4 + O2 -------------> 2COF2 + 2F2
C + SiO2 ---------------> CO + SiO
SiO + F2 ---------------> SiOF2
물론, 정확한 반응 및 반응 시퀀스는 종의 전자 충돌 분해 및 같은 기본적인 반응과 가스 상태 라디칼 재결합이 발생하여 더 복잡하다. PR2로부터 방출되는 것으로 알려진, 상기한 생성물 또는 부산물중 어느 것도 PFC가 아니다. 실제로, 상기한 생성물 및 부산물 각각은 수용성이다. 그러므로, 본 발명의 PR2로부터 방출되는 모든 방출 가스는 PFC 전환 반응이 효율 100%일 경우에는, PFC가 없는 가스이다.
상기한 설명이 예시적인 목적만을 위한 것이지만, CF4와는 다른 가스가 본 발명의 PR2로 유입되어 플라즈마가 형성될 경우, 이들 PFC 가스의 플라즈마는 PFC가 아니고 PFC보다 덜 해로운 가스 생성물을 형성하기 위하여 실리콘 산화물 소스와 반응한다.
동작시에, PFC 가스가 진공 챔버(15)로부터 진공 라인(31)으로 배기되면, 배기된 가스는 PR2(40)를 통과한다. PR2(40) 내에서, 배기된 가스는 플라즈마를 발생 및/또는 유지하는 전계를 받기 쉽다. 플라즈마로부터의 성분은 포어라인을 통해서 펌핑될 수 있으며, PFC가 아닌 덜 해로운 가스 생성물 및 부산물로 방출 PFC를 전환시키기 위하여 PR2(40)내에 실리콘 및/또는 산소 화합물과 같은 PFC 산화제와 반응한다. 일반적으로 플라즈마 형성은 증착/세척 시퀀스의 세척 동작 동안에만(작동되는 PR2) 실행되는데, 이는 이 주기 동안에 방출 PFC 가스가 배기 스트림에 존재하기 때문이다. 그러므로, 증착 시퀀스 동안에는, 플라즈마는 일반적으로 PR2(40) 내에 형성되지 않는다. 그러나, PFC 가스가 증착이나 다른 공정 단계(예를 들면, CF4 부산물을 발생하기 위하여 카본 함유 포토레지스트와 반응하는 에칭 단계) 동안에 특정 공정으로부터 방출되면, PR2(240)는 이 시간 동안에 PFC 방출을 감소하기 위하여 동작될 수 있다.
PFC 플라즈마와 반응하는 PR2(240)내의 실리콘 및 산소는 다양한 다른 소스일 수 있다. 본 발명의 몇가지 실시예에서, 특정하게 설계된 필터는 플라즈마 반응용 모래 또는 석영과 같이 고체 상태의 실리콘 산화물 화합물을 포함한다. 실리콘 필터는 플라즈마가 형성되는 PR2(240)의 영역내에 위치되어 있다. 본 발명의 또다른 실시예에서, 실리콘 산화물, 실리콘 질화물, 옥시실리콘 질화물, 실리콘 탄화물 또는 증착/세척 공정 시퀀스의 유사한 증착 상태로부터 배기된 잔류물이 트랩되고 DPA(40)를 참조로 기술된 것과 유사한 방법으로 PR2(240)내에 수집된다. 이러한 증착 공정 동안에 트랩될 수 있는 일반적인 잔류 생성물은 SiO2, SiN, SiON, SiC 및 유사한 화합물을 포함한다. 물론, 실제로 수집되는 잔류물은 증착 또는 다른 공정 단계 동안에 유입된 가스에 따라 좌우된다. 수집된 잔류물은 플라즈마 반응을 위한 실리콘 소스로서 작용한다. 트랩은 제 7도와 관련하여 상기한 바와 같이 열 이동력과 관련하여 기계적 및/또는 정전기적 트랩 메커니즘을 사용하여 트랩핑이 행해지며, 또한 본 발명의 다양한 실시예에 대해 이하 상세하게 기술한다. 일단 트랩되면, 실리콘 잔류물 또는 다른 미립자 물질은 진공 라인(31)을 통해 펌핑되는 가스 부산물을 형성하기 위하여 PFC 플라즈마의 활성 종과 반응할 때 까지 PR2(240)내에 남아 있는다. 본 발명의 또다른 실시예에서, 실리콘 함유 및/또는 산소 함유 가스는 방출 PFC 가스의 분해를 향상시키기 위하여 특히 PR2(240)내로 유입된다. 이들 실리콘 및/또는 산소 함유 가스의 유입은 실리콘 필터 및/또는 정전기적 및/또는 기계적 트랩핑 메커니즘에 부가되어 또는 그에 대신 사용될 수 있다.
플라즈마를 형성하기 위해 PR2(240)내에 형성된 전계는 공지된 다양한 방식을 이용하여 발생될 수 있으며, 용량성 결합된 전극, 중공의 캐소드 반응기, 또는 유도적으로 결합된 코일, 마이크로파 장치에 RF 전력 인가(소정의 바람직한 실시예에서는 장치 및 작동 비용을 최소화시키기 위해 RF 전력 대신 (<350KHz) HF 전력이 사용된다) 또는 ECR 기술이 시용될 수 있다. PFC 전환은 형성된 플라즈마의 밀도와 직접적인 관련이 있지만, 일부 실시예에서는 유도 코일 또는 중공 캐소드 반응기와 같은 고밀도 플라즈마를 형성하는 이러한 장치가 바람직하다. 또한 PFC 전환은 플라즈마가 형성되고 PR2 장치내의 PFC 가스의 잔류시간에 반비례 관계인 전력에 비례한다. 따라서, 전원장치의 실제 출력 전력은 PR2, 플라즈마 밀도, PR2(240)에서 처리되는 PFC 가스의 체적 및 다른 인자 가운데 PFC 가스의 잔류 시간 적용을 따를 것이다. 이상적으로, PR2(240)는 PR2를 통과하는 실질적으로 모든 PFC 가스를 다른 가스로 전환하기에 충분한 플라즈마를 형성한다.
또한 PR2(240)는 처리중에 사용하기 쉽도록 설계되어야 한다. 즉, 만약 PR2(240)가 세척 시퀀스 동안 동작되면, PR2(240)는 세척 시퀀스의 기간을 연장하지 않고 세척 시퀀스동안 배기 스트림으로부터의 실질적으로 모든 PFC 가스를 비 PFC 가스로 전환하도록 설계된다. 그런 경우에, PR2(240)는 웨이퍼 작업 처리량에 역효과를 주지 않는다.
RF 전력은 RF 전원장치(25)로부터 유도되거나 단지 PR2(240)만을 구동하는 개별 RF 전원장치에 의해 공급될 수 있다. 대부분의 실시예에서, 마이크로파 ECR은 예외적인 일이고, PR2(240)를 동작시키기 위하여 저주파수 RF 전력을 사용하는 것이 바람직하다. 대략 50KHz 내지 2MHz 사이의 RF 전력을 공급하는 저주파수 RF 전원장치는 13.56MHz의 보다 높은 RF 주파수 보다 동작시 비용이 훨씬 절감된다. 다중 처리 챔버가 클린룸에 존재한다고 가정하면, 챔버에 연결된 다중 PR2는 적당한 수의 RF 전력 분할기에 연결된 개별 전용 제공된 PR2 RF 전원장치에 의해 모두 구동될 수 있다.
PR2(240)의 길이 및 크기는 변할 수 있다. 몇몇의 응용 분야에서, PR2(240)길이는 4-6인치이거나 또는 보다 더 짧을 수 있고, 다른 응용 분야에서, PR2(240)는 라인을 대체하는 진공 라인(31)의 전체 길이(4-5피트 또는 그이상)일 수 있다. 일반적으로, 각각의 미립자의 잔류 시간은 PR2의 길이 및 체적의 증가로 증가할 것이다. PR2 설계는 잔류 수집 효과를 고려하여 공간 균형을 잡아야한다. 그러나, 적당하게 설계된 미립자 트랩 메커니즘 또는 필터를 포함하는 짧거나 작은 체적의 PR2는 처리 챔버로부터 배기된 실질적으로 모든 PFC 가스를 덜 중요한 길이 및 체적의 인자를 만드는 덜 해로운 가스로 실질적으로 전환할 수 있다.
본 발명의 다수의 다른 실시예 장치는 구성될 수 있다. 몇몇 이러한 실시예는 예로써 아래 기술된다. 본 발명은 이들 특정 실시예로 제한되어 구성되지 않는다.
1. 실리콘 하전 필터 실시예
a) 단일 튜브, 나선형 공진기 실시예
도 21은 제 1 실시에의 PR2(240) 단면도를 도시한다. 도 21에서, PR2(240)는 가스가 PR2(240)를 통과함에 따라 처리 챔버(15)로부터 가스를 배기시키는 튜브(250)를 포함한다. 튜브(250)는 세라믹, 유리 또는 석영과 같은 절연 물질로 만들어진 원통형 튜브이다. 바람직한 실시예에서, 튜브(250)는 세척 단계에서 사용된 질소와 같은 에칭 가스와 반응하지 않는 세라믹 물질로 만들어진다. 또한, 튜브(250)는 진공 라인(31)의 내부 직경과 거의 동일한 내부 직경을 가진다. 다른 실시예에서, 튜브(250)는 원통형일 필요는 없고 대신, 평평하거나 타원이거나 유사한 모서리를 가진 내부 표면을 가질 수 있다. 이들 및 다른 실시예에서, 튜브(250)의 내부 직경은 진공 라인(231)의 내부 직경보다 크거나 작을 수 있다.
필터(251)는 튜브(250)내에 있다. 필터(251)는 가스를 비 PFC 가스로 전환하기 위한 플라즈마 조건하에서 PFC 가스와 반응하도록 이용할 수 있는 고체 실리콘 소스를 포함하는 다공성 필터이다. 필터(251)는 실리콘 화합물을 다 써버렸을 때 교체될 수 있는 튜브(250)에 삽입 가능한 소모성 부품일 수 있다. 필터(251)내의 실리콘 소스는 임의의 다수의 실리콘 함유 물질일 수 있다. 바람직하게, 실리콘 소스는 모래 또는 유리, 석영, 부싯돌 또는 오닉스(onyx)와 같은 실리콘 산화 물질이다. 또한, 바람직하게 필터는 펌핑 속도 또는 포어라인의 컨덕턴스에 상당히 큰 영향을 주지 못하는 다공성이다.
실리콘 산화 물질의 사용은 PFC 플라즈마가 반응할 수 있는 실리콘 및 산소를 제공한다. 바람직한 실시예에서, 부서진 석영은 실리콘 소스로서 사용된다. 석영을 부서뜨리는 것은 실리콘이 보다 잘 반응하게 총 표면적을 증가시킨다. 부가적으로, 부서진 석영은 증착 처리동안 발생된 고체 잔류물에 대한 기계적 필터로서 동작하고 그런 물질이 실리콘 잔류물을 발생하는 처리시 챔버(15)로부터 소비될 때 반응을 위한 추가의 실리콘 물질을 트랩할 수 있다.
코일(252)은 튜브(250)의 외부에 감겨지고 점(256)에서 RF 전원장치에 접속되고 점(257)에서 접지 전위에 접속된다. 튜브(250)를 통과하는 PFC 소비 가스는 RF 전원장치로부터 코일(252)로의 전압 인가에 의해 플라즈마로 여기된다. 플라즈마 상태에서, 배기 물질로부터의 성분은 상기된 바와 같이 펌프 시스템(32)에 의해 PR2(240) 및 진공 라인(31)에서 펌프되는 PFC가 아닌 가스 부산물을 형성하기 위하여 필터(251)의 고체 실리콘 산화물 에칭제와 반응한다.
가스 공급 라인(253)은 PFC 전환 반응을 강화시키기 위하여 산소 및/또는 실리콘 소스인 추가의 가스를 공급할 수 있다. 사용될 수 있는 예시적인 가스는 O2, O3, N2O, SiH4 등을 포함할 수 있다. 물론 테트라에틸오소실리케이트(TEOS)와 같은 액체 소스가 기화되어 라인(53)을 통하여 유입될 수 있다. 추가의 반응 강화 가스가 PR2(40)에 유입되는 비율은 밸브(255)를 제어하는 프로세서(34)에 의해 설정된다. 프로세서(34)는 도시되지 않은 제어 라인에 의해 밸브(255)에 통신적으로 접속된다.
코일(252)은 나선형 공진기 코일과 같은 유도 코일이다. 이러한 코일은 종래 기술에 잘 공지되어 있며, Michael A. Lieberman 및 Allan J. Lichtenberg, "Principle of Plasm Discharges and Materials Processing", pp. 404-410 John Wiley & Sons(1994) 등과 같이 공지된 다수의 문헌에 개시된 기준에 따라 설계될 수 있으며, 상기 문헌은 본 명세서에서 참조된다. 나선형 공진기 코일은 구리, 니켈, 또는 금 또는 유사한 도전성 물질과 같은 높은 전도성 금속으로 만들어질 수 있다. 코일을 적절하게 공진시키기 위하여, 코일의 길이는 인가된 RF 신호 파장 의 약 1/4 또는 그보다 약간 길 수 있다는 것은 중요하다. 이러한 길이의 코일은 보다 강하고 보다 센 전압 필드를 형성하고 PFC 가스의 분해를 추가로 향상시킨다. 코일(252)은 튜브 외부 보다는 튜브(250)의 내부에 감겨질 수 있다.
외부 컨테이너(254)는 튜브(250)를 둘러싼다. 컨테이너(254)는 적어도 두 가지 목적으로 사용된다. 첫째, 이는 패러데이 케이지로서 작용하여 코일(252)에 의해 발생된 방사선으로부터 CVD 처리 장치(10) 및 다른 장치를 보호한다. 둘째, 만약 세라믹 튜브(250)가 파손 또는 균열되거나 또는 만약 튜브(250)의 진공 밀봉이 다른 방법으로 파손되면, 컨테이너(254)는 배기 가스가 밖으로 새는 것을 방지하는 제 2 0밀봉을 제공한다. 컨테이너(254)는 알루미늄 또는 강철 또는 다른 화합물과 같이 다양한 금속으로 만들어지고 차폐 효과를 위하여 바람직하게 접지된다. 상부 및 하부 플랜지(259 및 258)는 각각 진공 밀봉을 유지하면서, 진공 매니폴드(24) 및 진공 라인(31)에 PR2(240)를 각각 접속한다.
표준 RF 전력은 50오옴의 출력 임피던스로 설계된다. 따라서, 코일(252)(점 256)에 대한 RF 전원장치 접촉 점은 코일(252)이 50오옴의 임피던스를 가지도록 선택되어야 한다. 다른 경우, 만약 전원장치가 다른 임피던스 레벨을 요구하면, 그에 따라 점(256)이 선택되어야한다.
코일(252)은 50 와트 또는 그 이상의 전력 레벨에서 RF 전원장치에 의해 구동된다. 코일(252)에 의해 발생된 실제 전압은 RF 전원장치에 의해 사용된 전력, 코일(252) 길이 및 권선 간격 및 다른 인자중 코일의 저항같은 다수의 인자에 따른다. 전압이 코일을 따라 평탄하게 전개되기 때문에, 전체 코일에 대한 전압 레벨 결정은 코일이 접지 및 RF 전원장치(점 255 및 256)에 접지되는 점 사이의 레벨을 결정함으로써 행해질 수 있다. 예를 들어, 만약 특정 코일이 점(255 및 256) 사이의 코일 부분의 4배이면, 코일 총 전압은 점(255 및 256) 사이 전압 레벨의 4배이다.
코일, 전력 레벨 및 인가된 RF 주파수는 강한, 플라즈마가 튜브(250)내에 형성되지만, 코일(252)에 의해 발생된 전압이 전류가 코일로부터 컨테이너(254)로 아킹할 레벨을 초과하지 않도록 선택되어야 한다. 만약 아킹이 특정 PR2에 대해 문제가 되면, 컨테이너(254) 및 코일(252) 사이에 절연 물질을 두는 것이 가능하다. 그러나 간략한 설계를 위하여, 공기로 채워진 컨테이너(254) 및 코일(252) 사이에 공간을 가지는 것은 바람직하다.
b) 단일 튜브, 마이크로파
도 22는 PR2(240)의 제 2 실시예 단면도이다. 도 22에 도시된 PR2(240)의 실시예는 도 21에 도시된 실시예와 동일한 다수의 부재를 포함한다. 따라서, 편리성을 위하여, 도 22 및 본 출원의 나머지 도면에서, 동일 참조 번호는 동일한 부재로 간주된다. 또한 편리성을 위하여, 도 22 및 다른 도면의 새로운 부재에 대해서만 이하 상세히 설명한다.
도 22에서, 마이크로파 발생기(260) 및 도파관(262)은 PR2(240)로 진입하는 유출 PFC 가스로부터 고밀도 플라즈마를 발생하기 위하여 사용된다. 자석(264)은 전자 사이클로트론 공진(ECR) 장치에서 처럼 튜브(250)내의 가스 미립자를 추가로 에너지화시켜 플라즈마 형태를 강화시키기 위하여 튜브(250)의 외부 둘레에 배치된다. 플라즈마로부터의 성분은 PFC 가스를 비 PFC 가스로 전환시키기 위해 필터(251)에서 실리콘 산화 물질과 반응한다. 상기된 실시예에서 처럼, 추가의 가스는 전환 공정을 강화시키기 위하여 가스 라인(253)으로부터 PR2(240)에 부가될 수 있다.
도시되지는 않았지만, 도 22에 도시된 PR2(240)의 실시예는 컨테이너(254)와 같은 외부 케이싱에 바람직하게 밀봉된다. 외부 케이싱은 제 2 밀봉부를 유지하여 튜브(250)를 통과하는 PFC 또는 다른 가스가, 튜브(250)에서 누설 또는 다른 결함이 있는 경우 PR2(240)로부터 누출되지 않는다.
c) 나선형 코일 공동 캐소드 반응기
도 23은 PR2(240)의 제 3 실시예를 도시한 단면도이다. 도 23에서, 나선형 공진기 코일(266)은 PR2(240)의 나선형 코일 공동 캐소드 반응기 실시예를 형성하기 위하여 실린더형 금속 튜브(268)내에 배치된다. 코일(266)은 튜브(268)가 접지되는 동안 HF 또는 RF 전력원(269)에 접속된다. 본 실시예에서 PR2(240)의 나머지 구조는 도 23에 도시되지 않는다. 이러한 구조는 예를 들어 가스 라인(253), 밸브(255), 플랜지(258 및 259), 컨테이너(254) 등을 포함하고, 도 17에 도시된 PR2(240)와 비슷하다.
HF 또는 RF 전력이 코일(266)에 인가될 때, 유도 결합된 플라즈마는 코일에 제공된 RF 전력으로부터 코일내에 형성되고 용량성 결합된 플라즈마는 코일 및 튜브(268) 사이에 형성된다. 코일(266) 및 튜브(268)는 플라즈마로부터의 고도의 반응성 종으로 처리되기 때문에, 이들은 니켈과 같이 적절한 전도성 물질로 만들어져 상기 종과 반응하지 않는다. 도시되지 않은 실리콘 필터는 PFC 플라즈마를 위하여 반응하도록 실리콘 및 산소 물질을 제공하기 위하여 코일(266)내 및/또는 주위에 배치될 수 있다. 부가적으로, 실리콘 및/또는 산소 함유 가스는 가스 라인(253)으로부터 플라즈마에 공급될 수 있다.
d) 다단계 공동 캐소드 반응기
도 24는 PR2(240)의 바람직한 제 4실시예를 도시한 단면도이다. 도 24에서, 실린더 형상의 가스 통로는 실린더 형상의 애노드(272), 캐소드(274) 및 절연 장벽(275)에 의해 형성된다. 캐소드(274)는 애노드(272)가 접지되는 동안 HF 또는 RF 전원장치(269)에 접속된다. 절연 장벽(275)은 캐소드(274)로부터 애노드(272)를 절연시킨다. 이런 선택적 전극/캐소드 구조는 고밀도 플라즈마(1012이온/cm3)가 형성될 수 있는 다단계 공동 캐소드 반응기를 형성한다. 반응기 각각의 단계(애노드/캐소드 쌍)는 영역(276)에 의해 도시된 바와같이 캐소드에 근접한 영역의 통로내에 고밀도 플라즈마를 형성한다.
본 실시예에서 PR2(240)내의 분자 잔류 시간 증가 뿐만 아니라, 공동 캐소드 체제(regime) 및 높은 플라즈마 밀도를 유지하기 위하여, 통로(270)내의 압력은 PR2(240) 바로 뒤의 포어라인에 배치된 도시되지 않은 개별 쓰로틀 밸브에 의해 제어될 수 있다. 제어된 압력은 PFC 전환을 최대화시키기 위해 설정된 실제 압력과 처리 챔버내의 압력(PECVD 처리시 4-20 torr) 및 SACVD 또는 APCVD 처리를 위하여 700 torr 또는 그 이상) 100-500 militorr(베이스 포어라인 압력) 범위일 수 있다.
이러한 다단계, 공동 캐소드 반응기 설계의 다른 실시예에서는 HF 또는 RF 전력보다 DC 전력이 캐소드(274)에 공급될 수 있다. 그러나 바람직한 실시예에서 DC 전력원으부터 지향성 DC 전류가 전극을 에칭할 수 있기 때문에 HF 또는 RF전력이 공급된다. HF 또는 RF 전력이 사용될 때, 스퍼터 에칭 효과는 상당히 감소되거나 존재하지 않는다. HF 전력은 가장 바람직한 실시예에서 장치 및 동작 비용을 감소시키기 위하여 사용된다.
도 23의 공동 캐소드 반응기 설계에서처럼, 실리콘 필터(미도시), 가스 라인(253)으로부터 공급된 실리콘 및/또는 산소 함유 가스는 적당한 때 PFC 전환 처리를 강화시키기 위하여 사용될 수 있다. 또한, 가스 라인(253), 밸브(255), 플랜지(258 및 259), 컨테이너(254)와 같은 구조는 도 17에 도시된 PR2(240)의 것과 유사하며 도 20에는 도시되지 않는다.
2. 실리콘 미립자 트랩
만약 PFC 세척 시퀀스전에 챔버(15)에서 발생하는 증착, 에칭 또는 다른 처리가 실리콘 함유 잔류물을 형성하는 경우, 본 발명 장치의 임의의 실시예는 실리콘 소스로서 사용하기 위한 잔류물을 트랩 및 수집할 수 있다. 따라서, 이들 실시예는 특정 설계 실리콘 필터를 요구하지는 않지만, 사용할 수 있다.
실리콘 잔류물을 발생하는 증착 처리의 실시예는 TEOS 및 실란 실리콘 산화물 증착 처리 및 실란 실리콘 질화물 증착 처리를 포함하지만 제한되지는 않는다. 이러한 처리에서, PFC 전환 반응을 위하여 트랩될 수 있는 방출 실리콘 잔류물로는 SiO2, SiN, SiON, SiC, 비결정질 실리콘 및 유사한 화합물이 포함된다. 물론, 수집된 실제 잔류물은 사용되는 증착, 에칭 또는 다른 처리에서 유입된 가스에 따라 좌우된다.
이들 증착 또는 다른 처리 동작으로부터 방출되는 잔류물은 챔버 벽상에 수집되고 결과적으로 챔버 벽으로부터 세척된다. 따라서, 이들 실시예에서 PFC 가스를 비 PFC 가스로의 전환은 세척 동작동안 챔버에서 발생하는 반응과 동일한 반응을 야기시킨다.
a) 단일 튜브, 나선형 공진기
도 25는 PR2(240)의 제 5실시예를 도시한 단면도이다. 도 25에 도시된 PR2(240)의 실시예는 PFC 플라즈마 반응으로부터 구성되는 실리콘 소스가 필터 삽입물내의 고체 실리콘 화합물보다 반대의 전극(280 및 282)을 포함하는 정전기 수집기에 의해 트랩되는 실리콘 함유 잔류물인 것을 제외하고는 도 21에 도시된 실시예와 유사하다. 실리콘 함유 잔류물은 DC 전력원(284)로부터 전극(280 및 282) 사이에 인가된 전위에 의해 증착 또는 다른 처리동안 트랩되고 수집된다. 인가된 전위는 전극(282)을 전극(280)(또는 반대로)과 비교하여 양으로 하전시킨다. 잔류 미립자가 PR2(240)를 통과함에 따라, 양으로 하전된 미립자는 보다 음으로 하전된 전극(280)을 향해 상기 전극(280)상에 수집되고 음으로 하전된 미립자는 양으로 하전된 전극(282)를 향해 상기 전극(282)상에 수집된다. 사용되는 증착 처리 형태 및 처리 길이에 따라, 몇 밀리미터 또는 그 이상의 실리콘 함유 잔류물이 전극(280 및 282)상에 축적될 수 있다.
증착 시퀀스가 완료되고 세척 시퀀스가 시작된 후, 플라즈마는 도 21과 관련하여 기술된 것과 동일 방식으로 PR2(240)속으로 방출된 PFC 가스로부터 플라즈마가 형성된다. 플라즈마로부터의 성분은 비 PFC 생산물 및 부산물을 형성하기 위하여 전극(280 및 282)상에 수집된 실리콘 잔류물과 반응한다. 전극(280 및 282) 사이의 전압 전위는 전극을 따라 수집된 미립자가 PFC 플라즈마와 반응할때까지 잔류하는 것을 보장하기 위하여 세척 시퀀스 동안 유지될 수 있다. 그러나, 전위는 만약 특정 처리를 위하여 사용된 세척 또는 다른 가스가 전극을 에칭하면, 세척 시퀀스동안 바람직하게 스위칭 오프된다. 튜브(250)내에 장착되는 전극(280 및 282)은 불소와 같이 다양한 고도의 반응종과 접촉한다. 따라서, 전극(280 및 282)은 상기 종과 반응하지 않는 니켈과 같이 적당한 도전성 물질로 이루어지는 것은 중요하다.
다양한 다른 정전기 트랩 장치는 본 발명의 여러 실시예에서 사용될 수 있다. 예를들어, 음으로 하전된 DC 또는 AC 전압은 양의 DC 전압보다 전극(282)에 인가될 수 있다. 다른 실시예에서, 전극(280 및 282)은 전극(282)에 대해 전극(280)으로부터 양 또는 음의 전압을 형성하는 전압원에 접속된다. 본 발명은 임의의 특정 정전기 수집 장치로 제한되지 않는다.
b) 단일 튜브, 마이크로파
도 26은 PR2(240)의 제 6 실시예를 도시한 단면도이다. 도 26에서, 상반되는 극성의 전극(전극 286 및 288)은 상기된 바와 같은 정전기 수집 장치를 형성하기 위하여 튜브(250)의 실린더형 체적내에 교번식으로 배열된다. 실리콘 및 산소 함유 잔류물 또는 유사 물질은 증착 시퀀스동안 전극(286 및 288)의 표면상에 수집된다.
도 22에 도시된 PR2(240)의 실시예처럼, 도 26의 실시예는 마이크로파 발생기(260) 및 도파관(262)으로부터 마이크로파 전력의 인가에 의해 장치를 통과하는 PFC 가스로부터 고밀도 플라즈마를 형성한다. 자석(264)은 튜브(250)내의 가스 미립자를 추가로 에너지화하고 플라즈마 형성을 향상시키기 위하여 전자 사이클로트론 공진(ECR) 장치에서처럼 튜브(250)의 외부 둘레에 배치된다. 플라즈마로부터의 성분은 PFC 가스를 비PFC 가스로 전환시키기 위해 전극(286 및 288)상에 수집된 실리콘 및/또는 산화 잔류물과 반응한다. 추가의 가스는 전환 처리를 향상시키기 위하여 도시되지 않은 가스 라인(253)으로부터 PR2(240)에 부가될 수 있다.
또한 PR2(240)의 실시예에서 도시되지 않고 도 26에 도시된 것은 제 2 밀봉부를 형성하는 컨테이너(254) 또는 유사한 케이싱 메커니즘이므로 튜브(250)를 통과하는 PFC 또는 다른 가스는 튜브에서 누설 또는 다른 결함의 경우에 PR2(240)으로부터 새지 않는다.
c) 내부 및 외부 실린더형 튜브
도 27은 PR2(240)의 제 7 실시예를 도시한 단면도이다. 도 27에 도시된 PR2(240)의 실시예는 제 1 내부 세라믹 튜브(290) 및 제 2 외부 세라믹 튜브(292)를 포함한다. 튜브(290)의 단부는 PR2(240)를 지나는 가스 흐름이 화살표(293)로 표시된 바와 같이 튜브(292)의 실린더형 공간내에 있다.
나선형 공진기 코일(294)은 튜브(292)의 외부 주위에 감겨있고 도 21의 실시예와 관련하여 기술된 바와같이 RF 전원장치(269)에 접속된다. 코일(294)은 튜브(92)의 내부에 또는 외부 또는 내부 튜브(90) 둘레에 감겨진다.
상기 컨테이너(254)와 유사한 셸(shell)(297)은 내부 및 외부 튜브(290 및 292) 양쪽을 밀봉한다. 외부 튜브(292)는 내부 튜브(290) 또는 셸(297)의 접속부에 의해 유지될 수 있다. 이러한 경우, 외부 튜브(292)에 대한 지지 구조가 PR2(240)을 통과하기 위한 유체 방출 가스 스트림을 허용하는 것이 중요하다. 이를 위해, 지지 구조는 다수의 관통 홀을 가지는 튜브(290 및 292) 사이의 평면 세라믹 물질일 수 있고 , 튜브(290 및 292) 사이로 연장하는 핑거 또는 4개의 슬랜더 접속부중 단지 3개만으로 구성되거나 또는 및 다수의 다른 똑같은 방식으로 설계될 수 있다. 관통 홀을 포함하는 구조는 이하 개시되는 수집 영역(295)내에 실리콘 잔류물 또는 다른 특정 물질을 수집 및 트랩할 수 있다. 당업자는 상기 구조가 PR2(240)를 통하여 펌핑된 가스의 흐름 속도를 감소시키지 않도록 충분히 큰 홀을 설계해야 한다는 것을 이해할 것이다.
본 실시예의 PR2(240)의 설계는 증착 단계 동안 배기된 실리콘 잔류물 또는 다른 미립자의 트랩 및 수집을 개선시킨다. 상기 설계는 배기 가스 스트림에서 잔류물 및 미립자를 수집 및 유지하는 기계적 트랩으로서 동작하는 튜브(292)의 수집 영역(295)을 포함한다. 잔류물 및 미립자는 트랩에 유지되어, 세척 시퀀스 동안 형성된 PFC 플라즈마의 성분과 반응하는데 사용할 수 있다.
본 실시예에서 PR2(240)의 기계적 트랩 부분의 동작은 PR2 장치를 지나는 미립자를 진공 라인으로 보내는 유출 가스 흐름 경로에도 불구하고 트랩내에 미립자 물질을 유지하도록 작동하는 중력을 부분적으로 따른다. 따라서, 부분적으로, PR2(240)의 유효성은 미립자가 가스 생산물과 반응될 때까지 튜브(292)로부터 미립자가 남아있는 것을 방지하는 것에 따라 좌우된다. 이를 위해, PR2(240)는 수집 영역(295)이 입구로부터 PR2로 아래쪽을 향하고 외부 튜브(292)의 길이가 중력과 조합되어 트랩을 형성하기에 하독고 위치되는 것이 중요하다.
PR2(240)내의 평면(AA)을 따르는 가스 통로의 단면적을 증가시키는 것은 미립자 물질 트랩을 보조한다. 임의의 주어진 증착 처리시 유출 가스 스트림에 대한 흐름 비율은 대체로 일정하다. 따라서, 하나 이상의 통로의 단면적 증가는 미립자상의 중성 견인력을 크게 감소시켜 가스 스트림의 미립자 속도를 감소시킨다. 주어진 미립자는 만약 미립자의 중력이 중성 견인력을 초과하면, PR2(240)의 중력 트랩내의 중력에 의해 트랩된다.
기계적 트랩의 효율성을 보다 향상시키기 위하여, DC 전원장치(284)에 접속된 전극(296 및 298)을 포함하는 정전기 수집기(272)는 도 25에 관해 기술된 바와같이 사용될 수 있다.
d) 기계적 및 정전기적 트랩 메커니즘을 포함하는 래비린탈
도 28(a)은 본 발명의 장치가 PFC 감소 장치의 다른 바람직한 실시예에서 사용되는 가스 통로 모듈(310)을 도시한 단면도이다. 도 28(a)에서, 한쌍의 상반되는 전극(320 및 322)은 처리 챔버(15)로부터 배기되는 가스가 통과하는 가스 통로(유체도관)를 형성한다. 모듈(310)은 챔버(15)로부터 배기되는 모든 미립자가 모듈내에 트랩되어 수집되는 것을 보장하기 위하여 정전기 및 기계적 트랩 메커니즘 모두를 포함한다.
정전기 트랩은 도 25와 관련하여 상기된 바와 같은 전극중 하나에 DC 전압을 인가함으로써 형성된다. 이런 방식으로, 양으로 하전된 미립자는 하나의 전극에 수집되고 음으로 하전된 미립자는 또다른 전극상에 수집된다.
기계적 트랩은 수집 영역(324)에서 미립자를 수집하기 위하여 부분적으로 중력에 의지함으로써 실리콘 미립자 및 잔류물을 보다더 수집한다. 각각의 수집 영역(324)은 미립자가 PR2 장치를 통과하는 미립자를 진공 라인으로 보내는 유출 가스 흐름 경로에도 불구하고 세그먼트의 하부 영역내에 수집 및 유지되도록 배치된 가스 통로의 "U"자형 세그먼트를 포함한다. 물론, 모듈(310)은 수집 영역(324)이 모듈의 반대편상에 있도록 거꾸로 회전될 수 있다.
세척 시퀀스동안, 모듈을 통과하는 PFC 가스의 용량성 결합된 플라즈마를 형성하기 위하여 전극중 하나에 RF 전력이 제공된다. 바람직하게, 전극(320 및 322)은 실질적으로 동일한 표면적을 갖도록 설계된다. 이러한 설계는 전극에 의해 형성된 전체 영역/통로를 균일한 플라즈마가 가격하게 한다. 상기 실시예에서처럼, 플라즈마로부터의 성분은 PFC 가스를 비PFC 가스로 전환시키기 위하여 수집된 실리콘 미립자 및 잔류물과 반응한다.
정전기 수집기 및 기계적 트랩 결합은 챔버(15)로부터 배기되는 실리콘 잔류물을 수집하는데 있어 특히 효과적인 메커니즘을 제공한다. 실제적으로, 이러한 조합은 거의 100%에 근접한 수집 효과를 제공하여 진공 라인(331)에 이루어진 증착물을 제거 또는 방지하는 추가의 장점을 가진다. 도 6 및 DPA(40)에 관하여 상기된 바와같이, 기계적 트랩 섹션은 이들 미립자가 중력에 의해 수집 챔버(324)내에 보다 유지되기 쉽기 때문에 유출 가스 스트림에 존재하는 상대적으로 큰 미립자를 트랩하는데 특히 효과적이다. 다른 한편, 정전기 트랩은 유출 가스 스트림에서 보다 작은 미립자를 수집 및 트랩하는데 효과적이지만 단지 기계적 트랩에 의해 수집되는 것은 아니다. 또한, 상기된 바와 같이, 전극 사이의 온도 편차로 인한 열이동력(thermophoretic)은 미립자를 트랩하기 위하여 사용될 수 있다.
도 28(a)에 도시된 모듈은 PR2(340)의 다양한 실시예의 부분으로서 사용될 수 있다. 그런 실시예의 일실시예는 도 28(b)에 도시되며, 이들 모듈의 다른 유사 부분의 상부에 적층된 도 28(a)의 가스 통로 모듈 설계의 일부분을 사용하는 본 발명의 PFC 감소 장치의 실시예를 도시한 측면 투시 단면도이다. 물론 도 28(a)에 도시된 모듈 또는 유사 모듈을 가진 다른 설계는 가능하다. 예를 들어, 미립자 수집을 위해 증가된 전극 표면적을 가지는 비교적 긴 가스 통로를 형성하기 위하여, 시퀀스에 3개, 4개 또는 그 이상의 모듈이 배치될 수 있다. 또한, 3개, 4개 또는 그 이상의 모듈은 서로의 상부에 적층될 수 있고 도 28(b)에 도시된 실시예와 유사한 방식으로 접속된다. 모듈(310)은 PFC 플라즈마로부터의 성분과 반응할 수 있는 추가의 실리콘 소스를 포함하는 필터 엘리먼트를 구비할 수 있다. 모듈(310)에 기초한 다른 설계 변형에 대한 가능성은 거의 무한하다.
도 28(b)에서 챔버(15)로부터의 유출 가스는 입구(330)를 통하여 PR2(340)로 진입하고 출구(332)를 통하여 배기된다. 분할기(334)는 화살표(323)에 따라 가스가 전극(320 및 322)에 의해 형성된 래비린탈 통로를 흐르게 한다. PR2(340)가 수직으로 배향될 때, 입구(330)는 축(AA)을 따르는 측면에 있고, 통로를 통해 배기된 큰 미립자는 중력하에서 수집 영역(324)에서 수집된다. 만약 PR2(340)가 수직으로 배향되면, 입구(340)는 축(BB)을 따르는 측면에 있고, 통로를 통해 배기되는 보다 큰 미립자는 수집 영역(325)에서 수집된다.
DC 발생기(338)는 증착 및 세척 시퀀스 동안 양의 DC 전압을 전극(322)에 공급하며 전극(320)은 접지된다. 따라서, 음으로 하전된 미립자가 전극(322) 표면상에 수집되고 양으로 하전된 미립자는 전극(320)의 표면상에서 수집된다.
또다른 실시예처럼, RF 발생기(336)는 전극(320 및 322) 사이의 통로의 유출 PFC 가스로부터 플라즈마를 형성하도록 세척 시퀀스동안 전극(322)에 RF 전력이 제공한다. 플라즈마는 PFC 가스를 비PFC 가스 생산물 및 부산물로 전환하기 위하여 수집 영역(324 또는 325) 및 전극(320 및 322)을 따라 수집된 실리콘과 반응한다. DC/RF 필터(340)는 RF 전원장치가 DC 발생기(338)를 간섭하는 것을 방지한다. DC 및 RF 전력은 전극(322)보다 전극(320)에 인가된다; 그러나, 안전성 및 방사선을 위하여 전극(320)은 접지되는 것은 바람직하다.
3. PFC 감소 DPA에 관한 사용 및 검사 결과
본 발명의 효율성을 나타내기 위하여, 실험은 프로토타입 PR2(340)이 8인치 웨이퍼에 대해 외부설비된 프리시전 5000 챔버에 부착되어 실행된다. 프리시전 5000 챔버는 본 발명의 양수인인 어플라이드 머티어리얼스에 의해 제조된다.
실시예에서, 프로토타입 PR2는 필터(351)가 세라믹 튜브(350)내에 포함되지 않고 추가의 가스 공급라인(353)이 존재하지 않는 것을 제외하고 도 21의 PR2(340)와 유사하다. PR2의 총 길이는 약 25인치이고 튜브(350)의 직경은 약 1.5인치이다. PR2는 챔버 하부 쓰로틀 밸브 뒤 프리시전 5000 챔버에 부착된다.
실험을 통해 3개의 상이한 단계에서 CF4 및 N2O 세척 시퀀스로부터 방사된 방출 가스를 분석했다. MKS 코포레이션사에 의해 제조된 MKS 300 시리즈 잔류 가스 분석기(RGA)를 사용하여 에칭 단계 동안에, 방출 가스가 모니터링되었다. 챔버로부터 대략 20 피트 아래의 진공 펌프 앞에서 측정이 이루어졌다. 따라서, 단지 안정한 종만이 RGA에 의해 검출될 것이 고려되었다. 대량 스펙트럼 분석은 상당히 복잡하기 때문에, 증착 단계는 세척 시퀀스 이전에 수행되지 않았다.
실험 조건은 다음과 같다. 챔버내의 압력은 2torr로 설정 및 유지되고, PR2에서 0.5torr의 대응 압력이 야기된다. CF4 및 N2O는 각각은 500 sccm의 비율로 챔버에 유입된다. PR2에 형성된 플라즈마는 900와트(RF2)에서 13.56 MHz RF 전원장치에 의해 구동되는 반면, 챔버에 형성된 플라즈마는 1000와트(RF1)에서 13.56 MHz RF 전원장치에 의해 구동된다.
실험의 제 1 단계 동안, 세척 가스는 챔버속으로 유입되고 플라즈마가 형성되지 않고 챔버 및 PR2를 통하여 흐르도록 허용된다. 제 2 단계에서, 플라즈마는 프리시전 5000 챔버내에 형성되지만, PR2내에는 형성되지 않는다. 3 단계에서, 플라즈마는 챔버 및 PR2 양쪽에 형성된다. 이들 실험 결과는 도 29(b)에 도시된다. 보조되는 제 1 단계는 처리 공정으로부터 방출되는 스펙트럼을 검출하여 CF4 방출의 관련 분석을 위한 기본치로 설정한다.
도 29(a)는 플라즈마가 챔버 및 PR2 모두에서 세척 가스로부터 형성될 때 얻어진 질량 스펙트럼을 도시한다. RGA 장치는 이들이 이온화에 의해 가스를 검출한다는 것이 중요하다. 따라서, CF3 +, CF2 + 및 CF+ 이온의 검출이 유출 CF4를 나타낸다. 도 29(a)에서, 피크(괄호에 지시된)는 C+(12), N+(14), 0+(16), F+(19), CF+(31), O2 +(32), F2 +(38), N2O+(44), CF2 +(50) 및 CF3 +(69)에 대응하여 검출된다. 각각의 피크는 초기 가스 반응제(CF4 및 N2O)의 분해 생성물에 대응한다. CO+(28), CO2 +(44), COF+(47), COF2 +(66) 및 COF3 +(85)에 해당하는 피크는 챔버 및 PR2에 발생하는 반응의 부산물에 해당한다. 가능한 해석은 CO2 + 및 N2O+(라인 44)의 중첩으로 인해 발생할 수 있다. CF4 및 N2O 단독의 스펙트럼과 비플라즈마와의 응답을 기록함으로써 그리고 RF1 및 RF2가 온(on)될 때, 라인(44) 피크는 90% CO2 + 및 10% N2O+를 나타낸다는 것을 검출할 수 있다.
질적으로, CF4의 감소는 CF3 +(69), CF2 +(50), CF+(31) 피크의 응답이 감소할 때 관찰된다. 추가의 분해 증거는 N2O에 대응하는 피크 응답이 감소할 때 관찰된다. 반응 CO+(28), CO2 +(44), COF+(47), COF2 +(66) 및 COF3 +(85)의 가스 부산물 응답은 CF4 감소에 비례하여 증가한다.
도 29(b)는 각각 3개 단계의 실험 동안 RGA에 의해 측정된 특정 가스의 발전 피크를 나타낸다. 특히, 도 12(b)는 피크(44)(N2 +), 69(CF3 +) 및 28(CO+)의 응답을 도시한다. 도 29(b)에 도시된 제 1 80초는 플라즈마가 챔버내 또는 PR2내에 형성되지 않을 때 이들 가스 피크 응답을 도시한다. 다음 80초 동안, 플라즈마는 챔버내에서만 형성되고, 마지막으로, 플라즈마는 160 내지 240초동안 챔버 및 PR2에 형성된다.
도 29(b)로부터, 플라즈마가 챔버내에 부딪칠 때, 방출되는 CF4 및 N2O의 양은 감소되고 방출되는 CO(CF4 전환 처리의 메인 부산물)의 양은 증가한다. PR2(40)(및 PR240내에 플라즈마를 형성) 활성화는 CF4 방사를 추가로 감소시키고 약 30%의 총 CF4 감소를 유발한다.
결과가 도시되지 않은 다른 실험에서, 약 50%의 전체적인 감소는 약 2torr로 PR2내의 압력을 증가시킴으로써 이루어진다. 따라서, 실시예는 본 발명의 장치가 성공적으로 PFC를 감소시킨다는 것을 도시한다. 추가의 감소는 본 출원내에서 논의된 하나 이상의 추가의 PR2 특징을 통합시킴으로써 이루어질 수 있다. 또한, CF4가 PFC 가스를 전환하기 어렵기 때문에, 추가의 실험 결과는 다른 PFC 가스의 전환을 위한 보다 나은 결과를 형성한다.
본 발명의 몇몇 다른 실시예를 논의함으로써, 본 발명에 따른 진공 라인으로부터 미립자는 제거하는 다양한 다른 등가의 또는 선택적인 장치 및 방법이 당업자에게 명백할 것이다. 또한, 본 발명에 따른 처리 챔버로부터의 PFC 방사를 줄이는 많은 동등한 또는 다른 장치 및 방법이 당업자에게 명백할 것이다. 부가적으로, 비록 본 발명이 간략화 및 이해를 위한 도시 및 실시예로서 기술될지라도, 몇몇의 변화 및 변형이 행해진다는 것은 명백하다. 예를들어, 일실시예에서 본 발명의 기계적 미립자 트랩은 외부 통로에 의해 둘러싸인 내부 통로로 기술되고, 그런 트랩은 제 2통로내의 주변에 포함되지는 않지만, 대신 제 1 통로로부터 떨어져 또는 위쪽으로 연장되는 제 1 통로가 형성된다. 다른 실시예에서, 기술된 가스 통로는 도 28(a) 및 28(b)에 기술되고 도시된 또는 다른 방식으로 도시된 통로와 유사한 방식으로 래비린탈 모양(및 중력 트랩을 포함한다)으로 설계된다. 실리콘 미립자 트랩은 만약 전극에 수집된 실리콘 잔류물양이 불충분하면 PFC 분해를 향상시키기 위하여 화합물을 포함하는 석영 또는 다른 실리콘으로 채워진 분리된 필터 엘리먼트를 포함한다. 또한, 실리콘 필터 및 미립자 트랩 시스템없는 실시예가 가능하다. 이들 실시예에서, PFC 전환 반응을 위한 SiH4 또는 O2같은 가스는 라인(253)같은 가스 공급 라인을 통하여 PR2(340)에 유입된다. 부가적으로, 도 17(a) 및 (b)과 19(a) 및 (b)에 도시된 DPA(40)의 실시예에 사용된 전자관은 PR2(40)의 다양한 실시예에서 플라즈마를 형성하기 위하여 사용될 수 있다. 명백한 변화 및 변형에 따라 이들 동등한 그리고 다른 변형은 본 발명의 범위내에 포함된다.
본 발명은 미립자 물질 및 다른 잔류물질이 기판처리장치의 배기라인에 축적되는 것을 막으며 상기 기판처리장치로부터 PFC 방출을 감소시키는 효과를 가진다.
도 1은 본 발명의 장치가 부착될 수 있는 간략화된 화학적 기상 증착 장치의 일 실시예를 나타낸 도면.
도 2는 도 1의 화학적 기상 증착 장치에 본 발명을 접속하는 제 1 방법을 나타낸 도면.
도 3은 도 1의 화학적 기상 증착 장치에 본 발명을 접속하는 제 2 방법을 나타낸 도면.
도 4(a)는 미립자를 최적으로 감소시킬 수 있는(진공라인 세척) 문이 없는 본 발명의 장치에 대한 바람직한 실시예를 나타내는 도면.
도 4(b)는 문이 없는 도 4(a)에 도시된 진공 라인 세척 장치의 전면도.
도 4(c)는 장치의 중심 평면으로부터 취해진 도 4(a)에 도시된 진공 라인 세척 장치의 전면 수직단면도.
도 4(d)는 장치의 중심 평면으로부터 취해진 도 4(a)에 도시된 진공 라인 세척 장치의 측면 수직단면도.
도 4(e)는 도 4(a)에 도시된 진공 라인 세척 장치의 접속부를 통한 전원장치의 단면도.
도 4(f)는 문을 포함하는 도 4(a)에 도시된 진공 라인 세척 장치의 사시도.
도 5는 도 4(a)에 도시된 전극에 접속되며 이 전극을 포함하는 전기 회로도.
도 6은 예시적인 실리콘 질화물 증착 단계에 의해 발생된 미립자에 대한 본 발명의 진공 라인 세척 장치의 한 실시예에서 정전 수집기의 효과를 도시한 그래프.
도 7은 본 발명의 진공 라인 세척 장치의 일 실시예에서 미립자의 중성 견인력(drag force)에 비교하여 정전기력, 중력 및 열 이동력 효과를 도시한 그래프.
도 8은 15초의 실리콘 질화물 증착 공정 후 진공 포어라인(foreline)내의 실리콘 부품상의 잔류물 축적을 도시한 마이크로그래프.
도 9는 도 8에 도시된 잔류물의 1 그레인의 기본적인 그레인 크기를 도시한 마이크로그래프.
도 10은 본 발명을 검사하기 전에 수행된 실험동안 진공 포어라인내의 실리콘 부품 상에 증착된 미립자 물질의 크기를 도시한 마이크로그래프.
도 11은 본 발명의 진공 라인 세척 장치의 제 2 실시예에 대한 측단면도.
도 12는 본 발명의 진공 라인 세척 장치의 제 3 실시예에 대한 측단면도.
도 13(a)은 본 발명의 진공 라인 세척 장치의 제 4 실시예에 대한 측단면도.
도 13(b) 및 도 13(c)은 도 13(a) 장치속으로 배기된 미립자에 대한, 도 13(a)의 장치에 사용된 정전기 트랩 효과를 도시한 도면.
도 14(a)는 본 발명의 진공 라인 세척 장치의 또다른 실시예에 대한 측단면도.
도 14(b)는 도 14(a)에 도시된 진공 라인 세척 장치의 실시예에서 한 전극 대 다른 전극의 표면적 비를 도시한 도면.
도 15는 도 14(a)에 도시된 진공 라인 세척 장치의 실시예에 대한 블록도.
도 16(a)은 본 발명의 진공 라인 세척 장치의 또다른 실시예에 대한 측단면도.
도 16(b)은 도 16(a)에 도시된 진공 라인 세척 장치의 사시도.
도 17(a)은 플라즈마를 형성하기 위해 마이크로파 전력을 사용하는 본 발명의 진공 라인 세척 장치의 일 실시예에 대한 측단면도.
도 17(b)은 도 17(a)의 진공 라인 세척 장치의 전면 입면도.
도 18(a), 도 18(b) 및 도 18(c)은 도 17(a)의 장치에서 마이크로파 전원장치에 의해 발생된 전압 파형을 도시한 그래프.
도 19(a)는 플라즈마를 형성하기 위해 마이크로파 전력을 사용하는 본 발명의 진공 라인 세척 장치의 제 2 실시예에 대한 측단면도.
도 19(b)는 도 19(a)의 진공 라인 세척 장치의 전면 입면도.
도 20은 본 발명의 유효성을 평가하는 검사에 이용되는 본 발명의 진공 라인 세척 장치의 일 실시예에 대한 프로토타입(prototype)의 측단면도.
도 21은 PFC를 감소시키기 위해 최적화된 본 발명의 장치에 대한 일 실시예의 측단면도.
도 22는 본 발명의 PFC 감소 장치의 제 2 실시예에 대한 측단면도.
도 23은 본 발명의 PFC 감소 장치의 제 3 실시예에 대한 측단면도.
도 24는 본 발명의 PFC 감소장치의 바람직한 제 4 실시예의 측단면도.
도 25는 본 발명의 PFC 감소 장치의 제 5 실시예에 대한 측단면도.
도 26은 본 발명의 PFC 감소 장치의 제 6 실시예에 대한 측단면도.
도 27은 본 발명의 PFC 감소 장치의 제 7 실시예에 대한 측 단면도.
도 28(a)은 본 발명의 PFC 감소 장치의 또다른 실시예에 사용된 가스 통과 모듈을 사용하는 본 발명의 PFC 감소 장치의 일 실시예에 대한 측면 투시 단면도.
도 28(b)은 도 28(a)의 가스 통과 모듈 설계를 사용하는 본 발명의 PFC 감소 장치의 일 실시예에 대한 측면투시 단면도.
도 29(a)는 CF4 및 N2O 세척 가스로부터 플라즈마를 형성한 후 얻어진 질량 스펙트럼 데이터를 도시한 그래프.
도 29(b)는 본 발명의 일 실시예를 검사하기 위한 실험 동안 측정된 특정 가스의 방출 피크를 도시한 그래프.
* 도면의 주요부분에 대한 부호의 설명*
10: 화학적 기상 증착 시스템 25: RF 전원장치
31: 포어라인 32: 진공 펌프 시스템
40: DPA 104: RF 매칭 유니트
106: DC/RF 필터 452, 454: 전극

Claims (27)

  1. 기판 처리 챔버로부터 배기되는 미립자 수집 장치로서,
    입구와 출구 및 상기 입구와 출구 사이에 각각 대향하는 표면을 갖는 제 1 전극 및 제 2 전극에 의해 적어도 부분적으로 형성되는 유체도관을 갖는 수집 챔버를 포함하며,
    상기 유체도관은 상기 수집 챔버로부터 미립자 물질이 배기되지 않는 수집 영역을 형성하는 상기 유체도관의 적어도 하나의 굽힘부 근처로 가스 흐름이 향하도록 구조화되어 배열되는 것을 특징으로 하는 미립자 수집 장치.
  2. 제 1 항에 있어서,
    상기 유체도관내에서 플라즈마를 형성 또는 유지하기 위해 적어도 하나의 전극에 전력을 공급하도록 동작가능하게 결합된 플라즈마 발생 시스템을 더 포함하는 것을 특징으로 하는 미립자 수집 장치.
  3. 제 2 항에 있어서,
    상기 대향 전극 표면 상에 미립자 물질을 수집하기 위해 상기 전극들 사이에 전압이 인가되도록 상기 전극들에 동작가능하게 결합된 미립자 트랩 시스템을 더 포함하는 것을 특징으로 하는 미립자 수집 장치.
  4. 제 3 항에 있어서,
    상기 기판 처리 챔버의 세척 동작 동안 상기 기판 처리 챔버로부터 배기 라인을 통해 에천트 가스가 배기되는 것을 특징으로 하는 미립자 수집 장치.
  5. 제 3 항에 있어서,
    상기 에천트 가스중 적어도 일부분은 상기 장치 상단에 있고 상기 기판 처리 챔버로부터 하단에 있는 상기 배기 라인속으로 유입되는 것을 특징으로 하는 미립자 수집 장치.
  6. 제 3 항에 있어서,
    상기 에천트 가스의 적어도 일부분은 상기 유체도관 속으로 직접 유입되는 것을 특징으로 하는 미립자 수집 장치.
  7. 제 1 항에 있어서,
    상기 제 1전극의 상기 대향 전극 표면은 상기 제 2 전극의 대향 전극 표면 표면적의 95퍼센트 이내의 표면적을 가지는 것을 특징으로 하는 미립자 수집 장치.
  8. 제 1 항에 있어서,
    상기 유체도관은 상기 수집 챔버로부터 상기 미립자 물질의 배기를 방지하기 위해, 각각 상기 입구 및 출구와 상기 수집 챔버를 연통시키는 적어도 부분적으로 수직인 제 1 및 제 2 통로를 포함하는 것을 특징으로 하는 미립자 수집 장치.
  9. 제 8 항에 있어서,
    상기 대향 전극 표면 사이에 형성된 상기 유체도관은 상기 입구 및 출구 사이의 유체도관내에 순차적으로 형성된 다수의 수집 영역을 형성하는 것을 특징으로 하는 미립자 수집 장치.
  10. 제 9 항에 있어서,
    상기 대향 전극 표면은 꾸불꾸불한(serpentine) 유체도관을 형성하는 것을 특징으로 하는 미립자 수집 장치.
  11. 제 10 항에 있어서,
    상기 제 1 및 제 2 전극은 베이스 벽 부분과 상기 베이스 벽 부분으로부터 대체로 수직으로 연장되며 상기 베이스 벽 부분으로부터 떨어져 있는 단부를 갖는 다수의 벽 부재를 각각 포함하며, 상기 벽 부재는 서로 이격되어 그 사이에 채널을 형성하며, 상기 각각의 전극의 벽 부재는 다른 전극의 채널로 연장되어 그 사이에 상기 유체도관을 형성하는 것을 특징으로 하는 미립자 수집 장치.
  12. 제 11 항에 있어서,
    상기 제 1 전극의 상기 벽 부재는 상기 베이스 벽 부분으로부터 하향 연장되며 상기 제 2 전극의 상기 벽 부재는 상기 베이스 벽 부분으로부터 상향 연장되며, 각각의 수집 영역은 상기 제 1 전극의 벽 부재중 하나의 단부와 쌍의 상기 제 2 전극의 벽 부재 사이에 형성되는 것을 특징으로 하는 미립자 수집 장치.
  13. 제 11 항에 있어서,
    상기 유체도관은 좌측 꾸불꾸불한 부분과 상기 좌측 꾸불꾸불한 부분의 거울상인 우측 꾸불꾸불한 부분을 포함하며, 상기 제 2 전극의 상기 벽부재중 하나의 중심부는 상기 유체도관을 상기 좌측 및 우측 부분으로 나누는 것을 특징으로 하는 미립자 수집 장치.
  14. 제 10 항에 있어서,
    상기 2 전극은 베이스 부분과 상기 베이스 부분으로부터 수직으로 연장되는 다수의 제 1 및 제 2 벽 부재를 포함하며, 상기 다수의 제 1 벽 부재는 상기 베이스 부분으로부터 상향 연장되고 상기 다수의 제 2 벽 부재는 상기 베이스 부분으로부터 하향 연장되며, 각각의 제 1 및 제 2 다수의 벽 부재는 서로 이격되어 그 사이에 채널을 형성하며;
    상기 제 1 전극은 상부 및 하부 베이스 부분 및 다수의 제 3 및 제 4 벽 부재를 포함하며, 상기 다수의 제 3 벽 부재는 상기 다수의 제 1 벽 부재에 의해 형성된 채널 속으로 상기 상부 베이스 부분으로부터 수직으로 연장되어 그사이에 유체도관의 상부 부분을 형성하며, 상기 다수의 제 4 벽 부재는 상기 다수의 제 2 벽 부재에 의해 형성된 채널 속으로 상기 하부 베이스 부분으로부터 수직으로 연장되어 그 사이에 유체도관의 하부 부분을 형성하는 것을 특징으로 하는 미립자 수집 장치.
  15. 제 14 항에 있어서,
    상기 다수의 제 1 및 제 3 벽 부재에 의해 형성된 상기 유체도관의 상기 상부 부분에서 상기 대향 전극 표면 사이의 간격은, 상기 다수의 제 2 및 제 4 벽 부재에 의해 형성된 상기 유체도관의 상기 하부에 있는 상기 대향 전극 표면 사이의 간격보다 짧은 것을 특징으로 하는 미립자 수집 장치.
  16. 제 14 항에 있어서,
    상기 다수의 제 1 벽 부재는 상기 유체도관을 통과하는 가스 흐름을 좌측 및 우측 부분으로 나누는 중심 벽 부재를 포함하는 것을 특징으로 하는 미립자 수집 장치.
  17. 제 16 항에 있어서,
    상기 유체도관의 상기 좌측 부분은 상기 우측 부분의 거울상인 것을 특징으로 하는 미립자 수집 장치.
  18. 제 16 항에 있어서,
    상기 제 2 전극의 상기 중심 벽 부재는 30도 이하의 각도를 가진 상부 에지를 포함하는 것을 특징으로 하는 미립자 수집 장치.
  19. 제 16 항에 있어서,
    상기 제 2 전극의 상기 중심 벽 부재는 10도 이하의 각을 가진 상부 에지를 포함하는 것을 특징으로 하는 미립자 수집 장치.
  20. 배기라인 내의 증착을 최소화시키는 장치로서,
    내부 챔버를 밀폐하고 상기 내부 챔버와 연통되는 입구 및 출구를 형성하는 전극 벽을 포함하는 제 1 전극;
    상기 제 1 전극과 전기적으로 접촉되지 않고 상기 내부 챔버내에 배치된 제 2 전극 - 상기 제 1 및 제 2 전극은 그사이에 유체도관을 형성하는 대향 표면을 가지며, 상기 유체도관은 상기 입구와 상기 출구를 연통시키며 이들 사이에 적어도 하나 이상의 수집 영역을 형성하며, 상기 유체도관은 상기 장치로부터 미립자 물질이 배기되는 것을 방지하는 수집 영역을 형성하는 상기 유체도관의 적어도 하나의 굽힘부 부근으로 가스 흐름이 향하도록 구조화되어 배열됨 - ; 및
    상기 대향 전극 표면상에 전기적으로 하전된 미립자 물질을 수집하도록 상기 전극과 동작가능하게 접속된 상기 전극 사이에 전압을 인가하는 수단을 포함하는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
  21. 제 20 항에 있어서,
    상기 유체도관을 통해 배기되는 에천트 가스로부터 플라즈마를 형성하도록 상기 전극에 동작가능하게 접속된 상기 전극에 RF 전력을 인가하는 수단을 더 포함하는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
  22. 제 21 항에 있어서,
    상기 제 1 전극은 주변 벽 및 상기 주변 벽으로부터 상기 내부 챔버로 연장되는 하나 이상의 대체로 수직인 벽 부재를 포함하며, 상기 수직 벽 부재 각각은 상기 주변 벽으로부터 떨어진 단부를 가지는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
  23. 제 22 항에 있어서,
    상기 제 2 전극은 베이스 부분 및 상기 베이스 부분으로부터 연장되는 하나 이상의 대체로 수직인 벽 부재를 포함하며, 상기 수직 벽 부재 각각은 상기 베이스 부분으로부터 떨어진 단부를 각각 가지는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
  24. 제 23 항에 있어서,
    상기 제 1 및 제 2 전극의 수직 벽 부재는 서로 이격되어 그 사이에 채널을 형성하고, 각각의 전극의 수직 벽 부재는 다른 전극의 채널 속으로 연장되어 그사이에 유체도관을 형성하는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
  25. 제 24 항에 있어서,
    상기 전극중 하나의 쌍의 수직 벽 부재 및 상기 전극중 다른 전극의 수직 벽 부재중 한 부재의 단부 사이에 각각의 수집 챔버가 형성되는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
  26. 제 25 항에 있어서,
    상기 제 1 전극의 주변 벽은 상부 및 하부 벽 부분을 포함하며, 상기 제 1전극의 벽 부재는 상부 부분으로부터 하향 연장되며 상기 내부 챔버 속으로 상기 하부 부분으로부터 상향 연장되며, 상기 제 2 전극의 베이스 부분은 상기 제 1 전극의 하향 및 상향 연장되는 벽 사이에 위치되어 상기 베이스 부분 위에 상부 유체도관 부분을 형성하고 상기 베이스 부분 아래에 하부 유체도관 부분을 형성하는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
  27. 제 26 항에 있어서,
    상기 제 1 전극 입구는 상기 상부 유체도관 부분과 연통되며 상기 제 1 전극 출구는 상기 유체도관 하부 부분과 연통되며, 상기 전극은 상부 및 하부 유체도관과 서로 연통되는 수직 통로를 형성하는 것을 특징으로 하는 배기라인 내 증착 최소화 장치.
KR1019970055160A 1996-10-30 1997-10-27 기판처리장치의인-시튜진공라인을세척하기위한평행판장치 KR100495783B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/741,230 1996-10-30
US08/741,230 US6193802B1 (en) 1995-09-25 1996-10-30 Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US08/741,230 1996-10-30

Publications (2)

Publication Number Publication Date
KR19980033191A KR19980033191A (ko) 1998-07-25
KR100495783B1 true KR100495783B1 (ko) 2005-09-08

Family

ID=24979873

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970055160A KR100495783B1 (ko) 1996-10-30 1997-10-27 기판처리장치의인-시튜진공라인을세척하기위한평행판장치

Country Status (6)

Country Link
US (1) US6193802B1 (ko)
EP (1) EP0839930B1 (ko)
JP (1) JP4146919B2 (ko)
KR (1) KR100495783B1 (ko)
DE (1) DE69720644T2 (ko)
TW (1) TW346641B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150003890A (ko) * 2012-04-26 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 포어라인에서 배기 가스를 처리하기 위한 장치

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
JP4288010B2 (ja) * 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001132638A (ja) * 1999-11-10 2001-05-18 Ebara Corp トラップ装置
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US6576202B1 (en) 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6245686B1 (en) * 2000-06-05 2001-06-12 Motorola Inc. Process for forming a semiconductor device and a process for operating an apparatus
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP4656613B2 (ja) * 2000-07-24 2011-03-23 東京エレクトロン株式会社 処理装置のメンテナンス方法
WO2002004887A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6733827B2 (en) * 2001-04-11 2004-05-11 The Procter & Gamble Co. Processes for manufacturing particles coated with activated lignosulfonate
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
AU2002343330A1 (en) * 2001-08-31 2003-03-10 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
KR100505670B1 (ko) * 2003-02-05 2005-08-03 삼성전자주식회사 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
TW594337B (en) * 2003-02-14 2004-06-21 Quanta Display Inc Method of forming a liquid crystal display panel
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP2004356558A (ja) * 2003-05-30 2004-12-16 Toshio Goto コーティング装置およびコーティング方法
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
EP1816668A2 (en) * 2006-02-01 2007-08-08 FEI Company Particle-optical apparatus with a predetermined final vacuum pressure
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
DE102007016026A1 (de) * 2007-03-30 2008-10-02 Sig Technology Ag Vakuumbeschichtungsanlage mit Abscheidevorrichtung im Restgasstrang
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
DE102008009624A1 (de) * 2008-02-18 2009-08-20 Cs Clean Systems Ag Verfahren und Vorrichtung zur Reinigung der Abgase einer Prozessanlage
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20100159122A1 (en) * 2008-12-19 2010-06-24 Canon Kabushiki Kaisha Deposition film forming apparatus, deposition film forming method and electrophotographic photosensitive member manufacturing method
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US8404028B2 (en) 2011-01-18 2013-03-26 International Business Machines Corporation Vacuum trap labyrinth
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
EP2807902B1 (en) * 2012-01-23 2020-08-19 CONNORS, Robert W. Compact microwave oven
US20140262033A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Gas sleeve for foreline plasma abatement system
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10187966B2 (en) * 2015-07-24 2019-01-22 Applied Materials, Inc. Method and apparatus for gas abatement
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US10337105B2 (en) 2016-01-13 2019-07-02 Mks Instruments, Inc. Method and apparatus for valve deposition cleaning and prevention by plasma discharge
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
KR20180086669A (ko) 2017-01-23 2018-08-01 에드워드 코리아 주식회사 질소 산화물 감소 장치 및 가스 처리 장치
KR102646623B1 (ko) * 2017-01-23 2024-03-11 에드워드 코리아 주식회사 플라즈마 발생 장치 및 가스 처리 장치
JP6479080B2 (ja) * 2017-03-30 2019-03-06 本田技研工業株式会社 ブリーザ装置
CN108533363B (zh) * 2018-06-04 2023-08-18 江苏大学 一种车载dpf在线再生***及控制方法
JP2020033625A (ja) * 2018-08-31 2020-03-05 東京エレクトロン株式会社 成膜装置及び成膜方法
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
TWI721594B (zh) * 2019-10-09 2021-03-11 南韓商未來寶股份有限公司 配備冷卻流路的半導體工程反應副產物收集裝置
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation
CN114602653A (zh) * 2022-01-30 2022-06-10 中国人民解放军63892部队 一种电磁波除霾方法及***

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04136175A (ja) * 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5141714A (en) * 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
DE4319118A1 (de) * 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
DE2965333D1 (en) 1978-12-29 1983-06-09 Ncr Co Process and apparatus for cleaning wall deposits from a film deposition furnace tube
JPS58101722A (ja) 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS5918619A (ja) 1982-07-22 1984-01-31 Seiko Epson Corp 軟磁性薄膜
JPS60114570A (ja) 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPS60234313A (ja) * 1984-05-07 1985-11-21 Hitachi Ltd プラズマ処理装置
US5137701A (en) 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) * 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
US4735633A (en) 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JP2671009B2 (ja) 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5451378A (en) 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
DE19601436A1 (de) 1996-01-17 1997-07-24 Siegfried Dr Ing Straemke Verfahren und Vorrichtung zur Oberflächenbehandlung von Werkstücken
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5141714A (en) * 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH04136175A (ja) * 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
DE4319118A1 (de) * 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150003890A (ko) * 2012-04-26 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 포어라인에서 배기 가스를 처리하기 위한 장치
KR102104243B1 (ko) * 2012-04-26 2020-04-24 어플라이드 머티어리얼스, 인코포레이티드 포어라인에서 배기 가스를 처리하기 위한 장치

Also Published As

Publication number Publication date
TW346641B (en) 1998-12-01
EP0839930A1 (en) 1998-05-06
US6193802B1 (en) 2001-02-27
KR19980033191A (ko) 1998-07-25
DE69720644D1 (de) 2003-05-15
DE69720644T2 (de) 2003-10-30
JP4146919B2 (ja) 2008-09-10
JPH10144669A (ja) 1998-05-29
EP0839930B1 (en) 2003-04-09

Similar Documents

Publication Publication Date Title
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
US6194628B1 (en) Method and apparatus for cleaning a vacuum line in a CVD system
US8343317B2 (en) In situ cleaning of CVD System exhaust
KR101127714B1 (ko) 플라즈마 처리 장치 및 플라즈마 애싱 방법
JP2001140076A (ja) シリコン−酸素−炭素の堆積処理を行う基板処理チャンバの排気ラインから残存物を除去するために改善された方法
US6564810B1 (en) Cleaning of semiconductor processing chambers
JPH07335563A (ja) プラズマcvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee