KR100433488B1 - 트랜지스터 형성 방법 - Google Patents

트랜지스터 형성 방법 Download PDF

Info

Publication number
KR100433488B1
KR100433488B1 KR10-2001-0085190A KR20010085190A KR100433488B1 KR 100433488 B1 KR100433488 B1 KR 100433488B1 KR 20010085190 A KR20010085190 A KR 20010085190A KR 100433488 B1 KR100433488 B1 KR 100433488B1
Authority
KR
South Korea
Prior art keywords
oxide film
forming
film
thermal oxide
insulating
Prior art date
Application number
KR10-2001-0085190A
Other languages
English (en)
Other versions
KR20030054780A (ko
Inventor
박철수
Original Assignee
동부전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부전자 주식회사 filed Critical 동부전자 주식회사
Priority to KR10-2001-0085190A priority Critical patent/KR100433488B1/ko
Priority to US10/323,330 priority patent/US6689664B2/en
Priority to TW091136745A priority patent/TWI226667B/zh
Priority to CNB021584826A priority patent/CN1266762C/zh
Priority to JP2002378269A priority patent/JP2003224263A/ja
Publication of KR20030054780A publication Critical patent/KR20030054780A/ko
Application granted granted Critical
Publication of KR100433488B1 publication Critical patent/KR100433488B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 단채널 효과(short channel effect) 및 역단채널 효과(reverse short channel effect)를 저감시킬 수 있는 트랜지스터 형성방법에 관해 개시한다.
본 발명의 트랜지스터 형성 방법은 반도체 기판 상에 기판의 일부를 노출시키는 패드 산화막 및 실리콘 질화막을 차례로 형성하는 단계와, 패드 산화막 및 실리콘 질화막을 마스크로 하고 기판을 식각하여 트렌치를 형성하는 단계와, 트렌치 내부에 제 1열산화막 및 제 1열산화막 측면에 기둥 형상의 절연 스페이서를 차례로 형성하는 단계와, 제 1열산화막 및 절연 스페이서를 포함한 트렌치 내부를 매립시키는 절연 패턴을 형성하는 단계와, 실리콘 질화막, 절연 패턴 및 절연 스페이서를 식각하여 패드산화막을 노출시키는 단계와, 패드 산화막을 제거하는 단계와, 절연 스페이서 및 제 1열산화막을 제거하는 단계와, 잔류된 절연 패턴 양측 하부 기판에 소오스/드레인 및 엘디디를 차례로 형성하는 단계와, 소오스/드레인 및 엘디디를 포함한 기판 상에 제 2열산화막을 형성하는 단계와, 엘디디 사이에 채널 스톱층 및 그 하부에 펀치스톱층을 차례로 형성하는 단계와, 제 2열산화막을 포함한 트렌치 내부에 게이트 절연막 및 게이트를 차례로 형성하는 단계를 포함한다.

Description

트랜지스터 형성 방법{method for fabricating transistor}
본 발명은 반도체장치의 형성방법에 관한 것으로, 보다 상세하게는 단채널 효과(short channel effect) 및 역단채널 효과(reverse short channel effect)를 저감시킬 수 있는 트랜지스터 형성방법에 관한 것이다.
실리콘 웨이퍼에 형성되는 반도체 장치는 개개의 회로 패턴들을 전기적으로 분리하기 위한 소자 분리 영역을 포함한다. 상기 소자 분리 영역의 형성은 모든 제조 단계에 있어서 초기 단계의 공정으로서, 활성영역의 크기 및 후공정 단계의 공정마진을 좌우하게 되기 때문에 반도체 장치가 고집적화 되고 미세화 되어감에 따라 각 개별 소자의 크기를 축소시키는 것뿐만 아니라 소자 분리 영역의 축소에 대한 연구가 활발히 진행되고 있다.
일반적으로 반도체 장치의 제조에 널리 이용되는 로코스 소자분리 방법은 공정이 간단하다는 이점이 있지만 256M DRAM급 이상의 고집적화되는 반도체 소자에 있어서는 소자 분리 영역의 폭이 감소함에 따라 버즈비크(Bird' Beak)에 의한 펀치쓰루(Punch-Through)와 소자 분리막의 두께 감소로 인하여 그 한계점에 이르고 있다.
이에따라, 고집적화된 반도체 장치의 소자 분리에 적합한 기술로 트랜치를 이용한 소자 분리 방법, 예컨대 샬로우 트렌치 분리방법(Shallow Trench Isolation: 이하, STI)이 제안되었다.
그러나, 반도체소자의 집적도가 증가함에 따라, 게이트의 채널길이가 점점 감소되었다. 따라서, 이로 인해 단채널 효과 뿐만 아니라 역단채널효과도 심화되는 문제점이 있었다.
따라서, 본 발명의 목적은 단채널 효과 뿐만 아니라 역단채널 효과를 방지 할 수 있는 트랜지스터 형성 방법을 제공함에 있다.
도 1a 내지 도 1f는 본 발명에 따른 트랜지스터 형성을 보인 공정단면도.
상기 목적을 달성하기 위한 본 발명의 트랜지스터 형성 방법은 반도체 기판 상에 기판의 일부를 노출시키는 패드 산화막 및 실리콘 질화막을 차례로 형성하는 단계와, 패드 산화막 및 실리콘 질화막을 마스크로 하고 기판을 식각하여 트렌치를 형성하는 단계와, 트렌치 내부에 제 1열산화막 및 제 1열산화막 측면에 기둥 형상의 절연 스페이서를 차례로 형성하는 단계와, 제 1열산화막 및 절연 스페이서를 포함한 트렌치 내부를 매립시키는 절연 패턴을 형성하는 단계와, 실리콘 질화막, 절연 패턴 및 절연 스페이서를 식각하여 패드산화막을 노출시키는 단계와, 패드 산화막을 제거하는 단계와, 절연 스페이서 및 제 1열산화막을 제거하는 단계와, 잔류된 절연 패턴 양측 하부 기판에 소오스/드레인 및 엘디디를 차례로 형성하는 단계와, 소오스/드레인 및 엘디디를 포함한 기판 상에 제 2열산화막을 형성하는 단계와, 엘디디 사이에 채널 스톱층 및 그 하부에 펀치스톱층을 차례로 형성하는 단계와, 제 2열산화막을 포함한 트렌치 내부에 게이트 절연막 및 게이트를 차례로 형성하는 단계를 포함한 것을 특징으로 한다.
이하, 본 발명의 바람직한 실시예를 첨부된 도면을 참조하여 상세히 설명하면 다음과 같다.
도 1a 내지 도 1e는 본 발명에 따른 반도체소자의 격리 과정을 보인 공정단면도이다.
본 발명의 반도체소자의 격리방법은, 도 1a에 도시된 바와 같이, 반도체기판 (100) 상에 버퍼(buffer) 역할을 하는 패드 산화막(104)과 산화를 억제하는 제 1실리콘 질화막(106)을 순차적으로 증착한 후, 포토리쏘그라피 공정에 의해 제 1실리콘 질화막(106), 실리콘 산화막(104) 및 반도체 기판(100)을 소정 깊이만큼 식각하여 샬로우 트렌치(ST)를 형성한다. 이때, 상기 반도체기판(100)은 웰(미도시) 및 샬로우 트렌치(STI:Shallow Trench Isolation)방법에 의한 필드산화막(102)이 형성되어져 있다.
이어서, 상기 결과물 상에 열산화 공정을 진행시키어 상기 샬로우 트렌치 (ST) 내부에 제 1산화막(108)을 형성한다.
그 다음, 도 1b에 도시된 바와 같이, 제 1산화막이 형성된 기판 상에 LPCVD(Low Pressure Chemical Vapor Deposition) 공정을 진행시키어 상기 제 1산화막을 포함한 샬로우 트렌치(ST) 결과물 상에 제 2산화막을 성장시킨 다음, 상기 제 2산화막에 이방성 건식식각 공정을 진행하여 절연 스페이서(110)을 형성한다. 이때, 상기 절연 스페이서 (110)는 제 1산화막이 형성된 트렌치 내벽과 잔류된 패드 산화막 및 실리콘 질화막의 측면을 감싼 구조를 가진다.
또한, 상기 제 2산화막의 재질로는 제 1산화막에 대해 습식 식각 선택비가 높은 PSG를 사용한다. 상기 제 2산화막으로 PSG를 이용한 경우, 이 후에 진행되는 습식 식각 공정에서 50:1 HF 습식 용액에 의해 제 1산화막이 10Å식각될 때 PSG인 제 2산화막은 200Å 가량 식각된다.
이 후, 제 1실리콘 질화막(106) 상에 제 2실리콘 질화막을 증착한 후, 상기 제 2실리콘 질화막에 블랭킷 에치(blanket etch) 또는 화학적-기계적 연마(Chemical Mechanical Polishing) 공정을 진행시키어 절연 스페이서(110)를 포함한 트렌치(ST)를 매립시키는 절연 패턴(112)을 형성한다.
이어서, 도 1c에 도시된 바와 같이, 잔류된 제 1실리콘 질화막을 제거한 후, 상기 절연 패턴(112) 및 절연 스페이서(110)에 화학적-기계적 연마 또는 에치백(etch back) 공정을 진행하여 패드 산화막과 동일 평면이 되도록 평탄화시킨다.
그 다음, 상기 결과물 상에 이온주입 공정을 진행하여 소오스/드레인(120)(122)을 형성한다. 이때, 상기 소오스/드레인(120)(122)은 트렌치(ST) 바닥면으로부터 300∼1000Å 두께(a)만큼 위쪽 방향에 형성한다.
이후, 도 1d에 도시된 바와 같이, 상기 패드 산화막을 제거한다.
이어, H3PO4습식 용액을 이용하여 상기 트렌치(ST) 내부의 절연 스페이서 및 제 1산화막을 제거한 후, 다시 이온주입 공정을 진행하여 상기 절연 패턴(112) 양측 하부에 엘디디(Lightly Dopped Drain)(124)를 형성한다.
그 다음, 상기 결과의 기판 상에 열산화 공정에 의해 소오스/드레인 (120)(122) 및 엘디디(124)를 덮는 제 3산화막(126)을 형성한다.
이 후, 도 1e에 도시된 바와 같이, 뜨거운 H3PO4습식액을 이용하여 잔류된 절연 패턴을 제거하고 나서, 다시 이온주입 공정을 통해 상기 엘디디(124) 사이에 채널 스톱층(130)을 형성하고, 그 하부에 펀치스톱층(128)을 형성한다.
이어서, 도 1f에 도시된 바와 같이, 상기 제 3산화막(126)이 형성된 트렌치 (ST) 내부에 화학기상증착(Chemical Vapor Deposition) 공정에 의해 게이트 절연막(132) 및 게이트(134)를 차례로 형성한다.
그 다음, 상기 게이트(134)가 형성된 기판 상에 층간절연막(136)을 화학기상증착한 후, 포토리쏘그라피(photolithography) 공정에 의해 상기 층간절연막(136)을 식각하여 소오스/드레인(120)(122) 및 게이트(134)를 노출시키는 각각의 콘택홀(138)을 형성한다.
이 후, 상기 층간절연막(136) 상에 스퍼터(sputter) 공정에 의해 텅스텐 등의 제 1금속막을 증착한 후, 상기 제 1금속막에 화학적-기계적 연마 또는 에치백 공정을 진행하여 상기 각각의 콘택홀(138)을 덮는 각각의 도전 플러그(conductive plug)(140)를 형성한다. 이때, 상기 각각의 콘택홀(138) 및 도전플러그(140) 사이에는 Ti/TiN막(미도시)을 개재시킨다. 상기 Ti/TiN막은 콘택홀과 도전 플러그 간의 접착력을 강화시킬 뿐만 아니라,이 후의 포토 공정에서 빛 반사를 줄일 수 있는 반사방지막 역할을 한다.
이어, 상기 도전 플러그(140)를 포함한 층간절연막(136) 상에 스퍼터 공정에 의해 알루미늄 등의 제 2금속막을 증착한 후, 상기 포토리쏘그라피 공정에 의해 상기 제 2금속막을 식각하여 상기 도전 플러그(140)과 연결되는 금속배선(142)을 형성한다.
이상에서와 같이, 본 발명에서는 트렌치 구조의 게이트 양측에 소오스/드레인 및 그 하부에 엘디디를 형성하고, 상기 엘디디 사이에 채널 스톱층과 그 하부에 펀치스톱층을 각각 형성함으로써, 단채널 효과 뿐만 아니라 역단채널 효과를 방지 할 수 있다.
기타, 본 발명은 그 요지를 일탈하지 않는 범위에서 다양하게 변경하여 실시할 수 있다.

Claims (3)

  1. 반도체 기판 상에 상기 기판의 일부를 노출시키는 패드 산화막 및 실리콘 질화막을 차례로 형성하는 단계와,
    상기 패드 산화막 및 실리콘 질화막을 마스크로 하고 상기 기판을 식각하여 트렌치를 형성하는 단계와,
    상기 트렌치 내부에 제 1열산화막 및 상기 제 1열산화막 측면에 기둥 형상의 절연 스페이서를 차례로 형성하는 단계와,
    상기 제 1열산화막 및 절연 스페이서를 포함한 트렌치 내부를 매립시키는 절연 패턴을 형성하는 단계와,
    상기 실리콘 질화막, 상기 절연 패턴 및 상기 절연 스페이서를 식각하여 상기 패드산화막을 노출시키는 단계와,
    상기 패드 산화막을 제거하는 단계와,
    상기 절연 스페이서 및 제 1열산화막을 제거하는 단계와,
    상기 잔류된 절연 패턴 양측 하부 기판에 소오스/드레인을 형성하고 나서, 상기 제 1열산화막을 포함한 트렌치 바닥면으로부터 300∼1000Å 두께 만큼 위쪽 방향에 엘디디를 형성하는 단계와,
    상기 소오스/드레인 및 엘디디를 포함한 기판 상에 제 2열산화막을 형성하는 단계와,
    상기 엘디디 사이에 채널 스톱층 및 그 하부에 펀치스톱층을 차례로 형성하는 단계와,
    상기 제 2열산화막을 포함한 트렌치 내부에 게이트 절연막 및 게이트를 차례로 형성하는 단계를 포함한 것을 특징으로 하는 트랜지스터 형성 방법.
  2. 제 1항에 있어서, 상기 게이트 절연막 및 게이트를 형성한 후에,
    상기 결과물 상에 상기 소오스/드레인 및 게이트를 노출시키는 각각의 콘택홀을 가진 층간절연막을 형성하는 단계와,
    상기 콘택홀을 매립시키는 각각의 도전 플러그를 형성하는 단계와,
    상기 층간절연막 상에 상기 도전플러그와 연결되는 금속배선을 형성하는 단계를 추가하는 것을 특징으로 하는 트랜지스터 형성 방법.
  3. 삭제
KR10-2001-0085190A 2001-12-26 2001-12-26 트랜지스터 형성 방법 KR100433488B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR10-2001-0085190A KR100433488B1 (ko) 2001-12-26 2001-12-26 트랜지스터 형성 방법
US10/323,330 US6689664B2 (en) 2001-12-26 2002-12-18 Transistor fabrication method
TW091136745A TWI226667B (en) 2001-12-26 2002-12-19 Transistor fabrication method
CNB021584826A CN1266762C (zh) 2001-12-26 2002-12-25 晶体管形成方法
JP2002378269A JP2003224263A (ja) 2001-12-26 2002-12-26 トランジスタの形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0085190A KR100433488B1 (ko) 2001-12-26 2001-12-26 트랜지스터 형성 방법

Publications (2)

Publication Number Publication Date
KR20030054780A KR20030054780A (ko) 2003-07-02
KR100433488B1 true KR100433488B1 (ko) 2004-05-31

Family

ID=19717617

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0085190A KR100433488B1 (ko) 2001-12-26 2001-12-26 트랜지스터 형성 방법

Country Status (5)

Country Link
US (1) US6689664B2 (ko)
JP (1) JP2003224263A (ko)
KR (1) KR100433488B1 (ko)
CN (1) CN1266762C (ko)
TW (1) TWI226667B (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100442780B1 (ko) * 2001-12-24 2004-08-04 동부전자 주식회사 반도체 소자의 트랜지스터 제조 방법
US6756619B2 (en) * 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
KR100507856B1 (ko) * 2002-12-30 2005-08-17 주식회사 하이닉스반도체 Mos트랜지스터 제조방법
US20050263801A1 (en) * 2004-05-27 2005-12-01 Jae-Hyun Park Phase-change memory device having a barrier layer and manufacturing method
US7482616B2 (en) * 2004-05-27 2009-01-27 Samsung Electronics Co., Ltd. Semiconductor devices having phase change memory cells, electronic systems employing the same and methods of fabricating the same
JP2006173429A (ja) * 2004-12-17 2006-06-29 Elpida Memory Inc 半導体装置の製造方法
KR100648205B1 (ko) * 2005-06-13 2006-11-23 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR100631960B1 (ko) * 2005-09-16 2006-10-04 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
CN101652833B (zh) * 2007-04-05 2011-11-23 住友电气工业株式会社 半导体器件及其制造方法
CN101030602B (zh) * 2007-04-06 2012-03-21 上海集成电路研发中心有限公司 一种可减小短沟道效应的mos晶体管及其制作方法
JP5280716B2 (ja) * 2007-06-11 2013-09-04 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8072035B2 (en) 2007-06-11 2011-12-06 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
JP2009054946A (ja) * 2007-08-29 2009-03-12 Seiko Instruments Inc 半導体装置とその製造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07183392A (ja) * 1993-12-24 1995-07-21 Seiko Epson Corp 半導体装置及びその製造方法
JP2000156499A (ja) * 1998-11-20 2000-06-06 Sanyo Electric Co Ltd 半導体装置とその製造方法
KR20000034640A (ko) * 1998-11-30 2000-06-26 김영환 모스 트랜지스터 제조방법
KR20010064434A (ko) * 1999-12-29 2001-07-09 박종섭 트렌치형 게이트전극 구조의 트랜지스터 및 그 제조방법
US6309933B1 (en) * 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07321212A (ja) 1994-05-24 1995-12-08 Sony Corp チャネルストップ拡散層の形成方法
US5545579A (en) * 1995-04-04 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of fabricating a sub-quarter micrometer channel field effect transistor having elevated source/drain areas and lightly doped drains
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US5981346A (en) * 1999-03-17 1999-11-09 National Semiconductor Corporation Process for forming physical gate length dependent implanted regions using dual polysilicon spacers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07183392A (ja) * 1993-12-24 1995-07-21 Seiko Epson Corp 半導体装置及びその製造方法
JP2000156499A (ja) * 1998-11-20 2000-06-06 Sanyo Electric Co Ltd 半導体装置とその製造方法
KR20000034640A (ko) * 1998-11-30 2000-06-26 김영환 모스 트랜지스터 제조방법
KR20010064434A (ko) * 1999-12-29 2001-07-09 박종섭 트렌치형 게이트전극 구조의 트랜지스터 및 그 제조방법
US6309933B1 (en) * 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors

Also Published As

Publication number Publication date
JP2003224263A (ja) 2003-08-08
TW200411779A (en) 2004-07-01
TWI226667B (en) 2005-01-11
CN1428845A (zh) 2003-07-09
CN1266762C (zh) 2006-07-26
US20030119290A1 (en) 2003-06-26
US6689664B2 (en) 2004-02-10
KR20030054780A (ko) 2003-07-02

Similar Documents

Publication Publication Date Title
KR100433488B1 (ko) 트랜지스터 형성 방법
KR100265773B1 (ko) 반도체장치의 접촉창의 제조방법
US6281069B1 (en) Method for forming deep trench capacitor under a shallow trench isolation structure
US20050164446A1 (en) Method for manufacturing single-sided buried strap in semiconductor devices
US7649218B2 (en) Lateral MOS transistor and method for manufacturing thereof
US6156636A (en) Method of manufacturing a semiconductor device having self-aligned contact holes
US6096623A (en) Method for forming shallow trench isolation structure
US7612433B2 (en) Semiconductor device having self-aligned contact
KR101032115B1 (ko) 반도체 소자의 플러그 형성방법
KR100356776B1 (ko) 반도체소자의 자기정렬 콘택 구조체를 형성하는 방법
KR100532770B1 (ko) 반도체 소자의 제조 방법
KR100571414B1 (ko) 반도체 소자의 콘택 형성 방법
KR100520514B1 (ko) 반도체 소자 및 그의 제조방법
KR100361763B1 (ko) 반도체소자의소자분리막제조방법
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR100280515B1 (ko) 고집적 반도체소자의 제조방법
KR19990011893A (ko) 격리영역 형성방법
KR20050119412A (ko) 누설 전류를 방지할 수 있는 얕은 트렌치 소자 분리막 및그 제조방법
KR20030052662A (ko) 반도체소자의 분리방법
KR20050056353A (ko) 반도체 소자의 랜딩 플러그 폴리 형성방법
KR20040089398A (ko) 반도체소자의 콘택홀 형성방법
KR20030001972A (ko) 반도체 소자의 제조방법
KR20030000824A (ko) 반도체소자의 커패시터 제조방법
KR19980029390A (ko) 반도체 소자의 제조방법
KR20040055164A (ko) 랜딩 플러그용 콘택 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120417

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee