KR100423953B1 - Chemical Vapor Deposition Apparatus - Google Patents

Chemical Vapor Deposition Apparatus Download PDF

Info

Publication number
KR100423953B1
KR100423953B1 KR10-2001-0013995A KR20010013995A KR100423953B1 KR 100423953 B1 KR100423953 B1 KR 100423953B1 KR 20010013995 A KR20010013995 A KR 20010013995A KR 100423953 B1 KR100423953 B1 KR 100423953B1
Authority
KR
South Korea
Prior art keywords
gas
chemical vapor
vapor deposition
deposition apparatus
shower head
Prior art date
Application number
KR10-2001-0013995A
Other languages
Korean (ko)
Other versions
KR20020074242A (en
Inventor
김재호
박상준
Original Assignee
디지웨이브 테크놀러지스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 디지웨이브 테크놀러지스 주식회사 filed Critical 디지웨이브 테크놀러지스 주식회사
Priority to KR10-2001-0013995A priority Critical patent/KR100423953B1/en
Priority to CNB2006100745221A priority patent/CN100540734C/en
Priority to JP2002076975A priority patent/JP3924483B2/en
Priority to US10/102,108 priority patent/US6886491B2/en
Priority to CNB021074631A priority patent/CN1302152C/en
Publication of KR20020074242A publication Critical patent/KR20020074242A/en
Application granted granted Critical
Publication of KR100423953B1 publication Critical patent/KR100423953B1/en
Priority to US11/080,237 priority patent/US7410676B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Abstract

본 발명은 플라즈마를 샤워헤드(showerhead) 내부에서 발생시켜 샤워헤드를 통해 챔버에 분사함으로써 저온에서 빠른 증착속도를 확보하고 우수한 스텝커버리지 및 막질 특성을 갖는 박막을 증착시키는 화학기상증착장치에 관한 것이다.The present invention relates to a chemical vapor deposition apparatus for generating a plasma inside the showerhead and spraying the chamber through the showerhead to secure a fast deposition rate at low temperatures and to deposit a thin film having excellent step coverage and film quality characteristics.

본 발명의 화학기상증착장치는, 챔버(201)의 상부 일측에는 외부 RF전원(210)과 접속된 RF전원 연결부(209)가 설치되어 있고, 챔버(201)의 내부에는 챔버의 상부 내측면과 일정간격 이격되고 하부에 위치하는 샤워헤드(206)와 일정간격 이격되게 RF전극판(214)이 설치되어 있으며, 외부 RF전원(210)이 RF전극판(214)에 공급되도록 RF전원 연결부(209)와 RF전극판(214)은 RF로드(211)에 의해 서로 접속되어 있고, RF전극판(214)에 인가되는 RF전원(210)에 의해 RF전극판(214)과 샤워헤드(206)의 이격 간격에 형성되는 버퍼부(217)에서 플라즈마가 발생하여 버퍼부(217)의 하부에 위치하는 샤워헤드(206)를 통해 반응가스 레디칼을 분사하여 줌으로써 웨이퍼 또는 기판(207)에 흡착된 원료가스와 반응가스의 반응을 보다 활성화시킨다.In the chemical vapor deposition apparatus of the present invention, an RF power connection part 209 connected to an external RF power source 210 is installed at one upper side of the chamber 201, and an inner inner surface of the chamber is provided inside the chamber 201. The RF electrode plate 214 is installed to be spaced apart and spaced apart from the shower head 206 positioned at a predetermined interval, and the RF power connecting portion 209 to supply the external RF power 210 to the RF electrode plate 214. ) And the RF electrode plate 214 are connected to each other by the RF rod 211, and the RF electrode plate 214 and the shower head 206 by the RF power supply 210 applied to the RF electrode plate 214. Raw material gas adsorbed to the wafer or substrate 207 by generating plasma from the buffer unit 217 formed at a spaced interval and spraying reaction gas radicals through the shower head 206 positioned below the buffer unit 217. And the reaction of the reaction gas is more activated.

Description

화학기상증착장치{Chemical Vapor Deposition Apparatus}Chemical Vapor Deposition Apparatus {Chemical Vapor Deposition Apparatus}

본 발명은 화학기상증착장치(Chemical Vapor Deposition Apparatus)에 관한 것이며, 특히, 플라즈마를 샤워헤드(showerhead) 내부에서 발생시키거나 또는 외부에서 발생된 플라즈마를 샤워헤드를 통하여 챔버에 분사함으로써 공정가스의 시분할 공급시에 반응가스의 레디칼(radical)을 사용하는 화학기상증착장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a chemical vapor deposition apparatus, and more particularly, to time-division of process gas by generating plasma inside a showerhead or spraying plasma generated outside from a showerhead to a chamber. A chemical vapor deposition apparatus using a radical of a reaction gas at the time of supply.

일반적으로 저온에서 우수한 스텝커버리지(step coverage) 및 막질 특성을 확보하기 위하여 원료가스 및 반응가스를 시분할 공급하는 방식을 취하고 있다. 여기에서 종래의 공정가스를 시분할 공급하는 화학기상증착장치를 도 1a 및 도 1b를 통해 간략히 설명하면 다음과 같다.In general, in order to secure excellent step coverage and film quality characteristics at low temperature, a time-division supply of source gas and reaction gas is performed. Herein, a chemical vapor deposition apparatus for time-divisionally supplying a conventional process gas will be briefly described with reference to FIGS. 1A and 1B.

도 1a는 공정가스를 시분할 공급하는 종래의 화학기상증착장치의 구성요소들을 나타낸 개략도이다. 도 1a에 보이듯이, 종래의 화학기상증착장치는 하부에 배기구(100)가 형성된 챔버(101 ; chamber)와, 상기 챔버(101)의 중앙 내부로 관통하게 상면에 장착되는 적어도 하나 이상의 원료가스 주입관(102), 적어도 하나 이상의 반응가스 주입관(103) 및 적어도 하나 이상의 퍼지가스 주입관(104)과, 다수의 분사홀(105)이 형성되어 공정가스를 분사하는 샤워헤드(106)와, 상기 샤워헤드(106)에 의해 분사되는 공정가스에 의해 박막이 증착되는 웨이퍼 또는 기판(107 ; 이하, '기판'이라 칭함)을 지지함과 동시에 소정의 열원을 제공하는 히터(108)로 구성되어 있다.Figure 1a is a schematic diagram showing the components of a conventional chemical vapor deposition apparatus for time-division supply of process gas. As shown in FIG. 1A, a conventional chemical vapor deposition apparatus includes a chamber 101 having an exhaust port 100 formed at a lower portion thereof, and at least one source gas injection mounted on an upper surface of the chamber 101 to penetrate into the center of the chamber 101. Tube 102, at least one reaction gas injection tube 103 and at least one purge gas injection tube 104, a plurality of injection holes 105 is formed shower head 106 to inject the process gas, And a heater 108 that supports a wafer or substrate 107 (hereinafter referred to as a substrate) on which a thin film is deposited by the process gas injected by the shower head 106 and provides a predetermined heat source. have.

상기와 같이 구성된 종래의 화학기상증착장치를 사용하여 기판(107)에 박막을 형성하기 위해서는, 적어도 하나 이상의 원료가스 주입관(102)으로부터 유입된 원료가스를 샤워헤드(106)를 통해 소정시간 분사하여 기판(107)에 흡착시킨 후, 적어도 하나 이상의 퍼지가스 주입관(104)으로부터 퍼지가스를 소정시간 유입시켜 샤워헤드(106) 및 챔버(101)에 잔류하는 원료가스를 퍼지(purge)하여 배기구(100)를 통하여 배기시킨다. 그런 다음, 적어도 하나 이상의 반응가스 주입관(103)을 통해 소정시간 반응가스를 샤워헤드(106)를 통해 기판(107)에 분사하여 줌으로써 기판(107)에 흡착된 원료가스와 반응가스가 소정의 반응을 거쳐 박막을 형성하게 된다. 그리고 다시 원료가스를 분사하기 전에 소정시간 퍼지가스를 사용하여 샤워헤드(106) 및 챔버(101)에 잔류하는 반응가스 및 반응부산물 가스를 퍼지하여 배기시켜 준다. 상기와 같이 원료가스 분사, 원료가스 퍼지, 반응가스 분사 및 반응가스 퍼지의 과정을 반복함으로써 기판(107)에 박막을 형성하는 것이다.In order to form a thin film on the substrate 107 using the conventional chemical vapor deposition apparatus configured as described above, the source gas introduced from the at least one source gas injection pipe 102 is sprayed through the shower head 106 for a predetermined time. After adsorbing to the substrate 107, purge gas is introduced from the at least one purge gas injection pipe 104 for a predetermined time to purge the raw material gas remaining in the shower head 106 and the chamber 101 to exhaust the exhaust gas. Exhaust through (100). Then, by spraying the reaction gas to the substrate 107 through the shower head 106 for a predetermined time through the at least one reaction gas injection pipe 103, the source gas and the reaction gas adsorbed on the substrate 107 Through the reaction, a thin film is formed. The reaction gas and the reaction by-product gas remaining in the shower head 106 and the chamber 101 are purged and exhausted using the purge gas for a predetermined time before the raw material gas is injected again. As described above, the thin film is formed on the substrate 107 by repeating the process of source gas injection, source gas purge, reaction gas injection, and reaction gas purge.

그러나, 상기와 같은 종래의 화학기상증착장치를 이용한 기술은 증착속도가 매우 낮은 단점이 있을 뿐만 아니라, 반도체양산 공정 적용시 반도체 제조원가를 상승시키는 요인이 된다.However, the technique using the conventional chemical vapor deposition apparatus as described above not only has a disadvantage that the deposition rate is very low, but also increases the semiconductor manufacturing cost when the semiconductor mass production process is applied.

도 1b는 공정가스를 시분할 공급하는 종래의 플라즈마 화학기상증착장치의 구성요소들을 나타낸 개략도로서, 도 1a에 도시된 화학기상증착장치의 단점을 보완하도록 구성되어 있다. 즉, 도 1b에 보이듯이, 종래의 플라즈마 화학기상증착장치는 샤워헤드(106)에 RF전원 연결부(109)를 설치하여 외부 RF전원(110)을 연결하고, RF전원(110)이 인가되는 샤워헤드(106)를 전기적으로 절연시키기 위하여 샤워헤드(106)의 상부에 절연부(111)를 설치하여 챔버(101)의 내부에서 직접 플라즈마가 발생하도록 구성되어 있다.FIG. 1B is a schematic view showing components of a conventional plasma chemical vapor deposition apparatus for time-divisionally supplying process gas, and is configured to compensate for the disadvantages of the chemical vapor deposition apparatus shown in FIG. 1A. That is, as shown in FIG. 1B, the conventional plasma chemical vapor deposition apparatus installs an RF power connection unit 109 in the shower head 106 to connect an external RF power source 110, and a shower to which the RF power source 110 is applied. In order to electrically insulate the head 106, an insulating part 111 is provided on the shower head 106 to generate plasma directly inside the chamber 101.

즉, 도 1b에 도시된 플라즈마 화학기상증착장치는 도 1a에 도시된 화학기상증착장치와 동일하게 원료가스 분사, 원료가스 퍼지, 반응가스 분사 및 반응가스 퍼지의 과정을 반복하는 일반적인 공정가스의 시분할 공급방식을 취하되, 반응가스 분사시에 직접 챔버(101)의 내부에서 플라즈마를 발생시켜 기판(107)에 흡착된 원료가스와 반응가스 플라즈마의 반응을 유도하여 보다 낮은 온도에서 빠른 반응속도를 확보하도록 구성한 것이다.That is, the plasma chemical vapor deposition apparatus shown in FIG. 1B is a time division of a general process gas which repeats the process of source gas injection, source gas purge, reaction gas injection, and reaction gas purge in the same manner as the chemical vapor deposition apparatus shown in FIG. 1A. While supplying, the plasma is generated directly inside the chamber 101 during the reaction gas injection to induce a reaction between the source gas adsorbed on the substrate 107 and the reaction gas plasma to secure a fast reaction rate at a lower temperature. It is configured to

그러나, 도 1b에 도시된 직접 플라즈마 방식은 도 1a에서 앞서 설명한 방식에 비해 상대적으로 낮은 증착온도에서 다소 빠른 증착속도를 확보할 수는 있으나, 플라즈마 발생 초기의 아크(arc)발생과 이온충돌(Ion bombarding) 및 이온주입(IonImplant)에 의한 기판 및, 기판에 형성된 회로소자에 손상을 초래하여 수율(yield)을 저하시키는 단점이 있다.However, although the direct plasma method illustrated in FIG. 1B can secure a somewhat faster deposition rate at a relatively lower deposition temperature than the method described above with reference to FIG. 1A, arc generation and ion collision (Ion) at the initial stage of plasma generation are achieved. There is a disadvantage of lowering the yield by causing damage to the substrate and the circuit elements formed on the substrate by bombarding and ion implantation.

따라서, 본 발명은 앞서 설명한 바와 같은 종래기술의 문제점을 해결하기 위하여 안출된 것으로서, 플라즈마를 샤워헤드 내부에서 발생시키거나 또는 외부에서 발생된 플라즈마를 샤워헤드를 통하여 챔버에 분사함으로써 공정가스의 시분할 공급시에 반응가스 레디칼을 사용하여 기판 및 기판에 형성된 회로소자의 손상을 유발하지 않고, 저온에서 빠른 증착속도를 확보하고 우수한 스텝커버리지 및 막질 특성을 갖는 박막을 증착시키는 화학기상증착장치를 제공하는 데 그 목적이 있다.Accordingly, the present invention has been made to solve the problems of the prior art as described above, the time-division supply of the process gas by generating a plasma inside the shower head or by spraying the plasma generated from the outside to the chamber through the shower head To provide a chemical vapor deposition apparatus using a reactive gas radical in order to ensure a fast deposition rate at a low temperature and to deposit a thin film having excellent step coverage and film quality characteristics without causing damage to the substrate and circuit elements formed on the substrate. Its purpose is.

도 1a는 공정가스를 시분할 공급하는 종래의 화학기상증착장치의 구성요소들을 나타낸 개략도이고,Figure 1a is a schematic diagram showing the components of a conventional chemical vapor deposition apparatus for time-division supply of process gas,

도 1b는 공정가스를 시분할 공급하는 종래의 플라즈마 화학기상증착장치의 구성요소들을 나타낸 개략도이고,Figure 1b is a schematic diagram showing the components of a conventional plasma chemical vapor deposition apparatus for time-division supply of process gas,

도 2는 본 발명의 제1 실시예에 따른 공정가스를 시분할 공급하는 레디칼 화학기상증착장치의 구성요소들을 나타낸 개략도이고,2 is a schematic diagram showing the components of a radical chemical vapor deposition apparatus for time-divisionally supplying a process gas according to a first embodiment of the present invention;

도 3은 도 2에 도시된 화학기상증착장치의 샤워헤드 내부에서 플라즈마를 발생시켜 공정가스와 레디칼을 시분할 공급하는 과정을 나타낸 그래프이고,3 is a graph illustrating a process of time-divisionally supplying a process gas and radicals by generating a plasma in a shower head of the chemical vapor deposition apparatus shown in FIG. 2;

도 4는 도 2에 도시된 화학기상증착장치의 샤워헤드 내부에서 플라즈마를 발생시켜 공정가스와 레디칼을 시분할 공급하되 퍼지가스를 상시 공급하는 과정을 나타낸 그래프이고,FIG. 4 is a graph illustrating a process of timely supplying process gas and radicals by supplying plasma within the shower head of the chemical vapor deposition apparatus shown in FIG.

도 5는 본 발명의 제2 실시예에 따른 공정가스를 시분할 공급하는 외부 플라즈마 발생장치를 갖는 화학기상증착장치의 구성요소들을 나타낸 개략도이고,5 is a schematic diagram showing the components of a chemical vapor deposition apparatus having an external plasma generator for time-divisionally supplying process gas according to a second embodiment of the present invention.

도 6은 도 5에 도시된 화학기상증착장치에서 외부 플라즈마 발생장치를 사용하여 공정가스와 레디칼을 시분할 공급하는 과정을 나타낸 그래프이며,FIG. 6 is a graph illustrating a process of time-divisionally supplying process gas and radicals using an external plasma generator in the chemical vapor deposition apparatus illustrated in FIG. 5.

도 7은 도 5에 도시된 화학기상증착장치에서 외부 플라즈마 발생장치를 사용하여 공정가스와 레디칼을 시분할 공급하되 퍼지가스를 상시 공급하는 과정을 나타낸 그래프이다.FIG. 7 is a graph illustrating a process of supplying a process gas and radicals by time-divisionally supplying purge gas using an external plasma generator in the chemical vapor deposition apparatus illustrated in FIG. 5.

♠ 도면의 주요부분에 대한 부호의 설명 ♠♠ Explanation of symbols on the main parts of the drawing ♠

206 : 샤워헤드 209 : RF전원 연결부206: shower head 209: RF power connection

210 : RF전원 211 : RF로드210: RF power supply 211: RF load

213 : RF로드 절연부 214 : RF전극판213: RF rod insulation 214: RF electrode plate

217 : 버퍼부 510 : 플라즈마 발생장치217: buffer unit 510: plasma generator

511 : 플라즈마 유도관 512 : 버퍼부511: plasma induction tube 512: buffer portion

위와 같은 목적을 달성하기 위한 본 발명에 따르면,According to the present invention for achieving the above object,

하부에 배기구가 형성된 챔버(chamber)와, 상기 챔버의 내부로 공정가스를 각각 공급하는 원료가스 주입관과 반응가스 주입관 및 퍼지가스 주입관과, 다수의 분사홀이 형성되어 상기 주입관들을 통해 공급되는 공정가스를 분사하는 샤워헤드 및, 상기 샤워헤드에 의해 분사되는 공정가스에 의해 박막이 증착되는 웨이퍼 또는 기판을 지지함과 동시에 소정의 열원을 제공하는 히터를 포함하는 화학기상증착장치에 있어서,A chamber having an exhaust port at a lower portion thereof, a source gas injection tube, a reaction gas injection tube, and a purge gas injection tube for supplying process gas into the chamber, respectively, and a plurality of injection holes are formed through the injection tubes. In the chemical vapor deposition apparatus comprising a shower head for injecting the supplied process gas, and a heater for supporting a wafer or substrate on which a thin film is deposited by the process gas injected by the shower head and providing a predetermined heat source ,

상기 챔버의 상부 일측에는 외부 RF전원과 접속된 RF전원 연결부가 설치되어 있고, 상기 챔버의 내부에는 챔버의 상부 내측면과 일정간격 이격되고 하부에 위치하는 상기 샤워헤드와 일정간격 이격되게 RF전극판이 설치되어 있으며, 상기 외부RF전원이 상기 RF전극판에 공급되도록 상기 RF전원 연결부와 RF전극판은 RF로드에 의해 서로 접속되어 있고, 상기 RF전극판에 인가되는 RF전원에 의해 상기 RF전극판과 샤워헤드의 이격 간격에 형성되는 버퍼부에서 플라즈마가 발생하여 상기 버퍼부의 하부에 위치하는 상기 샤워헤드를 통해 반응가스 레디칼을 분사하여 줌으로써 웨이퍼 또는 기판에 흡착된 원료가스와 반응가스의 반응을 보다 활성화시키는 것을 특징으로 한다.An RF power connection part connected to an external RF power source is installed at an upper side of the chamber, and an inside of the chamber is provided with an RF electrode plate spaced apart from the upper inner surface of the chamber and spaced apart from the shower head located below. The RF power supply connecting portion and the RF electrode plate are connected to each other by an RF rod so that the external RF power is supplied to the RF electrode plate, and the RF electrode plate is connected to the RF electrode plate by an RF power applied to the RF electrode plate. Plasma is generated in the buffer part formed at the spacing interval of the shower head to inject the reaction gas radical through the shower head located below the buffer part to activate the reaction of the source gas adsorbed on the wafer or the substrate and the reaction gas more. It is characterized by.

또한, 위와 같은 목적을 달성하기 위한 본 발명에 따르면,In addition, according to the present invention for achieving the above object,

하부에 배기구가 형성된 챔버(chamber)와, 상기 챔버의 내부로 공정가스를 각각 공급하는 원료가스 주입관과 반응가스 주입관 및 퍼지가스 주입관과, 다수의 분사홀이 형성되어 상기 주입관들을 통해 공급되는 공정가스를 분사하는 샤워헤드 및, 상기 샤워헤드에 의해 분사되는 공정가스에 의해 박막이 증착되는 웨이퍼 또는 기판을 지지함과 동시에 소정의 열원을 제공하는 히터를 포함하는 화학기상증착장치에 있어서,A chamber having an exhaust port at a lower portion thereof, a source gas injection tube, a reaction gas injection tube, and a purge gas injection tube for supplying process gas into the chamber, respectively, and a plurality of injection holes are formed through the injection tubes. In the chemical vapor deposition apparatus comprising a shower head for injecting the supplied process gas, and a heater for supporting a wafer or substrate on which a thin film is deposited by the process gas injected by the shower head and providing a predetermined heat source ,

상기 챔버의 상부 일측에는 외부 플라즈마 발생장치가 상기 챔버와 연통하게 유도관에 의해 연결되어 있고, 상기 챔버의 상부 내측면과 일정간격 이격되게 그 하부에 위치하는 상기 샤워헤드의 상부에는 버퍼부가 형성되어 있으며, 상기 외부 플라즈마 발생장치에서 발생된 플라즈마를 상기 플라즈마 유도관을 통해 상기 버퍼부에 주입하고 상기 샤워헤드를 통해 반응가스 레디칼을 분사하여 줌으로써 웨이퍼 또는 기판에 흡착된 원료가스와 반응가스의 반응을 보다 활성화시키는 것을 특징으로 한다.An external plasma generator is connected to the upper side of the chamber by an induction tube in communication with the chamber, and a buffer part is formed on an upper portion of the shower head positioned below the upper inner surface of the chamber at a predetermined distance. And injecting the plasma generated by the external plasma generator into the buffer unit through the plasma induction pipe and spraying the reaction gas radical through the shower head to react the source gas and the reaction gas adsorbed on the wafer or the substrate. It is characterized by further activating.

아래에서, 본 발명에 따른 화학기상증착장치의 양호한 실시예들을 첨부한 도면을 참조로 하여 상세히 설명하겠다.Hereinafter, with reference to the accompanying drawings, preferred embodiments of the chemical vapor deposition apparatus according to the present invention will be described in detail.

<제1 실시예><First Embodiment>

도면에서, 도 2는 본 발명의 제1 실시예에 따른 공정가스를 시분할 공급하는 레디칼 화학기상증착장치의 구성요소들을 나타낸 개략도이다.2 is a schematic view showing the components of a radical chemical vapor deposition apparatus for time-divisionally supplying a process gas according to a first embodiment of the present invention.

도 2에 보이듯이, 본 발명의 화학기상증착장치는 원료가스 분사, 원료가스 퍼지, 반응가스 분사 및 반응가스 퍼지의 시분할 공정가스 공급을 통해 박막을 형성하되, 반응가스 분사시에 샤워헤드의 내부에서 플라즈마를 발생시켜 분사할 수 있도록 구성되어 있다.As shown in FIG. 2, the chemical vapor deposition apparatus of the present invention forms a thin film by supplying source gas injection, source gas purge, reaction gas injection, and time division process gas supply of the reaction gas purge, and the inside of the shower head during the reaction gas injection. It is configured to generate and spray a plasma in the.

도 2에 도시된 바와 같이 본 발명의 화학기상증착장치는 챔버(201)의 상부에 챔버(201)의 일부분인 상부 플레이트(212)를 설치하고, 이런 상부 플레이트(212)의 일측에 외부 RF전원(210)과 연결할 수 있는 RF전원 연결부(209)를 설치한다. RF전원 연결부(209)는 RF로드(211)와 연결되며, RF로드(211)는 그 둘레에 형성되는 RF로드 절연부(213)에 의해 상부 플레이트(212)와 전기적으로 절연된다.As shown in FIG. 2, the chemical vapor deposition apparatus of the present invention installs an upper plate 212 which is a part of the chamber 201 on the upper part of the chamber 201, and an external RF power source on one side of the upper plate 212. Install an RF power connection 209 that can be connected to 210. The RF power connection 209 is connected to the RF rod 211, and the RF rod 211 is electrically insulated from the upper plate 212 by the RF rod insulation 213 formed around the RF rod 211.

상기 RF로드(211)는 상부 플레이트(212)의 내부 일측에 설치되는 RF전극판(214)과 연결되고, 이런 RF전극판(214)에 의해 샤워헤드(206)의 내부에서 플라즈마가 발생된다. 이 때, RF전극판(214)을 전기적으로 절연하기 위하여 RF전극판(214)의 상부, 즉 RF전극판(214)과 상부 플레이트(212)의 사이에는 상부 절연부(215)를 설치한다. 또한, RF전극판(214)의 하부에는 하부 절연부(216)를 설치하되, 하부 절연부(216)에 의해 RF전극판(214)과 그 하부에 위치하는 샤워헤드(206)의 사이에 소정의 간격을 갖는 버퍼부(217)가 구획되도록 한다. 즉, 하부 절연부(216)는 RF전극판(214)이 상부 플레이트(212)의 내측면과는 절연시키면서, RF전극판(214)의 하부에 위치하는 샤워헤드(206)와는 소정의 간격을 갖도록 한다.The RF rod 211 is connected to the RF electrode plate 214 installed at one side of the upper plate 212, and the plasma is generated inside the shower head 206 by the RF electrode plate 214. At this time, in order to electrically insulate the RF electrode plate 214, an upper insulation portion 215 is provided on the upper portion of the RF electrode plate 214, that is, between the RF electrode plate 214 and the upper plate 212. In addition, a lower insulator 216 may be provided below the RF electrode plate 214, and the lower insulator 216 may be provided between the RF electrode plate 214 and the shower head 206 positioned under the RF insulator 216. The buffer unit 217 having an interval of 구획 is partitioned. That is, the lower insulation portion 216 may be spaced apart from the shower head 206 positioned below the RF electrode plate 214 while the RF electrode plate 214 is insulated from the inner surface of the upper plate 212. Have it.

이렇게 하부 절연부(216)에 의해 소정의 간격으로 구획된 하부에는 샤워헤드(206)를 설치하는데, 이런 샤워헤드(206)에는 공정가스를 고르게 분사하기 위한 다수의 분사홀(205)이 형성되어 있다. 또한, 샤워헤드(206)는 상부 플레이트(212)와 연결되어 전기적으로 그라운드 처리된다. 이와 같은 형태로 구성된 본 발명은 상부 플레이트(212)에 설치된 RF전극판(214)과 하부 절연부(216) 및 샤워헤드(206)에 의해 소정의 간격으로 구획된 버퍼부(217)에서 플라즈마를 발생시킨다.The shower head 206 is installed at a lower portion divided by the lower insulation portion 216 at predetermined intervals, and the shower head 206 has a plurality of spray holes 205 for evenly injecting process gas. have. In addition, the showerhead 206 is connected to the top plate 212 and electrically grounded. According to the present invention configured as described above, the plasma is discharged from the buffer unit 217 partitioned at predetermined intervals by the RF electrode plate 214, the lower insulation unit 216, and the shower head 206 installed in the upper plate 212. Generate.

상부 플레이트(212)의 하부에 설치되어 공급되는 공정가스에 의해 반응이 일어나는 챔버(201)의 내부에는 실제 박막이 형성되는 웨이퍼 또는 기판(207 ; 이하, '기판'이라 칭함)이 히터(208)에 의해 지지됨과 동시에 소정의 열에너지를 공급받는다.In the chamber 201 where the reaction is caused by the process gas supplied and installed under the upper plate 212, a wafer or a substrate 207 (hereinafter referred to as a substrate) on which an actual thin film is formed is a heater 208. It is supported by and is supplied with a predetermined heat energy.

또한, 상부 플레이트(212)의 외부 일측에는 그 내부와 관통하는 적어도 하나 이상의 원료가스 주입관(202), 적어도 하나 이상의 반응가스 주입관(203) 및 적어도 하나 이상의 퍼지가스 주입관(204)이 형성되어 있다. 그리고, 상부 플레이트(212)의 내부에는 상부 플레이트(212)의 온도를 일정하게 유지시키는 히터(218)가 설치되어 있다. 즉, 이런 히터(218)에 의해 챔버(201)의 상부부위의 온도를 일정하게 유지시킬 수 있다.In addition, at least one source gas injection tube 202, at least one reaction gas injection tube 203, and at least one purge gas injection tube 204 are formed at an outer side of the upper plate 212. It is. A heater 218 is provided inside the upper plate 212 to maintain a constant temperature of the upper plate 212. That is, the temperature of the upper portion of the chamber 201 can be kept constant by the heater 218.

아래에서는, 앞서 설명한 바와 같이 구성된 본 발명의 화학기상증착장치를 사용하여 기판에 박막을 형성하는 과정을 상세히 설명하겠다.In the following, a process of forming a thin film on a substrate using the chemical vapor deposition apparatus of the present invention configured as described above will be described in detail.

상부 플레이트(212)의 외부 일측에 형성된 적어도 하나 이상의 원료가스 주입관(202)을 통해 소정시간 동안 원료가스를 샤워헤드(206)에 분사하여 기판(207)에 원료가스가 흡착되도록 한다. 이렇게 소정시간 동안 원료가스를 분사한 후에는 상부 플레이트(212)의 외부 일측에 구비된 적어도 하나 이상의 퍼지가스 주입관(204)을 통해 주입되는 퍼지가스를 이용하여 샤워헤드(206)와 챔버(201)의 내부에 잔류하는 원료가스를 챔버(201)의 일측에 설치된 배기구(200)를 통해 소정시간 동안 배기시킨다.The source gas is injected into the shower head 206 through at least one source gas injection pipe 202 formed at one outer side of the upper plate 212 to adsorb the source gas to the substrate 207. After the injection of the raw material gas for a predetermined time like this, the shower head 206 and the chamber 201 using the purge gas injected through at least one purge gas injection pipe 204 provided on the outer side of the upper plate 212. The raw material gas remaining in the inside) is exhausted for a predetermined time through the exhaust port 200 provided at one side of the chamber 201.

그런 다음, 상부 플레이트(212)의 외부 일측에 설치된 적어도 하나 이상의 반응가스 주입관(203)을 통해 반응가스를 소정시간 동안 샤워헤드(206)를 통해 기판(207)에 분사하여 기판(207)에 흡착되어 있는 원료가스와 반응가스가 반응하도록 유도하여 기판(207)에 박막을 형성시킨다. 이 때, RF전극판(214)에 RF전원(210)을 인가하여 버퍼부(217)에 플라즈마를 발생시켜 반응가스 레디칼을 샤워헤드(206)를 통해 분사하여 줌으로써 기판(207)에 흡착된 원료가스와 반응가스의 반응을 보다 활성화시킨다.Then, the reaction gas is injected into the substrate 207 through the shower head 206 through the shower head 206 through at least one reaction gas inlet tube 203 installed at one outer side of the upper plate 212 to the substrate 207. A thin film is formed on the substrate 207 by inducing the adsorbed source gas to react with the reaction gas. At this time, the RF power source 210 is applied to the RF electrode plate 214 to generate a plasma in the buffer unit 217 to spray the reaction gas radicals through the shower head 206 to absorb the raw material adsorbed on the substrate 207. It activates the reaction of gas and reactant gas more.

이와 같이 반응가스의 레디칼을 소정시간 분사한 후에는 퍼지가스 주입관(204)을 통해 주입되는 퍼지가스를 이용하여 샤워헤드(206)와 챔버(201)에 잔류하는 반응가스를 소정시간 동안 배기시켜 준다. 본 발명은 이와 같이 원료가스 분사, 원료가스 퍼지, 반응가스 레디칼 분사, 반응가스 퍼지의 시분할 공정가스공급을 반복함으로써 웨이퍼 또는 기판에 소정 두께의 박막을 형성하는 것이다.As described above, after the radicals of the reaction gas are injected for a predetermined time, the reaction gas remaining in the shower head 206 and the chamber 201 is exhausted for a predetermined time by using the purge gas injected through the purge gas injection pipe 204. give. In the present invention, a thin film having a predetermined thickness is formed on a wafer or a substrate by repeating the source gas injection, the source gas purge, the reaction gas radical injection, and the time division process gas supply of the reaction gas purge.

이렇듯, 본 발명의 화학기상증착장치는 버퍼부(217)에서 발생하는 플라즈마를 통해 웨이퍼 또는 기판에 흡착된 원료가스와 반응가스의 반응을 보다 활성화시켜 줌으로써, 보다 낮은 온도에서 빠른 증착속도를 확보할 수 있을 뿐만 아니라, 우수한 스텝커버리지 및 막질 특성의 박막을 웨이퍼 또는 기판에 형성할 수 있다. 또한, 본 발명의 화학기상증착장치는 플라즈마가 챔버와 웨이퍼 또는 기판에 직접 노출되지 않기 때문에 플라즈마 발생시에 발생할 수 있는 아크(arc)와 이온충돌(Ion Bombarding) 및 이온주입(Ion Implant) 현상에 의한 웨이퍼 또는 기판 그리고 웨이퍼 또는 기판에 형성된 회로소자의 물리적 손상을 방지할 수 있다.As such, the chemical vapor deposition apparatus of the present invention activates the reaction of the source gas and the reactant gas adsorbed on the wafer or the substrate through the plasma generated by the buffer unit 217, thereby ensuring a fast deposition rate at a lower temperature. In addition, a thin film having excellent step coverage and film quality properties can be formed on a wafer or a substrate. In addition, the chemical vapor deposition apparatus of the present invention is because the plasma is not directly exposed to the chamber, the wafer or the substrate by the arc, ion bombarding and ion implantation that may occur during plasma generation. Physical damage to the wafer or the substrate and circuit elements formed on the wafer or the substrate can be prevented.

도 3에는 도 2에 도시된 화학기상증착장치의 샤워헤드 내부에서 플라즈마를 발생시켜 원료가스 분사, 원료가스 퍼지, 반응가스 레디칼 분사 및 반응가스 퍼지의 시분할 공정가스 공급을 도식화한 그래프가 나타나 있다.3 is a graph illustrating a time division process gas supply of source gas injection, source gas purge, reaction gas radical injection, and reaction gas purge by generating a plasma inside the shower head of the chemical vapor deposition apparatus shown in FIG. 2.

먼저, 도 3의 (b)와 같이 소정시간 동안 샤워헤드를 통해 퍼지가스를 분사하여 샤워헤드 및 챔버의 내부를 불활성 가스 분위기로 만들어 준 다음, 도 3의 (a)와 같이 소정시간 원료가스를 분사하여 웨이퍼 또는 기판에 원료가스를 흡착시킨다. 그런 다음, 도 3의 (b)와 같이 소정시간 동안 퍼지가스를 이용하여 샤워헤드 및 챔버에 잔류하는 원료가스를 배기시켜준 다음, 도 3의 (c) 및 (d)와 같이 반응가스를 소정시간 샤워헤드에 주입함과 동시에 샤워헤드 내부에 플라즈마를 발생시켜 반응가스 레디칼을 웨이퍼 또는 기판에 분사한다. 이렇게 소정시간 동안 반응가스 레디칼을 분사하여, 웨이퍼 또는 기판에 소정 두께의 박막을 형성시킨 후 반응가스의 주입을 차단하고 RF전극판에 공급되는 RF전원을 차단하여 플라즈마를 소멸시킨 후, 퍼지가스를 사용하여 샤워헤드와 챔버의 내부에 잔류하는 반응가스 및 반응부산물 가스를 배기시켜 준다. 이와 같은 소정의 과정을 반복하여 웨이퍼 또는 기판에 소정 두께의 박막을 형성한다.First, as shown in FIG. 3 (b), the purge gas is injected through the shower head for a predetermined time to make the inside of the shower head and the chamber into an inert gas atmosphere. By spraying, the raw material gas is adsorbed onto the wafer or the substrate. Then, the raw material gas remaining in the shower head and the chamber is evacuated using the purge gas for a predetermined time as shown in (b) of FIG. 3, and then the reaction gas is predetermined as shown in (c) and (d) of FIG. The reaction gas radicals are injected onto the wafer or the substrate by injecting plasma into the showerhead while generating plasma within the showerhead. After the reaction gas radical is sprayed for a predetermined time, a thin film having a predetermined thickness is formed on the wafer or the substrate, the injection of the reaction gas is interrupted, and the RF power supplied to the RF electrode plate is turned off to extinguish the plasma. It is used to exhaust the reaction gas and the reaction by-product gas remaining inside the showerhead and the chamber. This predetermined process is repeated to form a thin film of a predetermined thickness on a wafer or a substrate.

도 4는 도 2에 도시된 화학기상증착장치의 샤워헤드 내부에서 플라즈마를 발생시켜 공정가스를 시분할 공급하되 퍼지가스는 상시 주입하면서 원료가스와 반응가스만 시분할 공급함으로써 샤워헤드 및 챔버에서 원료가스와 반응가스가 혼합되는 것을 방지하는 과정을 나타낸 그래프이다.4 is a plasma generated inside the shower head of the chemical vapor deposition apparatus shown in FIG. 2 to supply the process gas in a time-divisional manner, while supplying the source gas and the reactive gas in a time-division manner while constantly purging the purge gas, It is a graph showing the process of preventing the reaction gas from being mixed.

도 4에 도시된 바와 같이 퍼지가스를 상시 주입하고 원료가스와 반응가스만 시분할 주입할 경우 챔버의 압력변화를 최소화할 수 있어 공정 재현성을 향상시킬수 있다.As shown in FIG. 4, when the purge gas is injected at all times and only the source gas and the reaction gas are time-divided, the pressure change of the chamber can be minimized, thereby improving process reproducibility.

<제2 실시예>Second Embodiment

도 5는 본 발명의 제2 실시예에 따른 공정가스를 시분할 공급하는 외부 플라즈마 발생장치를 갖는 화학기상증착장치의 구성요소들을 나타낸 개략도이다.5 is a schematic view showing the components of a chemical vapor deposition apparatus having an external plasma generator for time-divisionally supplying process gas according to a second embodiment of the present invention.

도 5에 보이듯이, 본 발명의 화학기상증착장치는 하부에 배기구(500)가 형성된 챔버(501 ; chamber)와, 다수의 분사홀(505)이 형성되어 공정가스를 분사하는 샤워헤드(506)와, 상기 샤워헤드(506)에 의해 분사되는 공정가스에 의해 박막이 증착되는 웨이퍼 또는 기판(507 ; 이하, '기판'이라 칭함)을 지지함과 동시에 소정의 열원을 제공하는 히터(508)를 포함한다.As shown in FIG. 5, the chemical vapor deposition apparatus of the present invention includes a chamber 501 in which an exhaust port 500 is formed, and a shower head 506 in which a plurality of injection holes 505 are formed to inject a process gas. And a heater 508 that supports a wafer or substrate 507 (hereinafter referred to as a substrate) on which a thin film is deposited by the process gas injected by the shower head 506 and provides a predetermined heat source. Include.

상기 챔버(501)의 상부에는 챔버(501)의 일부분인 상부 플레이트(509)를 설치하는데, 이런 상부 플레이트(509)의 외부 일측에는 외부 플라즈마 발생장치(510)가 챔버(501)와 연통하게 결합되어 있다. 이런 외부 플라즈마 발생장치(510)의 일측에는 적어도 하나 이상의 반응가스 주입관(503)과 적어도 하나 이상의 퍼지가스 주입관(504 ; 제2 퍼지가스 주입관)을 관통하도록 설치하여 외부 플라즈마 발생장치(510)의 내부에서 플라즈마 발생시 반응가스 플라즈마 및 레디칼이 형성되도록 한다.An upper plate 509 that is a part of the chamber 501 is installed on the upper portion of the chamber 501. An external plasma generator 510 is coupled to the chamber 501 in an outer side of the upper plate 509. It is. One side of the external plasma generator 510 is installed so as to pass through at least one or more reaction gas injection tubes 503 and at least one purge gas injection tube 504 (second purge gas injection tube). Reaction gas plasma and radicals are formed when the plasma is generated in the inside.

이렇게 외부 플라즈마 발생장치(510)에 의해 발생된 플라즈마 또는 레디칼은 상부 플레이트(509)의 일측과 관통하도록 형성된 플라즈마 유도관(511)을 통해 상부 플레이트(509)와 샤워헤드(506)의 사이에 소정의 간격으로 구획된 버퍼부(512)에 주입된다. 이 때, 외부 플라즈마 발생장치(510)에서 발생된 플라즈마 또는 레디칼은 도체와 충돌하여 리컴비네이션(recobination) 현상에 의해 소멸되기 때문에, 플라즈마 유도관(511)의 내부에는 절연부(513)를 설치하여 플라즈마 또는 레디칼이 버퍼부(512)에 도달시 까지 소멸되는 것을 방지한다.The plasma or radicals generated by the external plasma generator 510 are predetermined between the upper plate 509 and the shower head 506 through a plasma induction tube 511 formed to penetrate with one side of the upper plate 509. It is injected into the buffer unit 512 partitioned at intervals of. At this time, since the plasma or radical generated by the external plasma generator 510 collides with the conductor and is extinguished by the recombination phenomenon, an insulation part 513 is provided inside the plasma induction pipe 511. The plasma or radicals are prevented from disappearing until they reach the buffer unit 512.

또한, 플라즈마 유도관(511)의 일측에는 적어도 하나 이상의 원료가스 주입관(502)과 적어도 하나 이상의 퍼지가스 주입관(514 ; 제1 퍼지가스 주입관)이 연통되어 있다. 그리고, 상부 플레이트(509)의 내부에는 상부 플레이트(509)의 온도를 일정하게 유지시키는 히터(515)가 설치되어 있다. 이런 히터(515)에 의해 챔버(501)의 상부부위의 온도를 일정하게 유지시킬 수 있다.In addition, at least one source gas injection tube 502 and at least one purge gas injection tube 514 (first purge gas injection tube) communicate with one side of the plasma induction tube 511. And inside the upper plate 509, the heater 515 which keeps the temperature of the upper plate 509 constant is provided. By this heater 515 it is possible to maintain a constant temperature of the upper portion of the chamber 501.

즉, 본 발명의 화학기상증착장치는 주입관을 통해 공급되는 공정가스 및 외부 플라즈마 발생장치를 통해 원료가스 분사, 원료가스 퍼지, 반응가스 레디칼 분사 및 반응가스 퍼지의 시분할 공정가스 공급을 통해 웨이퍼 또는 기판에 소정 두께의 박막을 형성하는 것이다.That is, the chemical vapor deposition apparatus of the present invention is a wafer or a process gas supplied through the injection pipe and the external plasma generating device through the raw gas injection, source gas purge, reaction gas radical injection and the time-divided process gas supply of the reaction gas purge A thin film of a predetermined thickness is formed on a substrate.

아래에서는, 앞서 설명한 바와 같이 구성된 본 발명의 화학기상증착장치를 사용하여 기판에 박막을 형성하는 과정을 상세히 설명하겠다.In the following, a process of forming a thin film on a substrate using the chemical vapor deposition apparatus of the present invention configured as described above will be described in detail.

도 6은 도 5에 도시된 화학기상증착장치에서 외부 플라즈마 발생장치를 사용하여 공정가스와 레디칼을 시분할 공급하는 과정을 나타낸 그래프이다.FIG. 6 is a graph illustrating a process of time-divisionally supplying a process gas and radicals using an external plasma generator in the chemical vapor deposition apparatus illustrated in FIG. 5.

도 5 및 도 6에 보이듯이, 소정시간 동안 외부 플라즈마 발생장치(510)의 일측에 설치된 제2 퍼지가스 주입관(504)을 통해 상부 플레이트(509)의 내부에 소정의 간격으로 구획된 버퍼부(512) 및 버퍼부(512)의 하부에 위치하는 샤워헤드(506)를 통해 퍼지가스를 분사하여 샤워헤드(506) 및 챔버(501)의 내부를 불활성 가스 분위기로 만들어 준다[도 6의 (c)]. 그런 다음, 플라즈마 유도관(511)의 일측에 관통하도록 설치된 원료가스 주입관(502)을 통해 소정시간 원료가스를 분사하여 기판(507)에 원료가스를 흡착시킨다[도 6의 (b)]. 이 후, 플라즈마 유도관(511)의 일측에 관통하도록 설치된 제1 퍼지가스 주입관(514)을 통하여 소정시간 동안 퍼지가스를 공급하여 샤워헤드(506) 및 챔버(501)에 잔류하는 원료가스를 배기시켜 준다[도 6의 (a)].As shown in FIGS. 5 and 6, a buffer unit partitioned at a predetermined interval inside the upper plate 509 through a second purge gas injection pipe 504 installed at one side of the external plasma generator 510 for a predetermined time. The purge gas is injected through the shower head 506 located below the 512 and the buffer unit 512 to make the inside of the shower head 506 and the chamber 501 into an inert gas atmosphere. c)]. Thereafter, the source gas is injected through the source gas injection tube 502 installed to penetrate one side of the plasma induction tube 511 for a predetermined time to adsorb the source gas to the substrate 507 (FIG. 6B). Thereafter, purge gas is supplied for a predetermined time through the first purge gas injection pipe 514 installed to penetrate one side of the plasma induction pipe 511 to supply the source gas remaining in the shower head 506 and the chamber 501. It exhausts (FIG. 6 (a)).

그런 다음, 외부 플라즈마 발생장치(510)의 일측에 설치된 반응가스 주입관(503)을 통해 소정시간 동안 반응가스를 외부 플라즈마 발생장치(510)에 주입함과 동시에 외부 플라즈마 발생장치(510)에서 플라즈마를 발생시켜, 반응가스 플라즈마를 플라즈마 유도관(511)을 통해 버퍼부(512)에 주입하고 샤워헤드(506)를통해 반응가스 레디칼을 기판(507)에 소정시간 동안 분사한다[도 6의 (d), (e)]. 이렇게 소정시간 동안 반응가스 레디칼을 분사하여, 기판(507)에 소정 두께의 박막을 형성시킨 후 반응가스의 주입을 차단하고 외부 플라즈마 발생장치(510)의 플라즈마를 소멸시킨 후, 제2 퍼지가스 주입관(504)을 통해 퍼지가스를 주입하여 샤워헤드(506)와 챔버(501)의 내부에 잔류하는 반응가스 및 반응부산물 가스를 배기시켜 준다. 이와 같은 소정의 과정을 반복하여 웨이퍼 또는 기판에 소정 두께의 박막을 형성한다.Then, the reaction gas is injected into the external plasma generator 510 for a predetermined time through the reaction gas injection tube 503 installed on one side of the external plasma generator 510 and at the same time, the plasma is generated from the external plasma generator 510. The reaction gas plasma is injected into the buffer unit 512 through the plasma induction tube 511 and the reaction gas radical is injected into the substrate 507 through the shower head 506 for a predetermined time (Fig. d), (e)]. After the reaction gas radicals are sprayed for a predetermined time, a thin film having a predetermined thickness is formed on the substrate 507, the injection of the reaction gas is blocked, the plasma of the external plasma generator 510 is extinguished, and the second purge gas is injected. The purge gas is injected through the pipe 504 to exhaust the reaction gas and the reaction by-product gas remaining in the shower head 506 and the chamber 501. This predetermined process is repeated to form a thin film of a predetermined thickness on a wafer or a substrate.

도 7은 도 5에 도시된 화학기상증착장치에서 외부 플라즈마 발생장치를 사용하여 공정가스와 레디칼을 시분할 공급하되 퍼지가스를 상시 공급하는 과정을 나타낸 그래프이다.FIG. 7 is a graph illustrating a process of supplying a process gas and radicals by time-divisionally supplying purge gas using an external plasma generator in the chemical vapor deposition apparatus illustrated in FIG. 5.

도 5 및 도 7에 보이듯이, 제1 퍼지가스 주입관(514)과 제2 퍼지가스 주입관(504)을 통해 퍼지가스를 상시 주입하면서 원료가스와 반응가스만 시분할 공급함으로써 샤워헤드(506) 및 챔버(501)에서 원료가스와 반응가스가 혼합되는 것을 방지하는 것으로서, 상기와 같이 퍼지가스를 상시 주입하고 원료가스와 반응가스만 시분할 주입할 경우에는 챔버의 압력변화를 최소화할 수 있어 공정 재현성을 향상시킬 수 있다.As shown in FIG. 5 and FIG. 7, the showerhead 506 is provided by time-divisionally supplying only source gas and reaction gas while constantly purging gas through the first purge gas injection pipe 514 and the second purge gas injection pipe 504. And to prevent the source gas and the reaction gas is mixed in the chamber 501, when the purge gas is always injected as described above and only time-divided injection of the raw material gas and the reaction gas can minimize the pressure change of the chamber process reproducibility Can improve.

이상에서 본 발명의 화학기상증착장치에 대한 기술사항을 첨부도면과 함께 서술하였지만 이는 본 발명의 가장 양호한 실시예를 예시적으로 설명한 것이지 본 발명을 한정하는 것은 아니다.The technical details of the chemical vapor deposition apparatus of the present invention have been described above with the accompanying drawings, but this is by way of example only for describing the best embodiment of the present invention and not for limiting the present invention.

또한, 이 기술분야의 통상의 지식을 가진 자이면 누구나 본 발명의 기술사상의 범주를 이탈하지 않는 범위내에서 다양한 변형 및 모방이 가능함은 명백한 사실이다.In addition, it is obvious that any person skilled in the art can make various modifications and imitations without departing from the scope of the technical idea of the present invention.

앞서 상세히 설명한 바와 같이 본 발명의 화학기상증착장치는 종래의 시분할 공정가스 공급방식을 사용하는 화학기상증착장치에서 문제점으로 작용하고 있던 낮은 증착속도를 개선할 수 있을 뿐만 아니라 플라즈마 아크와 이온충돌 및 이온주입 등의 문제를 방지할 수 있으며, 화학기상증착법에 의해 증착된 박막내에 포함되는 탄소(C), 수소(H), 염소(Cl), 브롬(Br), 요드(I) 및 산소(O)와 같은 불순물과 다량의 파티클 혼입을 억제할 수 있다.As described in detail above, the chemical vapor deposition apparatus of the present invention can not only improve the low deposition rate, which has been a problem in the chemical vapor deposition apparatus using a conventional time division process gas supply method, but also plasma arc, ion collision, and ion. Problems such as injection can be prevented, and carbon (C), hydrogen (H), chlorine (Cl), bromine (Br), iodine (I) and oxygen (O) contained in the thin film deposited by chemical vapor deposition. Incorporation of impurities and a large amount of particles can be suppressed.

또한, 본 발명의 화학기상증착장치는 저온공정에서 고품질의 박막을 형성할 수 있어 공정의 신뢰성을 향상시키고, 우수한 스텝커버리지 특성의 확보는 물론 높은 증착속도를 확보할 수 있어 제품의 제조수율을 향상시키는 효과가 있다.In addition, the chemical vapor deposition apparatus of the present invention can form a high quality thin film in a low temperature process to improve the reliability of the process, to ensure excellent step coverage characteristics as well as to secure a high deposition rate to improve the production yield of the product It is effective to let.

Claims (11)

반응챔버 내에 배치된 기판에 원자층 성장법으로 소정의 막을 증착시키기 위하여 2 이상의 가스들을 번갈아 상기 반응챔버 내로 유입시키는 화학기상증착장치에 있어서,In the chemical vapor deposition apparatus in which two or more gases are alternately introduced into the reaction chamber in order to deposit a predetermined film on the substrate disposed in the reaction chamber by atomic layer growth. 상기 반응챔버의 상부 내측면에 설치되고 상기 반응챔버 외부의 RF 전원 공급부와 전기적으로 연결되는 RF 전극판과,An RF electrode plate installed on an upper inner surface of the reaction chamber and electrically connected to an RF power supply unit outside the reaction chamber; 상기 반응챔버 내부에서 상기 RF 전극판과 일정한 간격을 두고 상기 기판의 위쪽에 배치되며, 전기적으로 그라운드 처리되는 샤워헤드와,A shower head disposed above the substrate at regular intervals from the RF electrode plate in the reaction chamber and electrically grounded; 상기 RF 전극판과 상기 샤워헤드의 사이에서 형성되는 버퍼부, 및A buffer unit formed between the RF electrode plate and the shower head, and 상기 버퍼부로 상기 2 이상의 가스를 공급하는 가스 공급관A gas supply pipe for supplying the two or more gases to the buffer unit 을 포함하며,Including; 상기 RF 전원 공급부에 의하여 공급되는 RF 전원에 의하여 플라즈마가 상기 버퍼부의 내부에 형성되는 것을 특징으로 하는 화학기상증착장치.Chemical vapor deposition apparatus characterized in that the plasma is formed in the buffer portion by the RF power supplied by the RF power supply. 청구항 1에 있어서,The method according to claim 1, 상기 2 이상의 가스는 상기 기판에 막을 형성하기 위한 원료가스, 상기 버퍼부에 플라즈마를 형성시키기 위한 반응가스 및 상기 반응챔버 내부를 퍼지하기 위한 퍼지가스를 포함하는 것을 특징으로 하는 화학기상증착장치.Wherein the at least two gases include a source gas for forming a film on the substrate, a reaction gas for forming a plasma in the buffer portion, and a purge gas for purging the inside of the reaction chamber. 청구항 2에 있어서,The method according to claim 2, 상기 가스 공급관은 상기 원료가스, 반응가스 및 퍼지가스가 각각 독립적으로 이송되는 3개의 분지를 갖는 것을 특징으로 하는 화학기상증착장치.The gas supply pipe has a chemical vapor deposition apparatus, characterized in that the source gas, the reaction gas and purge gas having three branches each independently transferred. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR10-2001-0013995A 2001-03-19 2001-03-19 Chemical Vapor Deposition Apparatus KR100423953B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR10-2001-0013995A KR100423953B1 (en) 2001-03-19 2001-03-19 Chemical Vapor Deposition Apparatus
CNB2006100745221A CN100540734C (en) 2001-03-19 2002-03-19 Chemical vapor depsotition equipment
JP2002076975A JP3924483B2 (en) 2001-03-19 2002-03-19 Chemical vapor deposition equipment
US10/102,108 US6886491B2 (en) 2001-03-19 2002-03-19 Plasma chemical vapor deposition apparatus
CNB021074631A CN1302152C (en) 2001-03-19 2002-03-19 Chemical vapor depositing apparatus
US11/080,237 US7410676B2 (en) 2001-03-19 2005-03-14 Chemical vapor deposition method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0013995A KR100423953B1 (en) 2001-03-19 2001-03-19 Chemical Vapor Deposition Apparatus

Publications (2)

Publication Number Publication Date
KR20020074242A KR20020074242A (en) 2002-09-30
KR100423953B1 true KR100423953B1 (en) 2004-03-24

Family

ID=27697823

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0013995A KR100423953B1 (en) 2001-03-19 2001-03-19 Chemical Vapor Deposition Apparatus

Country Status (2)

Country Link
KR (1) KR100423953B1 (en)
CN (1) CN100540734C (en)

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100500951C (en) * 2007-02-07 2009-06-17 吉林大学 Device and method for high-speed growth of diamond single-crystal
KR101358863B1 (en) * 2007-12-28 2014-02-06 주성엔지니어링(주) Apparatus for forming a thin film and method of forming a thin film using the same
EP3471130A1 (en) * 2008-12-04 2019-04-17 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
CN103109357B (en) * 2010-10-19 2016-08-24 应用材料公司 Quartzy sprinkler for UV nano cure chamber
TWI427183B (en) * 2010-11-25 2014-02-21 Ind Tech Res Inst Plasma processing apparatus
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101280240B1 (en) * 2011-10-07 2013-07-05 주식회사 테스 Substrate processing apparatus
KR102070400B1 (en) 2012-06-29 2020-01-28 주성엔지니어링(주) Apparatus and method for processing substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
TWI480417B (en) 2012-11-02 2015-04-11 Ind Tech Res Inst Air showr device having air curtain and apparatus for depositing film using the same
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103243312A (en) * 2013-05-30 2013-08-14 光垒光电科技(上海)有限公司 Shower head and vapor deposition equipment
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN103938272A (en) * 2014-04-03 2014-07-23 清华大学 Plasma assisted epitaxial growth device and method
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6718730B2 (en) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー Shower plate, vapor phase growth apparatus and vapor phase growth method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR102431354B1 (en) 2017-07-11 2022-08-11 삼성디스플레이 주식회사 Chemical vapor deposition device and method of manufacturing display device using the same
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102329646B1 (en) * 2021-05-10 2021-11-19 심경식 Substrate processing apparatus for comprising electric power and gas supplying structure of multiple shower head

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151411A (en) * 1992-11-04 1994-05-31 Hitachi Electron Eng Co Ltd Plasma cvd device
KR960037002A (en) * 1995-04-04 1996-11-19 김상호 Source gas constant temperature shower device
KR19990010957A (en) * 1997-07-19 1999-02-18 김상호 Shower head device having a plasma generator
KR0138989Y1 (en) * 1995-04-01 1999-04-15 황철주 Plasma low pressure chemical vapor depositing apparatus of single wafer type
JP2000031061A (en) * 1998-05-18 2000-01-28 Ips Ltd Semiconductor thin-film evaporation device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151411A (en) * 1992-11-04 1994-05-31 Hitachi Electron Eng Co Ltd Plasma cvd device
KR0138989Y1 (en) * 1995-04-01 1999-04-15 황철주 Plasma low pressure chemical vapor depositing apparatus of single wafer type
KR960037002A (en) * 1995-04-04 1996-11-19 김상호 Source gas constant temperature shower device
KR19990010957A (en) * 1997-07-19 1999-02-18 김상호 Shower head device having a plasma generator
JP2000031061A (en) * 1998-05-18 2000-01-28 Ips Ltd Semiconductor thin-film evaporation device

Also Published As

Publication number Publication date
CN100540734C (en) 2009-09-16
KR20020074242A (en) 2002-09-30
CN1847450A (en) 2006-10-18

Similar Documents

Publication Publication Date Title
KR100423953B1 (en) Chemical Vapor Deposition Apparatus
JP3924483B2 (en) Chemical vapor deposition equipment
KR100423954B1 (en) Chemical Vapor Deposition Method
US6435428B2 (en) Showerhead apparatus for radical-assisted deposition
US8105440B2 (en) Method of cleaning a CVD device
US20050281951A1 (en) Dielectric barrier discharge method for depositing film on substrates
KR100243446B1 (en) Showerhead apparatus having plasma generating portions
EP0877410A1 (en) Deposition chamber and method for depositing low dielectric constant films
KR19980087249A (en) Silicon oxide film, method for forming the same, and forming apparatus
KR20090071002A (en) Atomic layer deposition apparatus having palasma generating portion
KR101503512B1 (en) Substrate processing apparatus and substrate processing method
KR101123829B1 (en) Substrate treating apparatus
KR20130095119A (en) Atomospheric pressure plasma generating apparatus
KR100377096B1 (en) Semiconductor fabricating apparatus having improved shower head
US20190108985A1 (en) Batch type plasma substrate processing apparatus
JP2015206076A (en) Method for forming sealing film and sealing film manufacturing device
KR100483282B1 (en) Chemical Vapor Deposition Apparatus
JP4149051B2 (en) Deposition equipment
KR100457455B1 (en) Chemical Vapor Deposition Apparatus which deposition-speed control is possible
KR101561675B1 (en) Substrate processing apparatus
KR20130141409A (en) Substrate processing apparatus and substrate processing method
KR20050087405A (en) Chemical vapor deposition apparatus equipped with showerhead which generates high density plasma
KR20160050522A (en) Plasma deposition apparatus
KR101949425B1 (en) Substrate processing apparatus
JP2009194298A (en) Atomic layer growth apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130214

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20131206

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20151209

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20161206

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20171204

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20181211

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20191210

Year of fee payment: 17