KR100290048B1 - 플라즈마처리장치및방법 - Google Patents

플라즈마처리장치및방법 Download PDF

Info

Publication number
KR100290048B1
KR100290048B1 KR1019940036495A KR19940036495A KR100290048B1 KR 100290048 B1 KR100290048 B1 KR 100290048B1 KR 1019940036495 A KR1019940036495 A KR 1019940036495A KR 19940036495 A KR19940036495 A KR 19940036495A KR 100290048 B1 KR100290048 B1 KR 100290048B1
Authority
KR
South Korea
Prior art keywords
plasma
substrate
hood
support surface
processing
Prior art date
Application number
KR1019940036495A
Other languages
English (en)
Other versions
KR950021168A (ko
Inventor
도자와시게키
호소다쇼조
구로노요이치
Original Assignee
히가시 데쓰로
동경 엘렉트론 주식회사
이노우에 쥰이치
도쿄 에레쿠토론 야마나시 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP34738693A external-priority patent/JP3118497B2/ja
Priority claimed from JP35289593A external-priority patent/JPH07201829A/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론 주식회사, 이노우에 쥰이치, 도쿄 에레쿠토론 야마나시 가부시키가이샤 filed Critical 히가시 데쓰로
Publication of KR950021168A publication Critical patent/KR950021168A/ko
Application granted granted Critical
Publication of KR100290048B1 publication Critical patent/KR100290048B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

광레지스터 막이 제거되어 노출된 주변부를 가지는 반도체 웨이퍼를 이방성 에칭하는 장치와, 진공으로 설정 가능한 처리실을 가진다. 처리실 내에는 대향하는 상하 전극이 배치되고, 이 사이에는 에칭 가스가 플라즈마화 된다. 하측 전극 위에는 정전척이 배치되고, 이 위에 웨이퍼가 얹힌다. 상하 전극 사이에는 상하로 이동할 수 있는 유전체로 된 링이 배치된다. 링 중앙부는 웨이퍼 주변부에 대향하여 오목한 후드로서 형성된다. 에칭 처리 중, 후드는 플라즈마 쉬스의 아래쪽에서 웨이퍼의 주변부를 접촉하지 않도록 덮고, 주변부에 대하여 에칭 처리가 실시되는 것을 방지한다.

Description

플라즈마 처리장치 및 방법
제1도는 본 발명의 실시예에 의한 플라즈마 에칭 장치의 개략도를 나타내는 단면도이고,
제2도는 제1도에 나타난 장치의 정전척을 나타내는 부분 단면도이고,
제3도는 제1도에 나타난 장치의 링에 설치된 후드의 작용을 설명하는 도면이고,
제4도는 본 발명에서 처리되는 반도체 웨이퍼의 개략도를 나타내는 단면도이고,
제5도는 처리 시간과 입자수와의 관계를 나타내는 그래프이고,
제6도는 콘트롤러를 사용하여 클리닝을 실시하기 위한 프로그램을 나타내는 흐름도이다.
* 도면의 주요부분에 대한 부호의 설명
1 : 플라즈마 에칭 장치 27 : 가스 공급관
2 : 처리실 2a : 배플판
3 : 서보모터 29 : 투과 구멍
4 : 하부 전극 31 : 고주파 전원
5 : 벨로즈 32 : 콘트롤러
6 : 냉각 쟈켓 40 : 정전척
8 : 히터 40a : 웨이퍼 지지면
10 : 전력원 44 : 전원
15 : 공기 실린더 46 : 콘트롤러 판넬
18 : 링 47 : 키보드
21 : 후드 52 : 이산화규소 막
22 : 플랜지 54 : 레지스터 막
24 : 상부 전극 58 : 주변부
26 : 구멍
본 발명은 플라즈마 처리장치 및 방법에 관한 것으로, 특히 광레지스터막이 제거되어 노출된 주변부(marginal portion)를 가지는 반도체 웨이퍼나 LCD 기판의 플라즈마 처리장치 및 방법에 관한 것이다.
반도체 디바이스의 제조 과정에서, 가스를 플라즈마화하고, 반도체 웨이퍼, LCD 기판 등의 기판에 처리를 실시하는 플라즈마 처리 장치로서, 플라즈마 에칭 장치가 알려져 있다.
일본 특허 출원 제 63-300517 호에는 이러한 플라즈마 에칭 장치가 개시되어 있다. 이 공보에 개시된 기술에서는, 웨이퍼의 직경보다 큰 직경의 정전척에 의하여 웨이퍼를 유지하고, 플라즈마를 생성하여 웨이퍼를 에칭 처리한다. 정전척의 웨이퍼 유지면에 폴리이미드계의 수지 등을 사용한 경우, 유지면의 노출된 부분이 플라즈마에 의하여 에칭되고, 정전척의 수명이 짧아진다.
일본 특허 출원 제 1-198026 호에 개시되어 있는 것과 같이, 웨이퍼 상에 광 레지스터막을 형성하여 포토리소그라피(photolithography) 처리를 실시하는 경우, 웨이퍼의 주변부가 빛에 노출되고 레지스터막이 제거된다. 이 주변부의 노광 처리는 웨이퍼를 반송하는 등에 의하여 핸들링 할 때 주변부의 레지스터막으로부터 발생할 수 있는 입자의 발생을 방지하기 위하여 행해진다.
그러나, 주변 노광 처리가 실시된 웨이퍼를 전술한 에칭 장치에서 에칭할 때, 웨이퍼 주변부도 마찬가지로 에칭 처리되어 버린다. 웨이퍼의 주변부가 에칭 처리되면, 에칭 처리 후 웨이퍼를 반송할 때, 주변부가 파괴되거나 손상할 가능성이 있다.
또, 웨이퍼의 주변부가 에칭에 의하여 요철(凹凸) 형상으로 되면, 반송 등을 할 때, 이 요철 형상에 입자가 잡히게 된다. 잡힌 입자는, 다음 공정을 행할 처리실 내에서 날려서 흩어지고, 웨이퍼에 부착하여 수율을 저하시킨다.
플라즈마 처리장치가 CVD 성막 장치라면, 웨이퍼의 노출한 주변부에 막이 부착된다. 이 막은, 웨이퍼를 반송하는 반송 수단 등에 의하여 반송될 때 파손 또는 손상되고, 입자로서 날려 흩어지게 된다.
본 발명의 목적은, 주변 노광 처리 등에 의하여 노출된 주변부를 가지는 반도체 웨이퍼, LCD 기판 등의 기판을 주변에 처리하고, 입자의 발생 등의 문제를 방지할 수 있는 플라즈마 처리장치 및 방법을 제공하는 것이다.
본 발명의 제 1 관점에 의하면, 기판에 대하여 플라즈마 처리를 실시하는 장치로서, 상기 기판 상에는 패턴화 된 마스크 막(mask film)이 형성되며 상기 기판은 상기 마스크 막에 의하여 덮히지 않는 주변부를 가지는 것으로서, 처리실과; 상기 처리실 내에 처리 가스를 공급하기 위한 공급계와; 상기 처리실을 배기하는 동시에 상기 처리실 내를 진공으로 설정하기 위한 배기계와; 상기 처리실 내에 배치되는 동시에 상기 기판을 얹어놓는 지지면을 가지는 얹어놓는 대와, 상기 얹어놓는 대가 상기 지지면 상에 상기 기판을 흡착 유지하기 위하여 가지는 정전척과; 상기 처리실 내의 상기 지지면에 대향하는 위치에서 상기 처리 가스를 플라즈마화 하는 플라즈마 생성기와, 상기 플라즈마가 상기 지지면에 대향하여 플라즈마 쉬스(sheath)를 가지는 것과; 상기 지지면 상에 얹힌 상기 기판과 상기 플라즈마 쉬스의 사이에 위치하도록 배치된 후드를 포함하여 구성되며, 상기 후드는 상기 기판의 상기 주변부와 접촉하지 않으면서 덮어서 그 주변부가 플라즈마 처리되는 것을 방지하는 플라즈마 처리장치를 제공하는 것이다.
본 발명의 제 2의 관점에 의하면, 상기 기판에 실질적으로 전 면적 상에 광레지스터막을 형성하는 동시에, 상기 레지스터막을 노광 처리 및 현상 처리를 하고, 패턴화된 레지스터 마스크막을 형성하는 것으로서, 상기 기판은 상기 마스크막에 의하여 덮히지 않는 주변부를 가지도록 하는 예비 공정과; 상기 예비 공정 후, 상기 제 1의 관점에 의한 플라즈마 처리 장치를 사용하여 상기 기판에 플라즈마 처리를 실시하는 것으로서, (i) 상기 기판을 상기 처리실 내에 도입하여 상기 지지면 상에 얹어놓고, 상기 정전척으로 흡착 유지하는 공정과; (ii) 상기 기판의 상기 주변부를 상기 후드에 의하여 서로 접촉하지 않도록 덮는 공정 과; (iii) 상기 처리실 내에 상기 처리 가스를 공급하는 동시에 상기 처리 가스를 플라즈마화 하고, 상기 플라즈마를 사용하여 상기 기판에 플라즈마 처리를 실시하는 것으로서, 여기서 상기 주변부에 대하여 플라즈마 처리를 실시하는 것을 상기 후드에 의하여 방지하도록 하는 공정을 포함하여 구성되는 본 공정으로 이루어지는, 기판에 대하여 플라즈마 처리를 실시하는 방법을 제공하는 것이다.
본 발명의 실시예에 의한 반도체 웨이퍼의 플라즈마 에칭 장치(1)는, 제1도에 나타난 바와 같이, 도전성 재료, 예를 들어 알루미늄제의 원통형의 기밀(airtight)하게 구성된 처리실(2)을 가진다. 처리실(2) 내의 하부에는 서보모터(3)에 의하여 상하로 구동될 수 있는 하부 전극(4)이 설치되고, 이들은 처리 대상인 웨이퍼(W)를 얹어놓기 위한 얹어놓는 대로서 기능한다. 하부 전극(4)의 주위에는 하부 전극(4)의 상하 움직임에 따라 신축될 수 있는 벨로즈(5)가 설치된다. 벨로즈(5)는 스테인레스 스틸 등의 내식성 재료로 되어 있고, 처리실(2) 내부를 기밀하게 유지한다.
하부 전극(4)에는, 냉매, 예를 들면 칠러(chiller) 등을 유통 순환시키기 위한 냉매 수용부, 예를 들면 냉각 쟈켓(6)이 배치된다. 냉각 쟈켓(6)에는, 칠러를 공급 및 배출하기 위한 공급/배출로(7)가 접속된다. 하부 전극(4)에는 또한 히터(8)가 설치된다. 히터(8)는, 전력 공급 리드선(9)을 통하여 히터(8)에 전력을 공급하기 위한 전력원(10)에 접속된다. 히터(8)와 냉매 쟈켓(6)에 수용되는 냉매에 의하여 하부 전극(4)이 소정 온도, 예를 들면 20℃ 이하로 설정될 수 있게 된다.
하부 전극(4)은, 표면에 알루마이트 처리를 실시한 도전 재질, 예를 들면 알루미늄으로 되어 있다. 하부 전극(4)의 상면에는, 웨이퍼(W)를 쿨롱힘에 의하여 흡착 유지하는 정전척(40)이 배치된다. 정전척(40)은, 제2도에 나타난 바와 같이, 2 개의 유전체 고분자막(42, 43)과, 그 사이에 끼워진 동박(銅箔)등의 도전막(41)을 가진다. 전극(4)의 상면 및 정전척(40)의 웨이퍼 지지면(40a)은, 웨이퍼(W)의 평면 윤곽보다 작은 평면 윤곽을 가진다. 웨이퍼(W)가 지지면(40a) 상에 얹어진 상태에서, 지지면(40a)의 평면 윤곽은 웨이퍼(W)의 평면 윤곽 내에 위치하도록 설정된다. 도전막(41)은, 개폐 수단, 예를 들면 전자 스위치(45)를 통하여 직류전원(44)에 접속된다. 전원(44)이 온(ON)되는 동시에 스위치(45)가 닫히면, 막(42)의 표면에 분극에 의한 정전기가 발생하고, 그 쿨롱력에 의하여 웨이퍼(W)가 막(42)의 지지면(40a) 상에 흡착 유지된다.
하부 전극(4)에는, 복수, 예를 들면 3 개의 관통 구멍(12)이 형성되고, 관통구멍(12)에는, 각각 리프터핀(lifter pins)(13)이 끼워진다. 리프터핀(13)은 코넥터(14)를 통하여 공기 실린더(15)에 접속되고, 상하로 구동할 수 있게 된다. 웨이퍼(W)는, 리프터핀(13)에 의하여 지지되고, 공기 실린더(15)가 상하 구동하므로써 정전척(40)의 웨이퍼 지지면(40a)에 대하여 붙고 떨어지게 된다.
하부 전극(4)에는, 브로킹 콘덴서(30)를 통하여 고주파, 예를 들면 13.56MHz 또는 40MHz 등의 고주파 전원(31)이 접속된다. 고주파 전원(31)은, 콘트롤러(32)의 지시에 의하여 온/오프 된다. 콘트롤러(32)는, 고주파 전원(31)의 온 시간을 정산한다.
처리실(2)의 저부에는 배기관(6)을 통하여 진공 펌프(17)가 접속된다. 진공 펌프(17)에 의하여, 처리실(2) 내는 원하는 진공 분위기로 설정될 수 있게 된다.
하부 전극(4)의 상방에는, 웨이퍼(W)의 피처리면의 주변부를 접촉하지 않고 덮지 않기 위하여 링(18)이 설치된다. 링(18)은, 복수개 예를 들면 4 개의 고순도 알루미나제의 축(19)을 통하여 처리실(2)의 외측 상부에 설치된 공기 실린더(20)에 접속되고, 상하로 구동할 수 있게 된다.
링(18)은 웨이퍼(W)에 비하여 훨씬 큰 외경을 가지고, 그 외경 및 내경이 웨이퍼 지지면(40a) 및 그 위로 얹어지는 웨이퍼(W)와 중심이 일치하도록 배치된다. 링(18)의 웨이퍼(W)와 대향하는 부분, 즉 링의 구멍(18a) 둘레는, 그 외경 및 내경과 동심원 모양으로 위를 향하여 오목한 후드(21)로서 형성된다. 후드(21)는, 경사벽(21a)과 수평한 아래면(22a)을 가지는 안쪽으로 연장하는 플랜지(22)를 가지고, 이들에는 구멍이 없고, 후드(21)의 상하 공간을 완전히 차단한다.
안쪽으로 돌출하는 플랜지(22)는, 웨이퍼 지지면(40a) 상에 얹어진 상태의 웨이퍼(W)의 모서리로부터 일정한 거리(D1)의 범위에서, 웨이퍼(W)의 둘레부를 전둘레에 걸쳐 덮도록 설정된다. 일반적으로는, 거리(D1)는, 먼저 행해진 주변 노광처리에 의하여 광레지스터막을 제거한 주변부의 폭에 상당한다. 거리(D1)의 수치는 처리의 형태에 의존하고, 0.1∼20mm의 범위에서 임의로 설정된다. 플랜지(22)를 가지는 후드(21)는, 웨이퍼(W)의 주변부에서 플라즈마에 의한 에칭이 진행되는 것만을 방지하도록 기능한다.
링(18)은, 질화 알루미늄, 알루미나, 또는 석영 등의 유전체 재료로 되는 것이 바람직하다. 링(15)이 유전체 물질로 되어 있으면, 링(18) 상에 부산물이 축적되는 것이 억제될 수 있다. 그러나, 링(18)의 재료는 반응 가스의 종류에 따라 선택된다. 예를 들어, 염소를 포함한 가스가 반응 가스로서 사용될 때는, 염소를 포함한 가스와 반응하는 규소 포함 물질, 예를 들면 규소 또는 탄화 규소 등 보다는, 링(18)은 염소를 포함한 가스와 반응하지 않는 물질들, 예를 들면 알루미늄, 석영 또는 질화 알루미늄 등의 물질로서 형성된다. 반대로, 불소 함유 가스가 반응 가스로서 사용될 때에는, 규소 또는 탄화 규소와 같은 규소 포함 물질로서 형성되는 것이 바람직하다.
링(18)의 윗부분에는, 하부 전극(4)과 대향하는 상부 전극(24)이 배치된다. 상부 전극(24)의 하면에는, 비정질 탄소 등의 도전성 재료로 된 대향판(25)이 설치된다. 대향판(25)의 뒷면에는, 처리 가스를 저장하기 위한 구멍(26)이 형성되고, 여기에 반응 가스, 예를 들면 CHF3, CF4가스나, 불활성 가스, 예를 들면 N2, Ar 가스를 공급하기 위한 가스 공급관(27)이 접속된다. 구멍(26) 내에는 다수의 관통 구멍을 가지는 복수개의 배플판(baffle plate)(28)이 설치된다. 가스 공급관(27)으로 부터 공급된 가스는, 배플판(28)에 의하여 균등하게 확산되고, 대향판(25)에 배치된 복수개의 관통 구멍(29)으로부터 처리실(2) 내로 공급된다. 상부 전극(24)은 접지되고, 하부 전극(4)에 고주파 전력을 전원(31)으로부터 인가하므로써, 전극(4),(24) 사이에서 처리 가스의 플라즈마를 생성할 수 있게 된다
다음으로, 플라즈마 에칭 장치(1)의 작용에 대하여 설명한다.
웨이퍼(W)는, 본 에칭 장치(1)에서 처리되기 전에, 주변 노광 처리를 받고, 주변부의 광레지스터막이 제거된다. 예를 들면, 제4도에 나타난 바와 같이, 규소(Si) 기판(50)의 주 면상의 이산화규소(SiO2)막(52)을 이방성(異方性) 에칭 처리를 하는 경우에는, 우선, SiO2막 상의 전면에 광레지스터막(54)을 제거한다. 다음으로, 소정의 노광 마스크(도시 않됨)를 사용하여 웨이퍼(W)를 노광 및 현상하고, 에칭을 행하는 영역(56)에 대응하여 레지스터막(54)의 일부를 제거한다. 이들에 의하여, 에칭 마스크막으로서 기능하는 패턴화된 레지스터막이 형성된다. 다음으로, 웨이퍼(W)를 주변 노광 처리하고, 즉 별도의 소정 노광 마스크(도시 않됨)를 사용하여 노광 및 현상하고, 주변부(58)에 대응하는 레지스터막(54)의 부분을 제거하고, 주변부(58)의 SiO2막을 노출시킨다.
다음으로, 제1도에 나타난 바와 같이, 에칭 장치(1)의 처리실(2) 내 압력을 진공 펌프(17)로서 소정 압력, 예를 들면 10-3Torr 이하의 진공 분위기로 한다. 그리고, 주변부(58)가 노출한 웨이퍼(W)를 그 주 면이 위로 향하도록 리프터핀(13) 위에 놓는다. 다음으로, 공기 실린더(15)에 의하여 리프터핀(13)을 내리고, 정전척(40)의 웨이퍼 지지면(40a) 상에 웨이퍼(W)를 얹어놓는다. 다음으로, 직류 전원(44)을 온함과 동시에 전자 스위치(45)를 닫아 웨이퍼 지지면(40a)에 웨이퍼(W)를 흡착 유지한다.
다음으로, 하부 전극(4)을 상승시키고, 웨이퍼(W)와 링(18)이 거리를 둔 소정의 위치에서 하부 전극을 정지시킨다. 이 때, 제3도에 나타난 바와 같이, 웨이퍼(W) 주변부(58)가 후드(21)에 의하여 접촉하지 않고 덮힌다. 주변부(58)에 대해서는 간격(D2)을 두고 플랜지(22)가 대향한다. 또, 웨이퍼(W)의 모서리 또는 측면에 대하여는, 간격(D3)을 두어 경사벽(21a)이 대향한다. 간격(D2), (D3)는, 플라즈마 중의 래디칼이, 후드(21)에 의하여 웨이퍼(W)의 주변부(58)에 작용하기 어려운 작은 값으로 설정된다. 거리(D2), (D3)의 값은 처리의 형태에 의존하고, 0.3∼25mm의 범위에서 임의로 설정된다. 간격(D2), (D3)의 설정 변경은 실린더(20)를 통하여 링(18)을 상하로 이동시키므로써 행할 수 있다.
다음으로, 제1도에 나타난 바와 같이, 처리실(2) 내를 배기하여 소정의 진공도, 예를 들면 수 십 mTorr로 설정하는 동시에, 가스 공급관(27)으로부터 소정의 처리 가스를 공급한다. 다음으로, 콘트롤러(32)로부터의 지시 신호에 의하여 고주파 전원(31)을 온하고, 하부 전극(4)과 상부 전극(24)의 사이에 고주파 전력, 예를 들면 200W 이상의 전력을 인가한다. 이들에 의하여, 전극(4), (24) 사이에서 처리 가스를 플라즈마화 하고, 이 플라즈마를 사용하여 웨이퍼(W)를 에칭 처리한다. 플라즈마(P)는, 그 생성 영역의 외곽인 플라즈마 쉬스(P1)가 웨이퍼(W)의 위부분에 위치하도록 생성된다.
에칭 처리 중, 제3도에 나타난 바와 같이, 웨이퍼(W)의 주변부(58)는, 안쪽으로 연장되는 플랜지(22)와 경사벽(21a)으로 된 후드(21)에 의하여 접촉하지 않은 상태로서 덮힌다. 후드(21)는 플라즈마 쉬스(P)의 아래 방향에서 그 쉬스와 접촉하지 않는 위치에 배치된다. 후드(21)는, 플라즈마(P) 중의 이온이 주변부(58)에 충돌함에 의한 물리적인 에칭 작용과, 플라즈마(P) 중의 래디칼에 의한 주변부(58)에 대한 화학적인 에칭을 다음과 같은 방식으로 방지한다.
우선, 물리적인 에칭 작용에 대해서는, 플랜지(22)의 윗면이 플라즈마(P)로 부터 이온을 받아서 주변부(58)에 충돌하는 것을 방지한다. 특히 본 실시예와 같은 이방성 에칭에서는, 이온의 물리적 작용에 의한 에칭이 주로 되기 때문에, 플랜지(22)의 웨이퍼(W)의 모서리로부터의 돌출한 거리(D1)이 실질적으로 주변부(58)의 보호 범위를 규정하게 된다.
또, 화학적인 에칭 작용에 관해서는, 주변부(58)의 후드(21)의 간격이 좁게 설정되어 있기 때문에, 래디칼이 공급되기 어렵게 된다. 또, 마찬가지로 웨이퍼(W)의 에칭과 경사벽(21a)과의 좁은 간격(D3)에 의하여, 웨이퍼(W)의 주위로부터 주변부(58)로의 래디칼이 들어가는 것이 방지된다.
에칭 처리중, 제3도 중에 가상선에 의하여 나타난 바와 같이, 부생성물(BP)이 링(18) 위, 특히 안쪽으로 돌출한 플랜지(22) 상에 축적하여 부착한다. 링(18)과 웨이퍼(W) 주변부(58)를 접촉하지 않도록 하므로써, 링(18) 상의 부생성물(BP)을, 접촉에 의한 진동이나 충돌에 의하여 웨이퍼(W) 위에 떨어지는 것을 방지할 수 있다.
또, 에칭 처리는 아니고, CVD 성막 장치에서는, 만약 링(18)에 웨이퍼(W)가 접촉하면 웨이퍼(W)의 처리면과 링(18)의 윗면에 생성되는 막이 연속하여 성장할 염려가 있다. 이 경우, 웨이퍼(W)와 링(18)이 분리될 때에, 링(18) 위의 막이 벗겨지고, 웨이퍼(W) 위에 떨어질 가능성이 있다.
에칭 처리에서, 부생성물(BP)이 부착한 그대로, 각각의 웨이퍼(w)를 연속하여 처리하면, 제5도에 나타난 바와 같이, 웨이퍼(W)의 주변부(58) 근방에 부착하는 입자의 양이 일정한 처리 시간 이상에서 급격히 증가한다. 예를 들면, 0.2㎛ 이상의 입자량은 약 80 시간까지는 서서히 증가하고, 약 80 시간으로부터 약 100 시간에 걸쳐 증가율이 급격히 변화하고, 또, 약 100 시간 이상에서는 대략 일정한 고율로서 증가한다.
따라서, 약 100 시간을 지나지 않은 내에, 바람직하게는 80 시간 이내에 링(18) 등의 처리실(2) 내의 부재를 세정하는 것이 바람직하다. 클리닝의 실시 시기는, 콘트롤러(32)에 미리 클리닝을 실시하는데 필요한 총 처리 시간의 기준치를 설정하는 동시에, 고주파 전력의 인가 시간을 합산하고, 합산치와 기준치를 수시로 비교하므로써 알 수가 있다.
콘트롤러(32)를 사용하여 클리닝을 실시하기 위한 프로그램에 대하여 제6도의 흐름도를 참조하여 설명한다.
우선, 부생성물(BP)에 의한, 예를 들면 0.2㎛ 이상의 입자량이 웨이퍼(W)의 수율에 영향을 크게 주기 시작하는 시간, 예를 들면 80 시간을 클리닝이 필요한 총 처리 시간의 기준치로서 콘트롤러(32)에 설정한다(공정 10). 이 설정은, 제6도에 나타난 바와 같이, 콘트롤러 판넬(46)의 키보드(47)로부터 입력된다.
다음으로, 복수개의 웨이퍼(W)의 에칭 처리에 걸리는 처리 시간을 콘트롤러(32)에 의하여 합산한다. 여기서, 최후의 클리닝 시간에 콘트롤러(32)에 기억시킨 처리 시간의 합산치는 초기화되어 있다. 고주파 전원(31)은 웨이퍼(W)의 소정의 처리에 대응하여 온, 오프되지만, 콘트롤러(3)에서는 온 시간만을 합산한다. 그리고, 콘트롤러(32)에서 합산치와 상술한 기준치를 수시로 비교한다(공정 S11).
비교에 의하여, 합산치가 기준치 보다 작은 경우, 고주파 전원(31)에 대하여 인터록(interlock)을 걸지 않고, 다음의 웨이퍼(W)의 에칭이 가능한 상태를 유지한다(공정 S12). 그리고, 순서의 흐름을 S11로 복귀시킨다.
또한, 공정 S11에서, 합산치가 기준치 보다 큰 경우, 웨이퍼(W)가 처리 중인가 아닌가를 판단한다(공정 S13). 웨이퍼(W)가 처리중인 경우는, 순서의 흐름을 공정 S11로 복귀시킨다. 공정 S13에서 웨이퍼(W)가 처리중인 경우에서, 고주파 전원(3)에 대하여 인터록을 걸지 않는 것은, 처리중의 웨이퍼(W)를 처리의 도중에서 정지시켜 그 웨이퍼(W)를 불량으로 하는 것을 방지하기 위함이다.
또한, 웨이퍼(W)가 에칭 처리중이 아닌 경우, 즉 웨이퍼(W)의 반송 시나 처리 전후의 공전 시간의 경우, 콘트롤러(32)로부터 콘트롤러 판넬(46)의 디스플레이(48)에 신호를 보내고, 디스플레이(48) 상에 처리실(2) 내의 부재를 세정하라는 지시를 표시한다. 또, 고주파 전원(31)에 대하여는, 온 하지 많도록 인터록을 건다(공정 S14). 콘트롤러 판넬(46)의 디스플레이(48)에 표시된 지시에 따라 작동하는 후드(21)를 가지는 링(18) 등의 처리실(2) 내의 부재를 세정할 수가 있다(공정 S15).
링(18)의 클리닝 시에는, 연마 부재로서 부착한 부생성물(BP)을 벗겨낸다. 링(18) 자체에 손상을 주는 것을 방지하기 위하여, 연마 부재로서 링(18)의 경도와 거의 같은 경도를 가지는 연마 부재를 사용한다. 연마 부재로서 부생성물(BP)을 제거한 후, 세정제, 예를 들면 알콜 등으로 링(18)을 씻는다.
클리닝과 병행하여, 콘트롤러 판넬(46)의 키보드(47)에 의해, 콘트롤러(32)에 기억시킨 고주파 전력의 인가 시간의 합산치를 초기화 하는 동시에, 고주파 전원(31)에 대한 인터록의 해제를 행한다(공정 S16).
다음으로, 클리닝이 필요한 총처리 시간의 기준치를 변경할 것인지 아닌지를 결정한다(공정 S17). 기준치를 변경하는 경우는 순서의 흐름을 공정 S10으로 복귀시키고, 변경하지 않는 경우는 공정 S511로 복귀시킨다.
이렇게 하여, 클리닝을 실시하면, 고주파 전력의 인가 시간의 합산치에 따라 링(18) 등의 처리실 내의 부재의 클리닝 시간을 명확하게 알 수가 있다. 따라서, 클리닝을 확실하게 행할 수 있고, 에칭 처리의 수율을 향상시킬 수가 있다.
상술한 실시예에서는, 반도체 웨이퍼를 처리하는 경우에 대하여 설명하였다. 그러나, 본 발명은, 다른 처리 대상에 대하여도 적용할 수 있고, 후드(21)의 형상은 처리 대상의 형상에 따라 변경할 필요가 있다. 예를 들면, LCD 기판을 처리하는 경우, 후드(21)의 형상은 통상 사각으로 될 것이다. 또, 상술의 실시예에서는, 하부 전극에 고주파 전력을 인가하는 이방성 에칭에 대하여 서술하였으나, 반대로, 상부 전극에 고주파 전력을 인가하여 등방성 에칭(앤오드 커플 타입 : anode-couple type)이라도 좋다. 본 발명은, 에칭 장치 외에 에슁 장치, CVD 성막 장치등의 플라즈마를 사용하는 장치에 적용할 수 있다.

Claims (16)

  1. 기판에 대하여 플라즈마 처리를 실시하는 장치로서, 여기서 상기 기판 상에는 패턴화 된 마스크 막이 형성되며 상기 기판은 상기 마스크 막에 의하여 덮히지 않는 주변부(58)를 가지게 되는 것으로서 상기 장치는: 처리실(2)과; 상기 처리실(2) 내에 처리 가스를 공급하기 위한 공급계와; 상기 처리실(2)을 배기하는 동시에 상기 처리실 내를 진공으로 설정하기 위한 배기수단과; 상기 처리실(2) 내에 배치되고 상기 기판을 얹어놓기 위한 지지면(40a)을 가지는 얹어놓는 대와, 상기 얹어놓는 대가 상기 지지면 위에 상기 기판을 흡착 유지하기 위하여 가지는 정전척(40)과; 상기 처리실 내의 상기 지지면(40a)에 대향하는 위치에서 상기 처리 가스를 플라즈마화 하는 플라즈마 생성기와, 상기 플라즈마가 상기 지지면에 향하여 플라즈마 쉬스(sheath)를 가지는 것과; 상기 지지면 상에 얹힌 상기 기판과 상기 플라즈마 쉬스의 사이에 위치하도록 배치된 후드(21)를 포함하여 구성되며, 상기 후드(21)는 상기 기판의 상기 주변부(58)와 접촉하지 않으면서 덮어서 그 주변부(58)에 대하여 상기 플라즈마에 의하여 플라즈마 처리가 실시되는 것을 방지하는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 후드(21)는 유전체로 되어 있는 플라즈마 처리장치.
  3. 제2항에 있어서, 상기 지지면(40a)과 상기 후드(21)와의 간격을 조정하기 위하여, 이들을 서로 접근 및 분리 구동하는 승강 기구를 더욱 포함하는 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 후드는 상기 주변부에 대향하는 내부 플랜지를 포함하여 구성되고, 상기 플랜지는 상기 기판의 모서리로부터 돌출하는 길이가 0.1∼20 mm 이고, 상기 주변부(58)로부터 0.3~25mm 떨어져 위치하는 플라즈마 처리장치.
  5. 제4항에 있어서, 상기 지지면(40a)의 평면 윤곽이 상기 기판의 평면 윤곽보다 작고, 상기 기판이 상기 지지면(40a) 위에 얹힌 상태에서, 상기 지지면의 평면 윤곽이 상기 기판의 평면 윤곽 내에 위치하도록 설정되는 플라즈마 처리장치.
  6. 제5항에 있어서, 상기 후드(21)가, 상기 주변부(58)에 대향하는 플랜지(22)와, 상기 플랜지와 연속하여 형성되고, 상기 기판의 상기 모서리에 대향하는 측벽을 가지며, 상기 측벽이 상기 주변부가 위치하는 평면을 횡단하여 연속하여 있는 플라즈마 처리장치.
  7. 제5항에 있어서, 상기 공급계가 에칭 가스를 상기 처리 가스로서 공급하는 플라즈마 처리장치.
  8. 제6항에 있어서, 상기 플라즈마 생성기가 서로 대향하는 제 1 및 제 2 전극과, 상기 제 1 및 제 2 전극 사이에 고주파 전력(31)을 공급하는 전원(44)을 가지며, 상기 제 2 전극이 상기 얹어놓는 대로서 기능하는 플라즈마 처리장치.
  9. 제8항에 있어서, 상기 승강 기구가, 상기 제 2 전극을 독립적으로 이동하는 부재와, 상기 후드를 독립적으로 이동하는 부재를 가지는 플라즈마 처리장치.
  10. 제9항에 있어서, 상기 공급계가 상기 제 1 전극에 형성된 다수의 구멍(29)을 통하여 상기 에칭 가스를 공급하는 플라즈마 처리장치.
  11. 기판에 대하여 플라즈마 처리를 실시하는 장치로서, 여기서 상기 기판 상에는 패턴화 된 마스크 막이 형성되는 것으로서 상기 장치는: 처리실(2)과; 상기 처리실(2) 내에 처리 가스를 공급하기 위한 공급계와; 상기 처리실(2)을 배기하는 동시에 상기 처리실 내를 진공으로 설정하기 위한 배기수단과; 상기 처리실(2) 내에 배치되고 상기 기판을 얹어놓기 위한 지지면(40a)을 가지는 얹어놓는 대와, 상기 얹어놓는 대가 상기 지지면 위에 상기 기판을 흡착 유지하기 위하여 가지는 정전척(40)과; 상기 처리실 내의 상기 지지면(40a)에 대향하는 위치에서 상기 처리 가스를 플라즈마화 하는 플라즈마 생성기와; 상기 지지면 상에 얹힌 상기 기판을 대향하도록 배치된 후드(21)를 포함하여 구성되며, 상기 후드(21)는 0.3~25mm의 거리로 상기 기판의 상기 주변부(58)와 접촉하지 않으면서 덮어서 그 주변부(58)에 대하여 상기 플라즈마에 의하여 플라즈마 처리가 실시되는 것을 방지하는 플라즈마 처리장치.
  12. 제11항에 있어서, 상기 후드는 상기 기판의 상기 주변부와 대향하고, 상기 마스크 막으로 덮히지 않는 플라즈마 처리장치.
  13. 제11항에 있어서, 상기 플라즈마 생성기는 상기 플라즈마를 생성하고, 상기 지지면에 대향하는 플라즈마 쉬드를 가지고, 상기 후드는 상기 지지면에 재치된 기판과 상기 플라즈마 쉬드 사이에 배치되는 플라즈마 처리장치.
  14. (a) 기판의 실질적으로 전 면적 상에 광레지스터 막을 형성하는 동시에, 상기 레지스터 막을 노광 및 현상 처리하고, 패턴화된 레지스터 마스크 막을 형성하는 것으로서, 상기 기판은 상기 마스크 막에 의하여 덮히지 않는 주변부(58)를 가지도록 하는 예비 공정과; (b) 상기 예비 공정 후, 플라즈마 처리장치를 사용하여 상기 기판에 플라즈마 처리를 실시하는 것으로서, 상기 장치는, 처리실(2)과; 상기 처리실(2) 내에 처리 가스를 공급하기 위한 공급계와; 상기 처리실을 배기하는 동시에 상기 처리실 내를 진공으로 설정하기 위한 배기계와; 상기 처리실 내에 배치되고 상기 기판을 얹어놓기 위한 지지면(40a)을 가지는 얹어놓는 대와, 상기 얹어놓는 대가 상기 지지면 위에 상기 기판을 흡착 유지하기 위하여 가지는 정전척(40)과; 상기 처리실 내의 상기 지지면에 대향하는 위치에서 상기 처리 가스를 플라즈마화 하는 플라즈마 생성기와, 상기 플라즈마가 상기 지지면(40a)에 대향하여 플라즈마 쉬스(sheath)를 가지는 것과; 상기 지지면(40a) 상에 얹힌 상기 기판과 상기 플라즈마 쉬스의 사이에 위치하도록 배치된 후드(21)를 포함하여 구성되며, 상기 후드는 상기 기판의 상기 주변부(58)와 접촉하지 않으면서 덮어서 그 주변부에 대하여 상기 플라즈마에 의하여 플라즈마 처리가 실시되는 것을 방지하는 것이며, 또한, (i) 상기 기판을 상기 처리실(2) 내에 도입하여 상기 지지면(40a) 상에 얹어놓고, 상기 정전척(40)으로 흡착 유지하는 공정과; (ii) 상기 기판의 상기 주변부(58)를 상기 후드(21)에 의하여 서로 접촉하지 않도록 덮는 공정과; (iii) 상기 처리실 내에 상기 처리 가스를 공급하는 동시에 상기 처리 가스를 플라즈마화 하고, 상기 플라즈마를 사용하여 상기 기판에 플라즈마 처리를 실시하는 것으로서, 상기 주변부(58)에 대하여 플라즈마 처리를 실시하는 것을 상기 후드(21)에 의하여 방지하도록 하는 공정으로 이루어지는 본 공정을 포함하여 구성되는, 기판에 대하여 플라즈마 처리를 실시하는 방법.
  15. 제14항에 있어서, 상기 후드는 상기 주변부에 대향하는 내부 플랜지를 포함하여 구성되고, 상기 플랜지는 상기 기판의 모서리로부터 돌출하는 길이가 0.1~20mm이고, 상기 주변부(58)로부터 0.3~25mm 떨어져 위치하는 플라즈마 처리장치.
  16. 제14항에 있어서, 상기 장치가, 상기 플라즈마 생성기의 작동을 제어하는 콘트롤러(32)를 더욱 포함하고, 상기 방법이, 상기 후드(21)의 클리닝에 필요한 플라즈마 처리의 총처리 시간의 기준치를 상기 콘트롤러(32)에 설정하는 공정과, 상기 콘트롤러에 의하여 복수개의 기판에 걸쳐 플라즈마 처리를 실시한 시간을 합산하여 합산치를 얻는 공정과, 상기 콘트롤러에 의하여 상기 기준치와 상기 합산치를 비교하여, 상기 합산치가 상기 기준치를 초과한 경우에, 상기 후드를 클리닝하라는 지시 신호를 출력하는 공정과, 상기 지시 신호를 작동자가 인식할 수 있도록 표시하는 공정을 더욱 포함하는 플라즈마 처리방법.
KR1019940036495A 1993-12-24 1994-12-24 플라즈마처리장치및방법 KR100290048B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP93-347386 1993-12-24
JP34738693A JP3118497B2 (ja) 1993-12-24 1993-12-24 プラズマ処理装置及びプラズマ処理方法
JP93-352895 1993-12-28
JP35289593A JPH07201829A (ja) 1993-12-28 1993-12-28 プラズマ処理装置の洗浄方法

Publications (2)

Publication Number Publication Date
KR950021168A KR950021168A (ko) 1995-07-26
KR100290048B1 true KR100290048B1 (ko) 2001-12-01

Family

ID=26578497

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940036495A KR100290048B1 (ko) 1993-12-24 1994-12-24 플라즈마처리장치및방법

Country Status (3)

Country Link
US (2) US5578164A (ko)
KR (1) KR100290048B1 (ko)
TW (1) TW357404B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100742126B1 (ko) * 2006-08-22 2007-07-24 (주)에스비즈 에칭 설비의 전극간 갭 평형 감지 장치

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7365513B1 (en) 1994-04-01 2008-04-29 Nikon Corporation Positioning device having dynamically isolated frame, and lithographic device provided with such a positioning device
US5874820A (en) 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US6989647B1 (en) 1994-04-01 2006-01-24 Nikon Corporation Positioning device having dynamically isolated frame, and lithographic device provided with such a positioning device
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US5955381A (en) * 1998-03-03 1999-09-21 Lucent Technologies Inc. Integrated circuit fabrication
US6548230B1 (en) * 1998-09-18 2003-04-15 Taiwan Semiconductor Manufacturing Co., Ltd Method for in-situ removal of photoresist and sidewall polymer
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US20030205192A1 (en) * 1999-01-19 2003-11-06 Tokyo Electron Limited Film forming method
TW457616B (en) * 1999-01-19 2001-10-01 Tokyo Electron Ltd Film-forming apparatus
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
DE60043505D1 (de) * 1999-05-06 2010-01-21 Tokyo Electron Ltd Apparat für die plasma-behandlung
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6176931B1 (en) 1999-10-29 2001-01-23 International Business Machines Corporation Wafer clamp ring for use in an ionized physical vapor deposition apparatus
JP4419237B2 (ja) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
US6602793B1 (en) * 2000-02-03 2003-08-05 Newport Fab, Llc Pre-clean chamber
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
DE10008004B4 (de) * 2000-02-22 2005-11-17 Infineon Technologies Dresden Gmbh & Co. Ohg Schutzblende für eine elektrostatische Haltevorrichtung
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
JP4847009B2 (ja) 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040079289A1 (en) * 2002-10-23 2004-04-29 Kellerman Peter L. Electrostatic chuck wafer port and top plate with edge shielding and gas scavenging
US6897128B2 (en) * 2002-11-20 2005-05-24 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
GB2398166B (en) * 2003-02-07 2007-03-28 Trikon Technologies Ltd Electrostatic clamping of thin wafers in plasma processing vacuum chamber
DE102004002243A1 (de) * 2003-02-07 2004-09-16 Trikon Technologies Limited, Newport Elektrostatische Klemmhalterung für dünne Wafer in einer Vakuumkammer zur Plasmabearbeitung
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
US8058585B2 (en) * 2006-03-13 2011-11-15 Tokyo Electron Limited Plasma processing method, plasma processing apparatus and storage medium
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
JP4858395B2 (ja) 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
US8784622B2 (en) * 2007-12-06 2014-07-22 Intevac, Inc. System and method for dual-sided sputter etch of substrates
JP5352103B2 (ja) * 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
SG10201402319QA (en) 2009-05-15 2014-07-30 Entegris Inc Electrostatic chuck with polymer protrusions
KR102164678B1 (ko) 2009-08-31 2020-10-12 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
WO2011149918A2 (en) 2010-05-28 2011-12-01 Entegris, Inc. High surface resistivity electrostatic chuck
JP5948040B2 (ja) 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法及び半導体装置の作製方法
US8815635B2 (en) 2010-11-05 2014-08-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of photoelectric conversion device
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
WO2012133585A1 (ja) 2011-03-29 2012-10-04 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
CN105977126B (zh) * 2011-05-31 2018-12-07 应用材料公司 用于等离子体蚀刻腔室的孔部件
JP6106162B2 (ja) * 2011-05-31 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 縁部、側部及び裏面の保護を備えたドライエッチングのための装置及び方法
WO2013108750A1 (ja) * 2012-01-17 2013-07-25 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
US9184030B2 (en) * 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
JP2014056987A (ja) * 2012-09-13 2014-03-27 Tokyo Electron Ltd プラズマ処理装置
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
JP5934939B2 (ja) * 2013-05-09 2016-06-15 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5962921B2 (ja) 2013-05-09 2016-08-03 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US10662520B2 (en) * 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63300517A (ja) * 1987-05-29 1988-12-07 Fujitsu Ltd エッチング方法
JPH01198026A (ja) * 1988-02-03 1989-08-09 Teru Kyushu Kk 半導体ウェハの露光装置
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5294320A (en) * 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
WO1992007377A1 (en) * 1990-10-23 1992-04-30 Genus, Inc. Sacrificial metal etchback system
US5560804A (en) * 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
KR100279763B1 (ko) * 1992-11-12 2001-03-02 조셉 제이. 스위니 저열팽창 클램프 장치 및 클램핑 방법
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5494522A (en) * 1993-03-17 1996-02-27 Tokyo Electron Limited Plasma process system and method
US5437757A (en) * 1994-01-21 1995-08-01 Applied Materials, Inc. Clamp ring for domed pedestal in wafer processing chamber
US5459632A (en) * 1994-03-07 1995-10-17 Applied Materials, Inc. Releasing a workpiece from an electrostatic chuck

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100742126B1 (ko) * 2006-08-22 2007-07-24 (주)에스비즈 에칭 설비의 전극간 갭 평형 감지 장치

Also Published As

Publication number Publication date
US5578164A (en) 1996-11-26
KR950021168A (ko) 1995-07-26
TW357404B (en) 1999-05-01
US5779803A (en) 1998-07-14

Similar Documents

Publication Publication Date Title
KR100290048B1 (ko) 플라즈마처리장치및방법
US4908095A (en) Etching device, and etching method
US7572737B1 (en) Apparatus and methods for adjusting an edge ring potential substrate processing
US6899109B1 (en) Method and apparatus for reducing He backside faults during wafer processing
US5916454A (en) Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
TWI460805B (zh) 處理基板的裝置與方法
US20070000614A1 (en) Method and apparatus for reducing substrate backside deposition during processing
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
JPH06244123A (ja) 改良された排出システムを有する単一基板式の真空処理装置
JPH09129612A (ja) エッチングガス及びエッチング方法
US11359279B2 (en) Cleaning method and film deposition method
EP0416646B1 (en) Apparatus and method for processing substrates
US20090229759A1 (en) Annular assembly for plasma processing, plasma processing apparatus, and outer annular member
US10115572B2 (en) Methods for in-situ chamber clean in plasma etching processing chamber
JP2895909B2 (ja) プラズマ処理方法
JP2007067353A (ja) プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
JP5411098B2 (ja) 分割可能な電極及びこの電極を用いたプラズマ処理装置ならびに電極交換方法
JP4669137B2 (ja) 分割可能な電極及びこの電極を用いたプラズマ処理装置
US6545245B2 (en) Method for dry cleaning metal etching chamber
JP3118497B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20050050708A1 (en) Embedded fastener apparatus and method for preventing particle contamination
JPH1032192A (ja) プラズマ処理装置
JP2804762B2 (ja) プラズマ処理装置
JP5059320B2 (ja) 基板処理装置のクリーニング方法,基板処理装置,プログラム,プログラムを記録した記録媒体
JP2885150B2 (ja) ドライエッチング装置のドライクリーニング方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20060223

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee