JPWO2015115002A1 - Method for forming fine pattern, method for manufacturing semiconductor device, substrate processing apparatus, and recording medium - Google Patents

Method for forming fine pattern, method for manufacturing semiconductor device, substrate processing apparatus, and recording medium Download PDF

Info

Publication number
JPWO2015115002A1
JPWO2015115002A1 JP2015559786A JP2015559786A JPWO2015115002A1 JP WO2015115002 A1 JPWO2015115002 A1 JP WO2015115002A1 JP 2015559786 A JP2015559786 A JP 2015559786A JP 2015559786 A JP2015559786 A JP 2015559786A JP WO2015115002 A1 JPWO2015115002 A1 JP WO2015115002A1
Authority
JP
Japan
Prior art keywords
film
etching
gas
forming
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015559786A
Other languages
Japanese (ja)
Inventor
島本 聡
聡 島本
由上 二郎
二郎 由上
義朗 廣瀬
義朗 廣瀬
菊池 俊之
俊之 菊池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JPWO2015115002A1 publication Critical patent/JPWO2015115002A1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

シリコンを主成分とする第1の膜に対して、前記第1の膜よりもシリコン含有率が少ない膜である第2の膜に対して、高選択にエッチングする技術を提供するために、シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない第2の膜を含む積層膜を形成し、形成された積層膜に複数の第一穴を設けて第1微細パターンを形成する工程と、前記複数の第一穴夫々にチャネルを形成した後、前記夫々のチャネル間に第二穴を設けて第2微細パターンを形成する工程と、前記第2微細パターンにフッ素を含むエッチングガスを供給して、前記第二穴に隣接された前記第1の膜を除去するエッチング工程と、を有し、前記エッチング工程では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去するように構成する。【選択図】図5In order to provide a technique for highly selectively etching a second film, which is a film having a lower silicon content than the first film, with respect to the first film containing silicon as a main component, A laminated film including a first film mainly composed of a second film and a second film having a lower silicon content than the first film, and a plurality of first holes are provided in the formed laminated film. Forming a fine pattern; forming a channel in each of the plurality of first holes; then providing a second hole between the channels; and forming a second fine pattern; and An etching step of supplying an etching gas containing fluorine to remove the first film adjacent to the second hole, and in the etching step, the same film type as that of the second film is formed. Etching into the film forming the channel formed Scan is configured to remove said first film to reach. [Selection] Figure 5

Description

本発明は、特定の処理ガスを利用したエッチング技術を用いた微細パターン形成方法、半導体装置の製造方法、基板処理装置及び記録媒体に関するものである。   The present invention relates to a method for forming a fine pattern using an etching technique using a specific processing gas, a method for manufacturing a semiconductor device, a substrate processing apparatus, and a recording medium.

半導体装置においては、更なる高集積化を図る為にパターンの微細化が進められている。微細パターンを実現するために、エッチング工程を用いた様々な手法(例えば特許文献1参照)が検討されている。これらの手法を活用することで、極細の溝や柱を有するパターンを形成することが可能となる。   In semiconductor devices, pattern miniaturization is being promoted in order to achieve higher integration. In order to realize a fine pattern, various methods using an etching process (for example, see Patent Document 1) have been studied. By utilizing these methods, it is possible to form a pattern having extremely fine grooves and columns.

近年、NANDフラッシュメモリに代表されるフラッシュメモリは加工面、電気特性面の双方の点から微細化の継続は困難になってきている。そこで従来の2D上での素子寸法の微細化によるビット集積度向上のアプローチに比べて素子寸法の微細化を緩和する事ができる3D構造のNANDフラッシュメモリの開発が進められている。   In recent years, it has become difficult to continue miniaturization of flash memory represented by NAND flash memory in terms of both processing and electrical characteristics. Therefore, development of a NAND flash memory having a 3D structure capable of mitigating the miniaturization of the element size as compared with the conventional approach of improving the degree of bit integration by miniaturizing the element size on 2D is underway.

3D構造のデバイスの製造において、従来の反応性イオンエッチングでは、ポリシリコン(Poly-Si)膜をポリシリコン以外の膜(例えば、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、カーボン膜等)に対して高選択に除去することは困難であった。つまり、ポリシリコン膜とSiO膜の積層構造で貫通溝をくり抜いた後に側壁に露出したポリシリコン膜とSiO膜の層のうち、ポリシリコン膜のみをエッチングすることは、反応性イオンエッチングを用いた場合、SiO膜等との選択性の問題や、等方性エッチングが必要になる点から非常に困難であった。また、ハードマスク膜(例えば、カーボン膜等)との選択性の問題もあった。In the production of devices having a 3D structure, in the conventional reactive ion etching, a polysilicon (Poly-Si) film is replaced with a film other than polysilicon (for example, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, a carbon film, etc.). However, it was difficult to remove with high selection. That is, of the polysilicon film and the SiO 2 film polysilicon film and SiO 2 film layers exposed to the sidewall after hollowed out through grooves in the laminated structure of, etching only the polysilicon film, a reactive ion etching When it was used, it was very difficult from the viewpoint of selectivity with the SiO 2 film and the like and the need for isotropic etching. There is also a problem of selectivity with a hard mask film (for example, a carbon film).

また、フッ硝酸を酢酸で希釈したエッチング液等のシリコンのウェットエッチングでは表面張力の問題でパターン倒れ等の問題があった。特に、幅と溝の比(アスペクト比)が10以上の場合は、このパターン倒れが顕著となっている。   In addition, wet etching of silicon such as an etchant obtained by diluting hydrofluoric acid with acetic acid has a problem of pattern collapse due to surface tension. In particular, when the ratio of the width to the groove (aspect ratio) is 10 or more, this pattern collapse is significant.

このように、従来の反応性イオンエッチングやウェットエッチングでは、上記したシリコンチャネルの側壁にポリシリコン膜とSiO膜が露出するようなパターン形状において、適切なエッチングを行うことができなかった。この結果、3D構造のNANDフラッシュメモリを有する半導体装置の製造歩留りの低下が生じる場合があった。As described above, in the conventional reactive ion etching or wet etching, appropriate etching cannot be performed in a pattern shape in which the polysilicon film and the SiO 2 film are exposed on the side wall of the silicon channel. As a result, the manufacturing yield of a semiconductor device having a NAND flash memory with a 3D structure may be reduced.

特開2011−44493JP2011-44493A

本発明の目的は、シリコンを主成分とする第1の膜を、前記第1の膜よりもシリコン含有率が少ない膜である第2の膜に対して、高選択にエッチングする技術を用いた微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体を提供することにある。   An object of the present invention is to use a technique for etching a first film containing silicon as a main component with respect to a second film which is a film having a lower silicon content than the first film. An object of the present invention is to provide a fine pattern forming method, a semiconductor device manufacturing method, a substrate processing apparatus, and a recording medium.

本発明の一態様によれば、 シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない第2の膜を含む積層膜を形成し、形成された積層膜に複数の第一穴を設けて第1微細パターンを形成する工程と、前記複数の第一穴夫々にチャネルを形成した後、前記夫々のチャネル間に第二穴を設けて第2微細パターンを形成する工程と、前記第2微細パターンにフッ素を含むエッチングガスを供給して、前記第二穴に隣接された前記第1の膜を除去するエッチング工程と、を有し、前記エッチング工程では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去する微細パターン形成方法が提供される。According to one embodiment of the present invention, a stacked film including a first film containing silicon as a main component and a second film having a lower silicon content than the first film is formed, and the formed stacked film Forming a first fine pattern by providing a plurality of first holes, and forming a channel in each of the plurality of first holes, and then forming a second fine pattern by providing a second hole between the channels. a step of, by supplying an etching gas containing fluorine in the second microscopic pattern, have a, an etching step of removing the first film which is adjacent to the second hole, in the etching step, the A fine pattern forming method is provided in which the first film is removed until the etching gas reaches the film forming the channel formed of the same film type as the second film .

上記の構成によれば、シリコンを主成分とする第1の膜に対して、前記第1の膜よりもシリコン含有率が少ない膜である第2の膜に対して、高選択にエッチングする技術を提供することができる。   According to the above configuration, a technique for etching the second film, which is a film having a lower silicon content than the first film, with high selectivity with respect to the first film containing silicon as a main component. Can be provided.

本発明の実施形態に係る基板処理装置の概略横断面図である。1 is a schematic cross-sectional view of a substrate processing apparatus according to an embodiment of the present invention. 本発明の実施形態に係る基板処理装置の概略縦断面図である。It is a schematic longitudinal cross-sectional view of the substrate processing apparatus which concerns on embodiment of this invention. 本発明の実施形態に係る基板処理装置が有する第1の処理ユニットの縦断面図である。It is a longitudinal section of the 1st processing unit which the substrate processing apparatus concerning the embodiment of the present invention has. 第1の処理ユニットが有するサセプタの縦断面図である。It is a longitudinal cross-sectional view of the susceptor which the 1st processing unit has. 本発明の実施形態に係る半導体デバイス作成の一工程である微細パターン形成処理のフローを示す図である。It is a figure which shows the flow of the fine pattern formation process which is one process of the semiconductor device creation which concerns on embodiment of this invention. 本発明の実施形態に係るコントローラの構造図である。It is a structural diagram of a controller according to an embodiment of the present invention. 本発明の実施形態に係る第1微細パターン形成処理の第1段階を示す図である。It is a figure which shows the 1st step of the 1st fine pattern formation process which concerns on embodiment of this invention. 本発明の実施形態に係る第1微細パターン形成処理の第2段階を示す図である。It is a figure which shows the 2nd step of the 1st fine pattern formation process which concerns on embodiment of this invention. 本発明の実施形態に係る第2微細パターン形成処理の第1段階を示す図である。It is a figure which shows the 1st step of the 2nd fine pattern formation process which concerns on embodiment of this invention. 本発明の実施形態に係る第2微細パターン形成処理の第2段階を示す図である。It is a figure which shows the 2nd step of the 2nd fine pattern formation process which concerns on embodiment of this invention. 本発明の実施形態に係る第3微細パターン形成処理を示す図である。It is a figure which shows the 3rd fine pattern formation process which concerns on embodiment of this invention. 本発明の実施形態に係る第4微細パターン形成処理の第1段階を示す図である。It is a figure which shows the 1st step of the 4th fine pattern formation process which concerns on embodiment of this invention. 本発明の実施形態に係る第4微細パターン形成処理の第2段階を示す図である。It is a figure which shows the 2nd step of the 4th fine pattern formation process which concerns on embodiment of this invention. 本発明の実施形態に係る第1の処理ユニット(第2の処理ユニット)における処理フローを示す図である。It is a figure which shows the processing flow in the 1st processing unit (2nd processing unit) which concerns on embodiment of this invention. 本発明の実施形態に係る基板処理装置で用いられるエッチングガスの特性を示す図である。It is a figure which shows the characteristic of the etching gas used with the substrate processing apparatus which concerns on embodiment of this invention. 本発明の実施形態に係る基板処理装置が有する第3の処理ユニットの縦断面図である。It is a longitudinal cross-sectional view of the 3rd processing unit which the substrate processing apparatus which concerns on embodiment of this invention has. 本発明の実施形態に係る基板処理装置が有する第3の処理ユニットの縦断面図である。It is a longitudinal cross-sectional view of the 3rd processing unit which the substrate processing apparatus which concerns on embodiment of this invention has. 本発明の実施形態に係る第3の処理ユニットにおける処理フローを示す図である。It is a figure which shows the processing flow in the 3rd processing unit which concerns on embodiment of this invention.

発明者等は、上記課題を解決するために、少なくともフッ素を含むエッチングガスを用いたドライエッチングを行うことで、一定の温度領域おいて、少なくとも酸化シリコン(SiO)、窒化シリコン(Si)、窒化チタン(TiN)、アモルファス・カーボン(a−C)等に対して、シリコン(Si)元素を主成分とするSi膜を選択的に除去することができることを見出した。また、少なくともフッ素を含むエッチングガスを用いることによって、エッチングガスをプラズマ化せずに、高い選択性を維持してSi元素を主成分とするSi膜を等方的に除去させることができることを見出した。In order to solve the above problems, the inventors have performed dry etching using an etching gas containing at least fluorine, so that at least silicon oxide (SiO 2 ) and silicon nitride (Si 3 N) in a certain temperature range. 4 ) It has been found that a Si film mainly composed of a silicon (Si) element can be selectively removed with respect to titanium nitride (TiN), amorphous carbon (a-C), and the like. Further, it has been found that by using an etching gas containing at least fluorine, the Si film containing Si element as a main component can be removed isotropically while maintaining a high selectivity without making the etching gas into plasma. It was.

ここで、Si元素を主成分(シリコンを主成分)とするSi膜とは、例えば、Si元素が90%以上含む膜である。なお、「高い選択性」とは、例えばシリコンを主成分とした第1の膜(例えばシリコン膜)のエッチングレートを、第1の膜よりもシリコン含有率が少ない膜(例えば、シリコン酸化膜やシリコン酸窒化膜やシリコン窒化膜等)である第2の膜よりも高くすることを言う。より良くは、第2の膜をエッチングせずに、第1の膜をエッチングすることを言う。 Here, the Si film containing Si element as a main component (silicon as a main component) is, for example, a film containing 90% or more of Si element. Note that “high selectivity” means, for example, that the etching rate of a first film (for example, a silicon film) containing silicon as a main component is a film having a lower silicon content than the first film (for example, a silicon oxide film or It means higher than the second film which is a silicon oxynitride film or a silicon nitride film. Even better, it refers to etching the first film without etching the second film.

図15は、本実施の形態において用いられるエッチングガスの一つであるIF(若しくはIF)ガスの蒸気圧特性に関する図である。FIG. 15 is a diagram regarding the vapor pressure characteristics of IF 7 (or IF 5 ) gas, which is one of the etching gases used in the present embodiment.

図15に示すように、七フッ化ヨウ素(IF)(若しくは、五フッ化ヨウ素(IF))ガスは、後述する本実施の形態におけるエッチング処理条件Cにおいて、明らかに気体となる条件である。このような減圧で温度が30℃〜50℃である条件でIF(若しくはIF)ガスは、上述したように、Si膜に対して高い選択性を維持しつつ、Si膜に対して等方的にエッチングすることができる。As shown in FIG. 15, iodine heptafluoride (IF 7 ) (or iodine pentafluoride (IF 5 )) gas is a gas that is clearly a gas in the etching process condition C in the present embodiment described later. is there. As described above, the IF 7 (or IF 5 ) gas maintains high selectivity with respect to the Si film and the like with respect to the Si film under the condition that the temperature is 30 ° C. to 50 ° C. under such reduced pressure. Can be etched.

ここで、IFガスは、公知の製造過程から副生成物としてIFガスが生じることが考えられる。しかしながら、後述するエッチング処理条件Cのような減圧で温度が30℃〜50℃(好ましくは、30℃〜40℃)である条件では、IFガスによるエッチングと共に、上述した副生成物として生成されるIFガスも気体であるため、基板上に付着することなく容易にパージできると考えられる。Here, IF 7 gas, it is considered that IF 5 gas is generated as a by-product from the known production process. However, under conditions where the temperature is 30 ° C. to 50 ° C. (preferably 30 ° C. to 40 ° C.) under reduced pressure as in etching processing condition C described later, it is produced as a by-product as described above together with etching with IF 7 gas. Since the IF 5 gas is also a gas, it can be easily purged without adhering to the substrate.

尚、副生成物としてのIFガスを除去するには、図15に示すように基板温度を100℃以上に加熱することが好ましい。従い、副生成物除去を考慮すると、エッチングガスを処理室から排除するパージ工程において、温度を上昇させることが好ましい。In order to remove IF 5 gas as a by-product, it is preferable to heat the substrate temperature to 100 ° C. or higher as shown in FIG. Therefore, in consideration of removal of by-products, it is preferable to raise the temperature in the purge process in which the etching gas is removed from the processing chamber.

1.基板処理装置の構成 以下、図面を参照して、本発明の実施形態における基板処理装置を説明する。本実施形態において、基板処理装置は、一例として、半導体装置(IC:Integrated Circuit)の製造方法における処理工程を実施する半導体製造装置として構成されている。また、本実施形態の基板処理装置は、1つの処理室で1枚の基板にエッチング処理を行う枚葉装置として構成されている。図1は、本発明の実施形態に係る基板処理装置の概略横断面図である。図2は、本発明の実施形態に係る基板処理装置の概略縦断面図である。 1. Configuration of Substrate Processing Apparatus Hereinafter, a substrate processing apparatus according to an embodiment of the present invention will be described with reference to the drawings. In the present embodiment, as an example, the substrate processing apparatus is configured as a semiconductor manufacturing apparatus that performs a processing step in a manufacturing method of a semiconductor device (IC: Integrated Circuit). Further, the substrate processing apparatus of the present embodiment is configured as a single wafer apparatus that performs an etching process on one substrate in one processing chamber. FIG. 1 is a schematic cross-sectional view of a substrate processing apparatus according to an embodiment of the present invention. FIG. 2 is a schematic longitudinal sectional view of the substrate processing apparatus according to the embodiment of the present invention.

図1、図2に示されるように、基板処理装置20は、EFEM(Equipment Front End Module)100と、ロードロックチャンバ部200と、トランスファーモジュール部300と、エッチング処理が行われる処理室を含むプロセスチャンバ部400を備えている。 なお、図1のx方向やy方向を横方向と称し、図2のz方向を縦方向と称す。そして、本実施形態においては、xy面は水平面と平行であり、z方向は鉛直方向である。   As shown in FIGS. 1 and 2, the substrate processing apparatus 20 includes a process including an EFEM (Equipment Front End Module) 100, a load lock chamber unit 200, a transfer module unit 300, and a processing chamber in which an etching process is performed. A chamber unit 400 is provided. 1 is referred to as a horizontal direction, and the z direction in FIG. 2 is referred to as a vertical direction. In the present embodiment, the xy plane is parallel to the horizontal plane, and the z direction is the vertical direction.

EFEM100は、FOUP(Front Opening Unified Pod)110を載置するロードポート120と、ロードポート120上のFOUP110とロードロックチャンバ250,260との間で、基板としてのウエハ60を搬送する第1の搬送部である大気搬送ロボット130とを備える。ここで、基板(ウェハ60)に関する詳細は後述する。   The EFEM 100 includes a load port 120 on which a FOUP (Front Opening Unified Pod) 110 is placed, and a first transfer that transfers a wafer 60 as a substrate between the FOUP 110 on the load port 120 and the load lock chambers 250 and 260. And an atmospheric transfer robot 130 as a unit. Details of the substrate (wafer 60) will be described later.

基板収容器であるFOUP110には、ウエハ60が25枚まで収容可能である。未処理基板を収容したFOUP110は、装置外部の搬送手段により、ロードポート120上に載置され、また、処理済み基板を収容したFOUP110は、装置外部の搬送手段により、ロードポート120上から搬出される。   The FOUP 110, which is a substrate container, can accommodate up to 25 wafers 60. The FOUP 110 containing the unprocessed substrate is placed on the load port 120 by the transport means outside the apparatus, and the FOUP 110 containing the processed substrate is unloaded from the load port 120 by the transport means outside the apparatus. The

大気搬送ロボット130は、5枚のツィーザ131を有し、同時に5枚のウエハ60を搬送することができる。大気搬送ロボット130は、図1のxy面において矢印D1方向に水平回転可能であり、また、矢印D2方向(yy´方向)に水平移動可能である。そして、大気搬送ロボット130のツィーザ131は、図2の矢印D4方向(zz´方向)に昇降可能であり、また、図1の矢印D3方向(xx´方向)に進退可能である。   The atmospheric transfer robot 130 has five tweezers 131 and can transfer five wafers 60 at the same time. The atmospheric transfer robot 130 can horizontally rotate in the direction of the arrow D1 on the xy plane in FIG. 1, and can move horizontally in the direction of the arrow D2 (direction yy ′). The tweezer 131 of the atmospheric transfer robot 130 can move up and down in the direction of arrow D4 (zz ′ direction) in FIG. 2, and can move back and forth in the direction of arrow D3 (xx ′ direction) in FIG.

ロードロックチャンバ部200は、ロードロックチャンバ250,260を備えている。ロードロックチャンバ250は、FOUP110から搬送されたウエハ60を保持するバッファユニット210を備えている。バッファユニット210は、ボート211とその下部のインデックスアセンブリ212とを備えている。ボート211と、その下部のインデックスアセンブリ212は、図1の矢印D5方向に水平回転可能である。この回転により、ボート211の基板出し入れ口を、大気搬送ロボット130又は真空搬送ロボット320へ向けることができる。インデックスアセンブリ212は、ボート211を昇降させる昇降機構である。   The load lock chamber unit 200 includes load lock chambers 250 and 260. The load lock chamber 250 includes a buffer unit 210 that holds the wafer 60 transferred from the FOUP 110. The buffer unit 210 includes a boat 211 and an index assembly 212 below the boat 211. The boat 211 and the index assembly 212 below the boat 211 are horizontally rotatable in the direction of arrow D5 in FIG. By this rotation, the substrate entrance / exit of the boat 211 can be directed to the atmospheric transfer robot 130 or the vacuum transfer robot 320. The index assembly 212 is a lifting mechanism that lifts and lowers the boat 211.

ロードロックチャンバ260、及びロードロックチャンバ260内に備えられた、バッファユニット220、ボート221、インデックスアセンブリ222は、それぞれ、ロードロックチャンバ250、バッファユニット210、ボート211、インデックスアセンブリ212と同様の構造と機能を備えている。   The load lock chamber 260, the buffer unit 220, the boat 221, and the index assembly 222 provided in the load lock chamber 260 have the same structure as the load lock chamber 250, the buffer unit 210, the boat 211, and the index assembly 212, respectively. It has a function.

ボート211は、水平姿勢のウエハ60を5枚まで、互いに縦方向(z方向)に間隔を空けた状態で、積載可能である。 ロードロックチャンバ250は、真空排気装置(不図示)を備え、後述するコントローラ600からの指令に基づき、ロードロックチャンバ250内を、所定圧力の真空状態(つまり低圧状態)又は大気圧状態にすることが可能である。   The boat 211 can stack up to five wafers 60 in a horizontal posture in a state where they are spaced apart from each other in the vertical direction (z direction). The load lock chamber 250 includes an evacuation device (not shown), and makes the load lock chamber 250 in a vacuum state (that is, a low pressure state) or an atmospheric pressure state with a predetermined pressure based on a command from the controller 600 described later. Is possible.

トランスファーモジュール部300は、真空搬送室として用いられるトランスファーモジュール310を備えており、先述のロードロックチャンバ250(260)は、ゲートバルブ311(312)を介して、トランスファーモジュール310に取り付けられている。トランスファーモジュール310には、第2の搬送部として用いられる真空搬送ロボット320が設けられている。真空搬送ロボット320は、ロードロックチャンバ250,260と処理ユニット410,510との間でウエハ60を搬送する。トランスファーモジュール部300内は、常時、所定圧力の真空状態に維持される。   The transfer module unit 300 includes a transfer module 310 used as a vacuum transfer chamber, and the above-described load lock chamber 250 (260) is attached to the transfer module 310 via a gate valve 311 (312). The transfer module 310 is provided with a vacuum transfer robot 320 used as a second transfer unit. The vacuum transfer robot 320 transfers the wafer 60 between the load lock chambers 250 and 260 and the processing units 410 and 510. The inside of the transfer module unit 300 is always maintained in a vacuum state at a predetermined pressure.

プロセスチャンバ部400は、エッチング処理を行う処理ユニット410(510)を備えている。処理ユニット410(510)は、ゲートバルブ313(314)を介してトランスファーモジュール310に取り付けられている。処理ユニット410,510内は、常時、所定圧力の真空状態に維持される。   The process chamber section 400 includes a processing unit 410 (510) that performs an etching process. The processing unit 410 (510) is attached to the transfer module 310 via a gate valve 313 (314). The processing units 410 and 510 are always maintained in a vacuum state at a predetermined pressure.

基板処理装置20は、更に、基板処理装置20の各構成に電気的に接続、つまり電気信号により接続されるコントローラ600を有する。コントローラ600は各構成の動作を制御する。コントローラ600の構成は、後述する。   The substrate processing apparatus 20 further includes a controller 600 that is electrically connected to each component of the substrate processing apparatus 20, that is, connected by an electrical signal. The controller 600 controls the operation of each component. The configuration of the controller 600 will be described later.

以上のように構成された基板処理装置20においては、ロードポート120上のFOUP110から、大気圧状態のロードロックチャンバ250(260)へ、ウエハ60が搬送される。この際、まず、図2に示されるように、大気搬送ロボット130が、FOUP110にツィーザ131を挿入し、同時に5枚のウエハをツィーザ131上へ載置する。このとき、取り出すウエハ60の高さ方向の位置に合わせて、大気搬送ロボット130のツィーザ131を上下させる。   In the substrate processing apparatus 20 configured as described above, the wafer 60 is transferred from the FOUP 110 on the load port 120 to the load lock chamber 250 (260) in the atmospheric pressure state. At this time, first, as shown in FIG. 2, the atmospheric transfer robot 130 inserts the tweezer 131 into the FOUP 110 and simultaneously places five wafers on the tweezer 131. At this time, the tweezer 131 of the atmospheric transfer robot 130 is moved up and down in accordance with the height position of the wafer 60 to be taken out.

ウエハ60をツィーザ131へ載置してFOUP110から取出した後、大気搬送ロボット130は、矢印D1方向に回転し、大気圧状態のバッファユニット210(220)内のボート211(221)にウエハ60を搭載する。このとき、ボート211(221)のZ方向の動作により、ボート211(221)は、大気搬送ロボット130から5枚のウエハ60を受け取る。5枚のウエハ60を受け取った後、ボート211(221)の最下層にあるウエハ60の位置が、トランスファーモジュール部300の高さ位置に合うよう、ボート211(221)をZ方向に昇降動作させる。   After placing the wafer 60 on the tweezer 131 and taking it out of the FOUP 110, the atmospheric transfer robot 130 rotates in the direction of the arrow D1, and puts the wafer 60 on the boat 211 (221) in the buffer unit 210 (220) in the atmospheric pressure state. Mount. At this time, the boat 211 (221) receives five wafers 60 from the atmospheric transfer robot 130 by the operation of the boat 211 (221) in the Z direction. After receiving the five wafers 60, the boat 211 (221) is moved up and down in the Z direction so that the position of the wafer 60 in the lowermost layer of the boat 211 (221) matches the height position of the transfer module unit 300. .

次に、ロードロックチャンバ250(260)内を所定圧力の真空状態にした後、ロードロックチャンバ250(260)内のボート211(221)に保持されているウエハ60のうち1枚のウエハ60を、真空搬送ロボット320が、処理ユニット410(510)内のサセプタテーブル411(511)上に移載する。このとき、真空搬送ロボット320は、ボート211(221)に保持されている1枚のウエハ60を、フィンガー321に搭載し、ボート211(221)から取出した後、矢印D7方向に回転し、さらに矢印D8方向にフィンガー321を延伸し、処理ユニット410(510)内のサセプタテーブル411(511)上に移載する。   Next, after the inside of the load lock chamber 250 (260) is evacuated to a predetermined pressure, one of the wafers 60 held by the boat 211 (221) in the load lock chamber 250 (260) is removed. The vacuum transfer robot 320 is transferred onto the susceptor table 411 (511) in the processing unit 410 (510). At this time, the vacuum transfer robot 320 mounts one wafer 60 held in the boat 211 (221) on the finger 321 and removes it from the boat 211 (221), and then rotates in the arrow D7 direction. The finger 321 is extended in the direction of arrow D8 and transferred onto the susceptor table 411 (511) in the processing unit 410 (510).

ウエハ60を、フィンガー321からサセプタテーブル411(511)へ移載する際は、フィンガー321とリフターピン413との協働により、ウエハ60が、サセプタテーブル411(511)上に移載される。詳しくは、ウエハ60を載置したフィンガー321が、サセプタテーブル411(511)上の所定の位置に移動してくると、リフターピン413が上昇し、フィンガー321に載置されたウエハ60を、フィンガー321から離間するように支持する。リフターピン413がウエハ60を支持した後、フィンガー321は矢印D8方向に縮退する。その後、リフターピン413が下降することにより、ウエハ60がサセプタテーブル411(511)上に載置される。   When the wafer 60 is transferred from the finger 321 to the susceptor table 411 (511), the wafer 60 is transferred onto the susceptor table 411 (511) by the cooperation of the finger 321 and the lifter pin 413. Specifically, when the finger 321 on which the wafer 60 is placed moves to a predetermined position on the susceptor table 411 (511), the lifter pins 413 are raised, and the wafer 60 placed on the finger 321 is moved to the finger. It supports so that it may space apart from 321. After the lifter pins 413 support the wafer 60, the fingers 321 are retracted in the arrow D8 direction. Thereafter, the lifter pins 413 are lowered to place the wafer 60 on the susceptor table 411 (511).

処理が終了したウエハ60を、サセプタテーブル411(511)から、ロードロックチャンバ250(260)内のボート211(221)へ搬送する際は、真空搬送ロボット320とリフターピン413(513)は、上述したサセプタテーブル411(511)上にウエハ60を移載する動作と逆の動作を行う。   When the processed wafer 60 is transferred from the susceptor table 411 (511) to the boat 211 (221) in the load lock chamber 250 (260), the vacuum transfer robot 320 and the lifter pins 413 (513) are described above. An operation opposite to the operation of transferring the wafer 60 onto the susceptor table 411 (511) is performed.

以上説明したように、基板処理装置20では、ロードポート120上のFOUP110から、大気圧状態のロードロックチャンバ250(260)へウエハ60が搬送される。その後、ロードロックチャンバ250(260)内が真空引き(真空置換)され、ロードロックチャンバ250(260)から、トランスファーモジュール310を経てウエハ60が処理ユニット410(510)へ搬送される。   As described above, in the substrate processing apparatus 20, the wafer 60 is transferred from the FOUP 110 on the load port 120 to the load lock chamber 250 (260) in the atmospheric pressure state. Thereafter, the inside of the load lock chamber 250 (260) is evacuated (vacuum replacement), and the wafer 60 is transferred from the load lock chamber 250 (260) to the processing unit 410 (510) via the transfer module 310.

そして、第1の処理ユニットとしての処理ユニット410(510)で第1の処理(エッチング処理)がなされ、第1の処理がなされたウエハ60が、トランスファーモジュール310を経て、ロードロックチャンバ250(260)へ搬送される。その後、ロードロックチャンバ250(260)内のウエハ60は、ロードポート120上のFOUP110へ戻される。ここで、処理ユニット410(510)へ搬送される前(処理前)のウエハ60(基板)は、例えば、所定の微細パターンが形成された基板が好ましい。特に、本実施の形態においては、例えば、シリコンを主成分とする第1の膜(ポリシリコン膜)と第1の膜よりもシリコン含有率が少ない膜である第2の膜(SiO膜)を交互に少なくとも2層以上積層した積層膜に貫通穴が形成され、前記貫通穴に形成されたチャネル間に所定の溝パターンが形成された基板が好ましい。Then, the first processing (etching processing) is performed in the processing unit 410 (510) as the first processing unit, and the wafer 60 subjected to the first processing passes through the transfer module 310 and then the load lock chamber 250 (260). ). Thereafter, the wafer 60 in the load lock chamber 250 (260) is returned to the FOUP 110 on the load port 120. Here, the wafer 60 (substrate) before being transferred to the processing unit 410 (510) (before processing) is preferably a substrate on which a predetermined fine pattern is formed, for example. In particular, in the present embodiment, for example, a first film (polysilicon film) containing silicon as a main component and a second film (SiO 2 film) that is a film having a lower silicon content than the first film. A substrate in which through holes are formed in a laminated film in which at least two layers are alternately laminated, and a predetermined groove pattern is formed between channels formed in the through holes is preferable.

あるいは、処理ユニット410での第1の処理と処理ユニット510での第2の処理との連続処理を行ってもよい。ここで、第2の処理ユニットとしての処理ユニット510は、処理ユニット410と異なる処理、例えば、処理ユニット510で第2の処理(成膜処理)がなされてもよい。この場合、処理ユニット410(510)へ搬送される前(処理前)のウエハ60(基板)は、所定の微細パターンが形成された基板が好ましいが、Si基板であってもよい。   Alternatively, continuous processing of the first processing in the processing unit 410 and the second processing in the processing unit 510 may be performed. Here, the processing unit 510 as the second processing unit may be subjected to processing different from the processing unit 410, for example, the processing unit 510 may perform the second processing (film formation processing). In this case, the wafer 60 (substrate) before being transferred to the processing unit 410 (510) (before processing) is preferably a substrate on which a predetermined fine pattern is formed, but may be a Si substrate.

あるいは、処理ユニット410で第1の処理(エッチング処理)がなされ、エッチング対象物の除去がなされたウエハ60が、トランスファーモジュール310を経て、処理ユニット510へ搬送される。そして、処理ユニット510で第2の処理(成膜処理)がなされ、第2の処理がなされたウエハ60が、トランスファーモジュール310を経て、再び処理ユニット410へ搬送される。そして、処理ユニット410で第3の処理(エッチング処理)がなされ、第3の処理がなされたウエハ60が、トランスファーモジュール310を経て、ロードロックチャンバ250(260)へ搬送され、その後、ロードポート120上のFOUP110へ戻されるよう搬送することも可能である。ここで、第1の処理がノンプラズマによるエッチング処理であり、第3の処理がプラズマエッチング処理であってもよい。この場合、処理ユニット410(510)へ搬送される前(処理前)のウエハ60(基板)は、所定の微細パターンが形成された基板であってもよいし、Si基板であってもよい。   Alternatively, the wafer 60 that has been subjected to the first process (etching process) in the processing unit 410 and from which the object to be etched has been removed is transferred to the processing unit 510 via the transfer module 310. Then, the second processing (film formation processing) is performed in the processing unit 510, and the wafer 60 subjected to the second processing is transferred to the processing unit 410 again through the transfer module 310. Then, a third process (etching process) is performed in the processing unit 410, and the wafer 60 subjected to the third process is transferred to the load lock chamber 250 (260) through the transfer module 310, and then the load port 120. It is also possible to carry it back to the upper FOUP 110. Here, the first process may be an etching process using non-plasma, and the third process may be a plasma etching process. In this case, the wafer 60 (substrate) before being transferred to the processing unit 410 (510) (before processing) may be a substrate on which a predetermined fine pattern is formed, or may be a Si substrate.

このように、基板処理装置20では、処理ユニット410のみによる単独処理や処理ユニット410と処理ユニット510を用いた連続処理が可能である。   As described above, the substrate processing apparatus 20 can perform single processing using only the processing unit 410 or continuous processing using the processing unit 410 and the processing unit 510.

(第1の処理ユニット) 第1の処理ユニット410について、図3と図4を用いて説明する。図3は、本実施形態に係る基板処理装置が有する第1の処理ユニットの縦断面図である。図4は、第1の処理ユニットが有するサセプタの縦断面図である。 第1の処理ユニット410は、半導体基板や半導体素子にエッチングを施す処理ユニットである。処理ユニット410は、図3に示すように、ガスバッファ室430と、半導体基板などのウエハ60を収容する処理室445とを備えている。処理ユニット410は、例えば、架台としての水平なベースプレート448の上方に、ガスバッファ室430を配置し、ベースプレート448の下方に処理室445を配置して構成される。 (First Processing Unit) The first processing unit 410 will be described with reference to FIGS. 3 and 4. FIG. 3 is a longitudinal sectional view of a first processing unit included in the substrate processing apparatus according to the present embodiment. FIG. 4 is a longitudinal sectional view of a susceptor included in the first processing unit. The first processing unit 410 is a processing unit that performs etching on a semiconductor substrate or a semiconductor element. As shown in FIG. 3, the processing unit 410 includes a gas buffer chamber 430 and a processing chamber 445 that accommodates a wafer 60 such as a semiconductor substrate. The processing unit 410 is configured, for example, by disposing a gas buffer chamber 430 above a horizontal base plate 448 as a gantry and a processing chamber 445 below the base plate 448.

ガスバッファ室430には、ガス導入口433から処理ガスが供給される。ガスバッファ室430の壁431は、高純度の石英硝子やセラミックスにて筒状に形成されている。壁431は、その筒の軸線が垂直になるように配置される。壁431の上端には、トッププレート454が設けられている。トッププレート454は、壁431及び外側シールド432の上端に支持されている。ガスバッファ室430の上端は、トッププレート454によって気密に封止される。   A processing gas is supplied to the gas buffer chamber 430 from the gas inlet 433. The wall 431 of the gas buffer chamber 430 is formed in a cylindrical shape from high-purity quartz glass or ceramics. The wall 431 is arranged so that the axis of the cylinder is vertical. A top plate 454 is provided at the upper end of the wall 431. The top plate 454 is supported on the upper ends of the wall 431 and the outer shield 432. The upper end of the gas buffer chamber 430 is hermetically sealed by a top plate 454.

トッププレート454は、壁431の上端を塞ぐ蓋部454aと、蓋部454aを支持する支持部454bから構成される。蓋部454aのほぼ中央には、ガス導入口433が設けられている。壁431の先端のフランジ部分(壁431から外側に突出した部分)と、支持部454bとの間には、Oリング453が設けられ、ガスバッファ室430を気密にするよう構成している。   The top plate 454 includes a lid portion 454a that closes the upper end of the wall 431, and a support portion 454b that supports the lid portion 454a. A gas inlet 433 is provided in the approximate center of the lid 454a. An O-ring 453 is provided between a flange portion at the tip of the wall 431 (a portion protruding outward from the wall 431) and the support portion 454b, and the gas buffer chamber 430 is configured to be airtight.

処理室445の側壁446は、高純度の石英硝子やセラミックスにて筒状に形成されている。側壁446は、その筒の軸線が垂直になるように配置される。側壁446の上端には、ガスバッファ室430の壁431が配置されている。側壁446の下端には、底板469が配置されている。側壁446は、処理室445内を気密に保つように、底板469の上側に気密に設けられる。   The side wall 446 of the processing chamber 445 is formed in a cylindrical shape with high-purity quartz glass or ceramics. The side wall 446 is disposed so that the axis of the cylinder is vertical. A wall 431 of the gas buffer chamber 430 is disposed at the upper end of the side wall 446. A bottom plate 469 is disposed at the lower end of the side wall 446. The side wall 446 is airtightly provided above the bottom plate 469 so as to keep the inside of the processing chamber 445 airtight.

処理室445の下方には、複数(例えば4本)の支柱461によって支持される基板載置部としてのサセプタ459が設けられる。サセプタ459には、サセプタテーブル411と、サセプタ459の内部に設けられ、サセプタ459上のウエハ60を加熱する基板加熱部としてのヒータ463と、後述するサセプタ冷却剤流路464とが具備される。   Below the processing chamber 445, a susceptor 459 as a substrate mounting portion supported by a plurality of (for example, four) support columns 461 is provided. The susceptor 459 includes a susceptor table 411, a heater 463 that is provided inside the susceptor 459 and heats the wafer 60 on the susceptor 459, and a susceptor coolant channel 464 described later.

サセプタ459の下方には、排気板465が配設される。排気板465は、ガイドシャフト467を介して底板469に支持される。昇降板471は、ガイドシャフト467をガイドとして、昇降自在に動くように設けられる。昇降板471は、少なくとも3本のリフターピン413を支持している。   An exhaust plate 465 is disposed below the susceptor 459. The exhaust plate 465 is supported by the bottom plate 469 via the guide shaft 467. The elevating plate 471 is provided to move up and down with the guide shaft 467 as a guide. The lift plate 471 supports at least three lifter pins 413.

図3に示されるように、リフターピン413は、サセプタ459のサセプタテーブル411を貫通する。そして、リフターピン413の頂には、ウエハ60を支持する支持部414が設けられている。支持部414は、サセプタ459の中心方向に延出している。リフターピン413の昇降によって、ウエハ60をサセプタテーブル411に載置し、あるいはサセプタテーブル411から持ち上げることができる。   As shown in FIG. 3, the lifter pin 413 passes through the susceptor table 411 of the susceptor 459. A support portion 414 that supports the wafer 60 is provided on the top of the lifter pins 413. The support portion 414 extends in the center direction of the susceptor 459. The wafer 60 can be placed on the susceptor table 411 or lifted from the susceptor table 411 by raising and lowering the lifter pins 413.

昇降板471は、底板469を貫通する昇降シャフト472に連結されている。昇降シャフト472は、昇降駆動部473に連結されている。昇降駆動部473が昇降シャフト472を昇降させることで、昇降板471とリフターピン413を介して、支持部414が昇降する。   The lifting plate 471 is connected to a lifting shaft 472 that penetrates the bottom plate 469. The elevating shaft 472 is connected to the elevating drive unit 473. As the elevating drive unit 473 moves the elevating shaft 472 up and down, the support unit 414 moves up and down via the elevating plate 471 and the lifter pin 413.

サセプタ459と排気板465の間に、バッフルリング458が設けられる。バッフルリング458と、サセプタ459と、排気板465とで囲むように、第1排気室474が形成される。円筒状のバッフルリング458には、その側面に、通気孔(不図示)が多数均一に設けられている。したがって、第1排気室474は、バッフルリング458により処理室445と仕切られ、また通気孔によって、処理室445と連通している。   A baffle ring 458 is provided between the susceptor 459 and the exhaust plate 465. A first exhaust chamber 474 is formed so as to be surrounded by the baffle ring 458, the susceptor 459, and the exhaust plate 465. The cylindrical baffle ring 458 is provided with a large number of air holes (not shown) uniformly on its side surface. Therefore, the first exhaust chamber 474 is separated from the processing chamber 445 by the baffle ring 458 and communicates with the processing chamber 445 through the vent holes.

また、排気板465と凹型の底板469とで囲むように、第2排気室476が形成される。そして、排気板465の中心部に、排気連通孔475が設けられる。したがって、排気連通孔475によって、第1排気室474と第2排気室476が連通される。   A second exhaust chamber 476 is formed so as to be surrounded by the exhaust plate 465 and the concave bottom plate 469. An exhaust communication hole 475 is provided at the center of the exhaust plate 465. Accordingly, the first exhaust chamber 474 and the second exhaust chamber 476 are communicated with each other through the exhaust communication hole 475.

(第1の排気部) 底板469を貫通するように、重力方向、つまりz方向に延伸された排気管480が設けられている。第2排気室476は、排気管480と連通している。排気管480には、上流から順に、圧力調整バルブ479、排気ポンプ481が設けられている。 このように、サセプタ459の下方であって、更に重力方向に排気管480を設けることにより、処理室445に供給されたガスは、処理室445に留まることなく容易に排気される。したがって、保守員によるメンテナンス実施時において、ガスとの接触による危険度を低減することができる。 排気管480、圧力調整バルブ479を少なくとも有するように、処理室445内のガス(雰囲気)を排出する第1の排気部が構成される。なお、排気ポンプ481を第1の排気部に含めても良い。 (First Exhaust Portion) An exhaust pipe 480 extending in the gravity direction, that is, the z direction is provided so as to penetrate the bottom plate 469. The second exhaust chamber 476 communicates with the exhaust pipe 480. The exhaust pipe 480 is provided with a pressure adjustment valve 479 and an exhaust pump 481 in order from the upstream. Thus, by providing the exhaust pipe 480 below the susceptor 459 and in the direction of gravity, the gas supplied to the processing chamber 445 is easily exhausted without staying in the processing chamber 445. Therefore, it is possible to reduce the degree of danger due to contact with gas when maintenance is performed by maintenance personnel. A first exhaust unit that exhausts the gas (atmosphere) in the processing chamber 445 is configured to include at least the exhaust pipe 480 and the pressure adjustment valve 479. Note that the exhaust pump 481 may be included in the first exhaust part.

(第1及び第2のガス供給部) 壁431上側のトッププレート454には、第1のガス供給ユニット482(第1のガス供給部)と第2のガス供給ユニット483(第2のガス供給部)とが接続されている。第1のガス供給ユニット482は、ガス導入口433に接続されるガス供給管482aと、ガス供給管482aに接続される不活性ガス供給管482eとを有する。ガス供給管482aには、上流から順に、第1のガスのガス源である第1ガス源482b、マスフローコントローラ482c、開閉弁482dが設けられている。不活性ガス供給管482eには、上流から順に、不活性ガスのガス源である不活性ガス源482f、マスフローコントローラ482g、開閉弁482hが設けられている。 (First and Second Gas Supply Units) The top plate 454 above the wall 431 has a first gas supply unit 482 (first gas supply unit) and a second gas supply unit 483 (second gas supply). Part). The first gas supply unit 482 includes a gas supply pipe 482a connected to the gas introduction port 433 and an inert gas supply pipe 482e connected to the gas supply pipe 482a. In the gas supply pipe 482a, a first gas source 482b that is a gas source of the first gas, a mass flow controller 482c, and an on-off valve 482d are provided in order from the upstream. The inert gas supply pipe 482e is provided with an inert gas source 482f, a mass flow controller 482g, and an on-off valve 482h, which are inert gas sources, in order from the upstream.

マスフローコントローラ482cと開閉弁482dとを制御することで、第1のガスの流量を制御することができる。また、マスフローコントローラ482gと開閉弁482hとを制御することで、不活性ガスの流量を制御することができる。不活性ガスは、ガス供給管482a内の残ガスをパージ(除去)するパージガスとして、更には、ガス供給管482aに供給される第1のガスのキャリアガスとして用いられる。   By controlling the mass flow controller 482c and the on-off valve 482d, the flow rate of the first gas can be controlled. Further, the flow rate of the inert gas can be controlled by controlling the mass flow controller 482g and the on-off valve 482h. The inert gas is used as a purge gas for purging (removing) the residual gas in the gas supply pipe 482a, and further as a carrier gas for the first gas supplied to the gas supply pipe 482a.

第1のガス供給ユニット482は、ガス供給管482aと、マスフローコントローラ482cと、開閉弁482dとを、少なくとも有するように構成される。なお、第1のガス供給ユニット482に、パージガス供給管482e、マスフローコントローラ482g、開閉弁482hを含めても良い。更には、第1ガス源482b、不活性ガス源482fを含めても良い。   The first gas supply unit 482 is configured to have at least a gas supply pipe 482a, a mass flow controller 482c, and an on-off valve 482d. Note that the first gas supply unit 482 may include a purge gas supply pipe 482e, a mass flow controller 482g, and an on-off valve 482h. Further, a first gas source 482b and an inert gas source 482f may be included.

第1のガスとしてのエッチングガスは、本実施形態では、七フッ化ヨウ素(IF)ガスが用いられる。なお、第1のガスとして例えば、フッ素ガス(F)、三フッ化塩素(ClF)、二フッ化キセノン(XeF)、三フッ化臭素(BrF)、五フッ化臭素(BrF)、五フッ化ヨウ素(IF)の内、いずれかのフッ素を含むガスを用いることもできる。 不活性ガス源482fから供給される不活性ガスとして、例えば窒素(N)ガス等が用いられる。In this embodiment, iodine heptafluoride (IF 7 ) gas is used as the etching gas as the first gas. As the first gas, for example, fluorine gas (F 2 ), chlorine trifluoride (ClF 3 ), xenon difluoride (XeF 2 ), bromine trifluoride (BrF 3 ), bromine pentafluoride (BrF 5) ), Iodine pentafluoride (IF 5 ), any fluorine-containing gas can be used. As an inert gas supplied from the inert gas source 482f, for example, nitrogen (N 2 ) gas or the like is used.

第2のガス供給ユニット483は、壁431上側のトッププレート454において、ガス供給ユニット482と隣接するように設けられている。第2のガス供給ユニット483は、ガス導入口433に接続されるガス供給管483aを有する。ガス供給管483aには、上流から順に、第2のガスのガス源である第2ガス源483bと、マスフローコントローラ483cと、開閉弁483dとが設けられている。   The second gas supply unit 483 is provided on the top plate 454 above the wall 431 so as to be adjacent to the gas supply unit 482. The second gas supply unit 483 has a gas supply pipe 483 a connected to the gas inlet 433. In the gas supply pipe 483a, a second gas source 483b that is a gas source of the second gas, a mass flow controller 483c, and an on-off valve 483d are provided in order from the upstream.

マスフローコントローラ483cと開閉弁483dとを制御することで、第2のガスの流量を制御することができる。第2のガス供給ユニット483は、ガス供給管483aと、マスフローコントローラ483cと、開閉弁483dとを少なくとも有するように構成される。なお、第2のガス供給ユニット483に、第2ガス源483bを含めても良い。   By controlling the mass flow controller 483c and the on-off valve 483d, the flow rate of the second gas can be controlled. The second gas supply unit 483 is configured to include at least a gas supply pipe 483a, a mass flow controller 483c, and an on-off valve 483d. Note that the second gas supply unit 483 may include the second gas source 483b.

第2のガスとして、例えば窒素(N)等の不活性ガスが用いられる。この不活性ガスは、第1のガスの希釈ガスとして、もしくは処理室445内の残ガスのパージガスとして用いられる。For example, an inert gas such as nitrogen (N 2 ) is used as the second gas. This inert gas is used as a dilution gas for the first gas or as a purge gas for the residual gas in the processing chamber 445.

なお、本実施形態においては、第1のガス供給ユニット482と第2のガス供給ユニット483からのガス導入口を、共通のガス導入口433としたが、それに限られるものではなく、第1のガス供給ユニット482と第2のガス供給ユニット483のそれぞれに対応したガス導入口を設けても良い。   In the present embodiment, the gas introduction port from the first gas supply unit 482 and the second gas supply unit 483 is the common gas introduction port 433. However, the present invention is not limited to this. A gas inlet corresponding to each of the gas supply unit 482 and the second gas supply unit 483 may be provided.

マスフローコントローラ482c,483c等や、圧力調整バルブ479を制御し、ガスの供給量や処理室445からのガス排気量を調整することで、処理室445内の圧力や導入されるガスの分圧が調整される。   By controlling the mass flow controllers 482c, 483c, etc. and the pressure adjustment valve 479 to adjust the gas supply amount and the gas exhaust amount from the processing chamber 445, the pressure in the processing chamber 445 and the partial pressure of the introduced gas can be reduced. Adjusted.

ガスバッファ室430内には、多孔性のシャワープレート484が設けられている。シャワープレート484は、板部484aと、その板部484aに複数設けられた孔部484bとを有する。ガス導入口433から導入されたガスは、シャワープレート484の板部484aにぶつかり、孔部484bを通過して、ウエハ60の表面に供給される。このように、ガスバッファ室430内に導入されたガスは、シャワープレート484によって均一に分散され、ウエハ60上に供給される。   A porous shower plate 484 is provided in the gas buffer chamber 430. The shower plate 484 has a plate portion 484a and a plurality of holes 484b provided in the plate portion 484a. The gas introduced from the gas introduction port 433 collides with the plate portion 484 a of the shower plate 484, passes through the hole portion 484 b, and is supplied to the surface of the wafer 60. Thus, the gas introduced into the gas buffer chamber 430 is uniformly dispersed by the shower plate 484 and supplied onto the wafer 60.

(第1の温度制御部) 図4は、第1の処理ユニット410が有するサセプタ459の縦断面図である。サセプタテーブル411には、ヒータ463及びサセプタ冷却剤流路464が内包されている。ヒータ463及びサセプタ冷却剤流路464は、サセプタテーブル411内に設けられ、サセプタ459上に載置されるウエハ60の温度を制御する。 (First Temperature Control Unit) FIG. 4 is a longitudinal sectional view of a susceptor 459 included in the first processing unit 410. The susceptor table 411 includes a heater 463 and a susceptor coolant channel 464. The heater 463 and the susceptor coolant channel 464 are provided in the susceptor table 411 and control the temperature of the wafer 60 placed on the susceptor 459.

ヒータ463は、ヒータ電力供給線487を介して、ヒータ温度制御部485に接続される。ヒータ463の近傍には、サセプタ459やサセプタ459上に載置されるウエハ60の温度を検出するための温度検出部488が設けられている。温度検出部488は、コントローラ600に電気的に接続されている。温度検出部488で検出された温度データは、コントローラ600に入力される。コントローラ600は、検出された温度データに基づき、ヒータ463へ供給する電力量を制御するよう、ヒータ温度制御部485に指示し、ウエハ60が所望の温度となるようヒータ463を制御する。   The heater 463 is connected to the heater temperature control unit 485 via the heater power supply line 487. In the vicinity of the heater 463, a susceptor 459 and a temperature detection unit 488 for detecting the temperature of the wafer 60 placed on the susceptor 459 are provided. The temperature detection unit 488 is electrically connected to the controller 600. The temperature data detected by the temperature detection unit 488 is input to the controller 600. The controller 600 instructs the heater temperature control unit 485 to control the amount of power supplied to the heater 463 based on the detected temperature data, and controls the heater 463 so that the wafer 60 reaches a desired temperature.

サセプタ冷却剤流路464は、外部サセプタ冷却剤流路489に接続されている。詳しくは、サセプタ冷却剤流路464の冷却剤導入口は、外部サセプタ冷却剤流路489aに接続され、サセプタ冷却剤流路464の冷却剤排出口は、外部サセプタ冷却剤流路489bに接続されている。サセプタ冷却剤流路464や外部サセプタ冷却剤流路489では、矢印D10方向に冷却剤が流れる。 外部サセプタ冷却剤流路489には、冷却剤供給ユニット491が接続されている。冷却剤供給ユニット491は、冷却剤流量制御部486からの指示に基づき、外部サセプタ冷却剤流路489aを流れる冷却剤の温度を所定値範囲に保つとともに、その流量を制御する。   The susceptor coolant channel 464 is connected to the external susceptor coolant channel 489. Specifically, the coolant introduction port of the susceptor coolant channel 464 is connected to the external susceptor coolant channel 489a, and the coolant discharge port of the susceptor coolant channel 464 is connected to the external susceptor coolant channel 489b. ing. In the susceptor coolant channel 464 and the external susceptor coolant channel 489, the coolant flows in the direction of arrow D10. A coolant supply unit 491 is connected to the external susceptor coolant channel 489. The coolant supply unit 491 keeps the temperature of the coolant flowing through the external susceptor coolant channel 489a within a predetermined value range and controls the flow rate based on an instruction from the coolant flow rate control unit 486.

冷却剤供給ユニット491の上流の外部サセプタ冷却剤流路489bには、サセプタ冷却剤流路464を流れた冷却剤の温度を検出する冷却剤温度検出部492が設けられる。冷却剤温度検出部492と冷却剤流量制御部486は、コントローラ600と電気的に接続されている。冷却剤温度検出部492で検出された温度データは、コントローラ600に入力される。コントローラ600は、検出された温度データに基づき、ウエハ60が所望の温度となるよう、冷却剤流量制御部486に対し、外部サセプタ冷却剤流路489aを流れる冷却剤流量を制御するよう指示する。冷却剤流量制御部486は、コントローラ600からの指示に基づき、冷却剤供給ユニット491に対し、外部サセプタ冷却剤流路489を流れる冷却剤の流量を制御する。   In the external susceptor coolant channel 489b upstream of the coolant supply unit 491, a coolant temperature detection unit 492 that detects the temperature of the coolant that has flowed through the susceptor coolant channel 464 is provided. The coolant temperature detection unit 492 and the coolant flow rate control unit 486 are electrically connected to the controller 600. The temperature data detected by the coolant temperature detection unit 492 is input to the controller 600. Based on the detected temperature data, the controller 600 instructs the coolant flow rate control unit 486 to control the coolant flow rate that flows through the external susceptor coolant channel 489a so that the wafer 60 has a desired temperature. The coolant flow rate control unit 486 controls the flow rate of the coolant flowing through the external susceptor coolant channel 489 with respect to the coolant supply unit 491 based on an instruction from the controller 600.

第1の温度制御部は、ヒータ温度制御部485と、冷却剤流量制御部486とを、少なくとも有するように構成される。なお、第1の温度制御部に、ヒータ463、サセプタ冷却剤流路464を含めても良い。更には、冷却剤供給ユニット491、外部サセプタ冷却剤流路489、冷却剤温度検出部492、ヒータ電力供給線487を含めても良い。また、ヒータ463、サセプタ冷却剤流路464を、まとめて第1の温度調整機構と呼ぶ。   The first temperature control unit is configured to have at least a heater temperature control unit 485 and a coolant flow rate control unit 486. Note that a heater 463 and a susceptor coolant channel 464 may be included in the first temperature control unit. Furthermore, a coolant supply unit 491, an external susceptor coolant flow path 489, a coolant temperature detection unit 492, and a heater power supply line 487 may be included. The heater 463 and the susceptor coolant channel 464 are collectively referred to as a first temperature adjustment mechanism.

(第2の処理ユニット) 第2の処理ユニット510は、第1の処理ユニット410と同じ乾式処理でガスエッチングする処理ユニットで、例えば、プラズマレスでエッチング処理を施す処理ユニットである。但し、これに限定されずに、例えば、半導体基板上に所定の膜を形成する処理ユニットでもよいし、半導体基板上に形成された膜に対しプラズマエッチングを施す処理ユニットでよい。 (Second Processing Unit) The second processing unit 510 is a processing unit that performs gas etching by the same dry processing as the first processing unit 410, and is, for example, a processing unit that performs etching processing without plasma. However, without being limited thereto, for example, a processing unit that forms a predetermined film on a semiconductor substrate or a processing unit that performs plasma etching on a film formed on a semiconductor substrate may be used.

(第3の処理ユニット)図16及び図17を用いて、第3の処理ユニットについて説明する。図16は半導体デバイスの製造方法を実施するための枚葉式基板処理装置(以下単に、基板処理装置という)における処理時の要部断面図である。図17は同じく基板処理装置の概略断面図であり、サセプタ459が下降して搬送工程を行うことが可能な搬送位置にある状態を示す図である。尚、第3の処理ユニットは、図4で示すサセプタ459を有し、そのサセプタ459の詳細は図4で開示されている。従い、図16及び図17では図示が省略されている部品(ヒータユニット等)がある。 (Third Processing Unit) The third processing unit will be described with reference to FIGS. FIG. 16 is a cross-sectional view of a main part during processing in a single wafer processing apparatus (hereinafter simply referred to as a substrate processing apparatus) for carrying out a semiconductor device manufacturing method. FIG. 17 is a schematic cross-sectional view of the substrate processing apparatus, showing a state where the susceptor 459 is lowered and is in a transfer position where the transfer process can be performed. The third processing unit has a susceptor 459 shown in FIG. 4, and details of the susceptor 459 are disclosed in FIG. Accordingly, there are components (such as a heater unit) not shown in FIGS. 16 and 17.

図16及び図17において、基板処理装置20は、基板60を処理する処理容器30と、処理容器30と隣接してこれとの間で基板60を搬送する基板搬送容器39とを有する。 16 and 17, the substrate processing apparatus 20 includes a processing container 30 that processes the substrate 60 and a substrate transport container 39 that is adjacent to the processing container 30 and transports the substrate 60 therebetween.

処理容器30は、上部が開口した容器本体31と、容器本体31の上部開口を塞ぐ蓋体32とから構成されて、内部に密閉構造の処理室50を形成している。なお、処理室50を、蓋体32とサセプタ459とで囲まれた空間で形成するようにしても良い。また、サセプタ459については、図4を用いて詳細は説明されているので、ここでは説明は省略する。 The processing container 30 includes a container main body 31 having an upper opening and a lid 32 that closes the upper opening of the container main body 31, and forms a sealed processing chamber 50 therein. Note that the processing chamber 50 may be formed in a space surrounded by the lid 32 and the susceptor 459. The susceptor 459 has been described in detail with reference to FIG.

蓋体32にはシャワーヘッド105と処理ガス供給ライン106a、106bと、不活性ガス供給ラインが設けられる。ガス供給部としてのシャワーヘッド105は、処理室50内の基板60と対向して設けられ処理室50内に処理ガスを供給するために設けられる。このシャワーヘッド105は、蓋体32の内面上部に設けられ、多数のガス孔を有してガスをシャワー状に分散させる図示を省略したガス分散板と、複数のガスを混合する図示を省略した混合室とから構成される。 The lid 32 is provided with a shower head 105, process gas supply lines 106a and 106b, and an inert gas supply line. The shower head 105 as a gas supply unit is provided to face the substrate 60 in the processing chamber 50 and is provided to supply processing gas into the processing chamber 50. The shower head 105 is provided on the inner surface of the lid 32, and has a gas dispersion plate (not shown) that has a large number of gas holes and disperses the gas in a shower shape, and the illustration of mixing a plurality of gases is omitted. It consists of a mixing chamber.

ガス供給ライン106a、106bはシャワーヘッド105に接続され、シャワーヘッド105を介して基板処理室50内に処理ガスを供給するように構成されている。ガス供給ラインは、具体的にはシャワーヘッド105に接続されて混合室と連通するガス供給管115a、115bと、ガス供給管115a、115bに設けられたガス流量制御器(マスフロコントローラ:MFC)116a、116bと、を備えて、基板処理室50内に所望のガス種を所望のガス流量、所望のガス比率で供給することが可能となるように構成されている。なお、ガス供給源117a、117bをガス供給ライン(ガス供給部)に含めて構成しても良い。 The gas supply lines 106 a and 106 b are connected to the shower head 105 and configured to supply a processing gas into the substrate processing chamber 50 via the shower head 105. Specifically, the gas supply line is connected to the shower head 105 and communicates with the mixing chamber, and gas flow controllers (mass flow controller: MFC) provided in the gas supply pipes 115a and 115b. 116a and 116b, and configured so that a desired gas species can be supplied into the substrate processing chamber 50 at a desired gas flow rate and a desired gas ratio. The gas supply sources 117a and 117b may be included in the gas supply line (gas supply unit).

容器本体31には排気口107、搬送口108、及びヒータユニットを内蔵したサセプタ459が設けられる。排気口107は、容器本体31の上側部に設けられ、容器本体31の上部内周に形成された環状路114と連通し、環状路114を介して基板処理室50内を排気するように構成されている。また、搬送口108は、容器本体31の排気口107よりも下方の一側部に設けられ、搬送容器39内に形成される基板搬送室140から処理容器30内の基板処理室50に搬送口108を介してシリコンウェハ等の処理前の基板60を搬入し、または基板処理室50から基板搬送室140に処理後の基板60を搬出するように構成されている。なお、容器本体31の搬送口108には、基板搬送室140と基板処理室50との雰囲気隔離を行う開閉弁109が開閉自在に設けられている。   The container body 31 is provided with an exhaust port 107, a transfer port 108, and a susceptor 459 incorporating a heater unit. The exhaust port 107 is provided on the upper side of the container main body 31, communicates with the annular passage 114 formed in the upper inner periphery of the container main body 31, and is configured to exhaust the inside of the substrate processing chamber 50 through the annular passage 114. Has been. The transfer port 108 is provided on one side below the exhaust port 107 of the container main body 31, and is transferred from the substrate transfer chamber 140 formed in the transfer container 39 to the substrate processing chamber 50 in the processing container 30. An unprocessed substrate 60 such as a silicon wafer is loaded via 108, or the processed substrate 60 is unloaded from the substrate processing chamber 50 to the substrate transfer chamber 140. An opening / closing valve 109 for isolating the atmosphere between the substrate transfer chamber 140 and the substrate processing chamber 50 is provided at the transfer port 108 of the container body 31 so as to be freely opened and closed.

処理容器30の基板処理室50内に、前述したサセプタ459が昇降自在に設けられ、サセプタ459の表面に基板60が保持される。基板60はサセプタ459を介して図示を省略した図4に示すヒータ463によって加熱されるようになっている。   The above-described susceptor 459 is provided in the substrate processing chamber 50 of the processing container 30 so as to be movable up and down, and the substrate 60 is held on the surface of the susceptor 459. The substrate 60 is heated via a susceptor 459 by a heater 463 shown in FIG.

基板支持ピン上下機構111に複数の支持ピン104が立設され、これらの支持ピン104はヒータユニット及びサセプタ459を貫通可能になっており、サセプタ459及び基板支持ピン上下機構111の昇降に応じて、サセプタ459の表面から出没自在になるように構成されている。   A plurality of support pins 104 are erected on the substrate support pin vertical mechanism 111, and these support pins 104 can penetrate the heater unit and the susceptor 459, and according to the elevation of the susceptor 459 and the substrate support pin vertical mechanism 111. The susceptor 459 is configured so that it can freely appear and disappear.

基板処理装置は、サセプタ459が下降して搬送工程を行うことが可能な位置にあるとき(図17。以下、この位置を搬送位置Aという)、複数の支持ピン104がサセプタ459から突出して複数の支持ピン104上に基板60を支持可能にし、基板処理室50と基板搬送室140との間で搬送口108を介して基板60の搬送、搬出が行えるように構成されている。また、基板処理装置は、サセプタ459が上昇して、搬送位置Aより上方の中間位置を経て処理工程を行うことが可能な位置にあるとき(図16。以下、この位置を基板処理位置Bという)、支持ピン4は関与せず、サセプタ459上に基板60が載置されるように構成されている。   When the susceptor 459 is in a position where the susceptor 459 can be lowered and the transfer process can be performed (FIG. 17, this position is hereinafter referred to as a transfer position A), a plurality of support pins 104 protrude from the susceptor 459 and are The substrate 60 can be supported on the support pins 104, and the substrate 60 can be transferred and unloaded between the substrate processing chamber 50 and the substrate transfer chamber 140 via the transfer port 108. Further, when the susceptor 459 is lifted and the substrate processing apparatus is at a position where a processing step can be performed via an intermediate position above the transfer position A (FIG. 16; hereinafter, this position is referred to as a substrate processing position B). ), The support pins 4 are not involved, and the substrate 60 is placed on the susceptor 459.

サセプタ459は、その支持軸124が昇降機構に連結されて基板処理室50内を昇降するように設けられている。支持軸124の外周には支持軸の直線運動をシールするための図示を省略したベローズが設けられる。昇降機構は、基板搬入工程、基板処理工程、基板搬出工程などの各工程で、基板処理室50内のサセプタ459の上下方向の位置(搬送位置A、基板処理位置B等)を多段階に調整できるよう構成されている。   The susceptor 459 is provided so that the support shaft 124 is connected to an elevating mechanism and moves up and down in the substrate processing chamber 50. A bellows (not shown) for sealing the linear motion of the support shaft is provided on the outer periphery of the support shaft 124. The lifting mechanism adjusts the vertical position (transport position A, substrate processing position B, etc.) of the susceptor 459 in the substrate processing chamber 50 in multiple stages in each process such as the substrate loading process, the substrate processing process, and the substrate unloading process. It is configured to be able to.

また、サセプタ459は回転可能になっている。すなわち、前述した筒状の支持軸124を図示を省略した回転機構により回転自在として、支持軸を中心にヒータを内蔵したサセプタ459を回転自在に設け、基板60を保持した状態でサセプタ459を任意の速度で回転できるように構成されている。一方、サセプタ459内に設けた抵抗加熱ヒータは固定とし、筒状の支持軸124内に挿通した図示しない固定部によって支持している。このようにサセプタ459を回転自在とし、抵抗加熱ヒータを固定とすることによって、抵抗加熱ヒータに対してサセプタ459を相対回転させるようになっている。   Further, the susceptor 459 is rotatable. That is, the above-described cylindrical support shaft 124 can be rotated by a rotation mechanism (not shown), and a susceptor 459 having a built-in heater is rotatably provided around the support shaft, and the susceptor 459 can be arbitrarily set while holding the substrate 60. It can be rotated at a speed of. On the other hand, the resistance heater provided in the susceptor 459 is fixed and supported by a fixing portion (not shown) inserted through the cylindrical support shaft 124. Thus, by making the susceptor 459 rotatable and fixing the resistance heater, the susceptor 459 is rotated relative to the resistance heater.

ところで、図16に示すように、本実施の形態では、特に、処理容器30の蓋体32上部に設けられる上記ガス供給ラインは、処理ガスを導入する処理ガス供給ライン106aの他に、反応ガス制御用の非反応ガスを導入する非反応ガス供給ライン106bを有している。また、不活性ガス供給ラインは基板60の中心部と対向するシャワーヘッド105の略中心部に接続される。処理ガス供給ライン106a、106bは、基板60の中心部と対向するシャワーヘッド105の略中心部以外の部分に接続される。 Incidentally, as shown in FIG. 16, in the present embodiment, in particular, the gas supply line provided on the upper portion of the lid 32 of the processing container 30 is a reactive gas in addition to the processing gas supply line 106a for introducing the processing gas. A non-reactive gas supply line 106b for introducing a non-reactive gas for control is provided. The inert gas supply line is connected to the substantially central portion of the shower head 105 facing the central portion of the substrate 60. The processing gas supply lines 106 a and 106 b are connected to a portion other than the substantially central portion of the shower head 105 that faces the central portion of the substrate 60.

具体的には、不活性ガス供給ラインを構成する不活性ガス供給管20は、基板60の中心部と対向するシャワーヘッド105の中心部に接続する。また、処理ガス供給ライン106a、106bを構成する処理ガス供給管115a、115bは基板60の中心部と対向する蓋板の中心以外の周辺部に接続して、不活性ガス供給管20が接続されているシャワーヘッド105の中心部から外れるようにする。不活性ガス供給管112及び処理ガス供給管115a、115bには、MFC121、116a、116bがそれぞれ設けられて、処理室50内に供給する不活性ガス及び処理ガスの流量を個別に制御することが可能になっている。なお、不活性ガス供給管20、反応ガス供給管115a、115bには、不活性ガス供給源122、反応ガス供給源117a、反応ガス供給源117bがそれぞれ接続されていても良い。 Specifically, the inert gas supply pipe 20 constituting the inert gas supply line is connected to the center portion of the shower head 105 facing the center portion of the substrate 60. Further, the processing gas supply pipes 115a and 115b constituting the processing gas supply lines 106a and 106b are connected to a peripheral part other than the center of the cover plate facing the central part of the substrate 60, and the inert gas supply pipe 20 is connected. The shower head 105 is removed from the center. The inert gas supply pipe 112 and the processing gas supply pipes 115a and 115b are provided with MFCs 121, 116a, and 116b, respectively, so that the flow rates of the inert gas and the processing gas supplied into the processing chamber 50 can be individually controlled. It is possible. Note that an inert gas supply source 122, a reaction gas supply source 117a, and a reaction gas supply source 117b may be connected to the inert gas supply pipe 20 and the reaction gas supply pipes 115a and 115b, respectively.

なお、本図において昇降機構、回転機構、抵抗加熱ヒータ、MFC121、116(116a、116b)等の各部を制御する制御手段は省略してあるが、制御手段としてのコントローラの構造例は後述する図6に示している。但し、後述する図6は、第1処理ユニットに合わせて番号が付与されているため、同じ部品でも第3処理ユニットでの部品番号と異なる場合がある。 In this figure, control means for controlling each part such as an elevating mechanism, a rotating mechanism, a resistance heater, MFC 121, 116 (116a, 116b) is omitted, but a structure example of a controller as a control means will be described later. This is shown in FIG. However, in FIG. 6 to be described later, since numbers are assigned according to the first processing unit, even the same parts may be different from the part numbers in the third processing unit.

上述したような基板処理装置において基板上の薄膜を除去させるには、搬送工程で基板60を処理室50内に搬入し、処理工程で処理室50内に搬入された基板60にシャワーヘッド105を介して処理ガスと非処理ガスとを供給して基板60を処理し、搬出工程で処理された基板60を処理室50内から搬出する。 In order to remove the thin film on the substrate in the substrate processing apparatus as described above, the substrate 60 is carried into the processing chamber 50 in the transfer process, and the shower head 105 is attached to the substrate 60 carried into the processing chamber 50 in the processing process. Then, the processing gas and the non-processing gas are supplied to process the substrate 60, and the substrate 60 processed in the unloading process is unloaded from the processing chamber 50.

搬入工程において、サセプタ459は搬送位置Aにあって基板60を加熱可能な状態にあり、処理容器30の開閉弁109は開いている。基板60は、図示を省略した搬送機構により、基板搬送室140から基板処理室50に搬送口108を介して搬入され、複数の支持ピン104に支持される(図17)。開閉弁109は基板搬入後に閉じられる。真空ポンプPによって、環状路114を介して基板処理室50内が排気される。排気されたガスは、排気口107から排気管142を通して図示しない工場内の排気機構に排出される。 In the carry-in process, the susceptor 459 is in the transfer position A and is in a state where the substrate 60 can be heated, and the on-off valve 109 of the processing container 30 is open. The substrate 60 is transferred from the substrate transfer chamber 140 to the substrate processing chamber 50 through the transfer port 108 by the transfer mechanism (not shown) and supported by the plurality of support pins 104 (FIG. 17). The on-off valve 109 is closed after the substrate is loaded. The inside of the substrate processing chamber 50 is exhausted by the vacuum pump P through the annular path 114. The exhausted gas is discharged from an exhaust port 107 through an exhaust pipe 142 to an unillustrated factory exhaust mechanism.

処理工程において、まず昇降機構により、サセプタ459は搬送位置A(図17)から基板処理位置B(図16)まで上昇するが、基板処理位置Bに到達する前に基板60が支持ピン104からサセプタ459に移載され、ヒータユニットによりサセプタ459を介して基板60は直接加熱されるようになる。基板処理位置Bでサセプタ459上に移載された基板60はシャワーヘッド105に対面する(図16)。この状態で、必要に応じてサセプタ459を回転機構により回転させて基板60を回転させる。 In the processing step, the susceptor 459 is first lifted from the transfer position A (FIG. 17) to the substrate processing position B (FIG. 16) by the lifting mechanism, but before reaching the substrate processing position B, the substrate 60 is moved from the support pin 104 to the susceptor. The substrate 60 is directly heated by the heater unit via the susceptor 459. The substrate 60 transferred onto the susceptor 459 at the substrate processing position B faces the shower head 105 (FIG. 16). In this state, the substrate 60 is rotated by rotating the susceptor 459 by a rotation mechanism as necessary.

そして、処理室50内の、回転する基板60の表面にシャワーヘッド105を介してガス供給ライン106(106a、106b)から、矢印に示すように処理ガスを供給しつつ排気口107から排気する。この過程で、基板60上の所定の膜が除去されるように構成される。処理ガスは、基板60の中心部に対向するシャワーヘッド105に接続された反応ガス供給ライン106a、106bからシャワーヘッド105内に導入されるように構成されている。但し、この形態に限定されないのは言うまでもない。   Then, the processing gas is exhausted from the exhaust port 107 while supplying the processing gas to the surface of the rotating substrate 60 in the processing chamber 50 from the gas supply line 106 (106a, 106b) via the shower head 105 as indicated by an arrow. In this process, a predetermined film on the substrate 60 is removed. The processing gas is configured to be introduced into the shower head 105 from the reaction gas supply lines 106 a and 106 b connected to the shower head 105 facing the center of the substrate 60. However, it goes without saying that the present invention is not limited to this form.

このとき、不活性ガスは、基板60の中心部に対向するシャワーヘッド105の中心部に接続された不活性ガス供給ラインから処理室50内に供給されるように構成されている。そして、不活性ガスを供給することにより、シャワーヘッド105の中心部以外の部分からシャワーヘッド105内に導入された処理ガスの流れが制御されるようにしてもよい。但し、シャワーヘッド105の中心部から処理室50内に導入された不活性ガスによる処理ガスの流れ制御を行う場合は、シャワーヘッド105から基板60の中心部に供給される処理ガスの流れに淀みが生じないよう、又は基板60の中心部で処理ガス濃度分布の不均一に起因した処理ガス不足が生じないような形で行われる。 At this time, the inert gas is configured to be supplied into the processing chamber 50 from an inert gas supply line connected to the central portion of the shower head 105 facing the central portion of the substrate 60. Then, by supplying an inert gas, the flow of the processing gas introduced into the shower head 105 from a portion other than the central portion of the shower head 105 may be controlled. However, when the flow of the processing gas is controlled by the inert gas introduced into the processing chamber 50 from the center of the shower head 105, the flow of the processing gas supplied from the shower head 105 to the center of the substrate 60 is stagnant. This is performed in such a manner that a shortage of processing gas does not occur due to non-uniformity of the processing gas concentration distribution at the center of the substrate 60.

搬出工程において、基板処理後、サセプタ459は搬送位置Aまで降下する(図17)。降下の際、支持ピン104は再び基板60を突き上げ、サセプタ459と基板60との間に搬送のための隙間を作る。基板60は搬送口108から搬送機構により基板搬送室140へ運び出される。 In the unloading process, after the substrate processing, the susceptor 459 descends to the transfer position A (FIG. 17). At the time of lowering, the support pins 104 push up the substrate 60 again to create a gap for conveyance between the susceptor 459 and the substrate 60. The substrate 60 is carried out from the transfer port 108 to the substrate transfer chamber 140 by the transfer mechanism.

(ガス供給部)処理容器30の上部の蓋体32には、図中省略のガス供給設備から所要の複数の処理ガスを供給する為の処理ガス供給管115a、115bが付設されている。ガス供給管115a、115bには、処理ガスとしてのハロゲン元素含有ガスを基板に供給する処理ガス供給部、除去剤を基板に供給する除去剤供給部、及びその他のガス、ここでは、パージ用のN2ガス、クリーニング用の三フッ化塩素(ClF)ガス等を供給する供給部(不図示)がその必要に応じて設けられている。なお、除去剤としてガスを供給する例を示すが、これに限らず、液体を供給することにより除去可能に構成しても良い。又、アルゴンなどの希ガスを流し、高周波電力を供給してプラズマを発生させてスパッタリングで除去するようにしても良い。ガス供給部にはそれぞれ、流量制御部であるMFC116a、116b及び開閉弁が設けられており、ガス供給量を制御することが出来る。又、使用するガスを事前に混合してからガス導入口に流しても良い。又、必要に応じてシャワープレートを用いる構造にしても良い。流量制御部及びAPCバルブVによって供給量、排気量を調整することにより、処理容器30と処理室50の圧力が所望の値に制御される。(Gas supply unit) The upper cover body 32 of the processing container 30 is provided with processing gas supply pipes 115a and 115b for supplying a plurality of required processing gases from a gas supply facility (not shown). The gas supply pipes 115a and 115b include a processing gas supply unit that supplies a halogen-containing gas as a processing gas to the substrate, a removal agent supply unit that supplies a removal agent to the substrate, and other gases, here for purging A supply unit (not shown) for supplying N 2 gas, chlorine trifluoride (ClF 3 ) gas for cleaning, and the like is provided as necessary. In addition, although the example which supplies gas as a removal agent is shown, you may comprise so that it can remove by supplying not only this but a liquid. Alternatively, a rare gas such as argon may be flowed, high-frequency power may be supplied to generate plasma, which may be removed by sputtering. The gas supply units are provided with MFCs 116a and 116b, which are flow rate control units, and on-off valves, respectively, so that the gas supply amount can be controlled. Alternatively, the gas to be used may be mixed in advance and then flowed to the gas inlet. Moreover, you may make it the structure which uses a shower plate as needed. By adjusting the supply amount and the exhaust amount by the flow rate control unit and the APC valve V, the pressures in the processing container 30 and the processing chamber 50 are controlled to desired values.

(コントローラ:制御部) 次に、コントローラ600の構成について説明する。図6は、本実施形態に係るコントローラの構造図である。図6に示すように、制御部(制御手段)であるコントローラ600は、CPU(Central Processing Unit)600a、RAM(Random Access Memory)600b、記憶装置600c、I/Oポート600dを備えたコンピュータとして構成されている。RAM600b、記憶装置600c、I/Oポート600dは、内部バス600eを介して、CPU600aとデータ交換可能な様に構成されている。コントローラ600には、例えばタッチパネル等で構成される入出力装置601が接続されている。尚、図6のコトンローラ構成は、第1処理ユニットに合わせて番号付けしているため、同じ部品であっても後述する第3処理ユニットでの部品番号が異なることがある。 (Controller: Control Unit) Next, the configuration of the controller 600 will be described. FIG. 6 is a structural diagram of the controller according to the present embodiment. As shown in FIG. 6, a controller 600 as a control unit (control means) is configured as a computer including a CPU (Central Processing Unit) 600a, a RAM (Random Access Memory) 600b, a storage device 600c, and an I / O port 600d. Has been. The RAM 600b, the storage device 600c, and the I / O port 600d are configured to exchange data with the CPU 600a via the internal bus 600e. For example, an input / output device 601 configured with a touch panel or the like is connected to the controller 600. 6 is numbered according to the first processing unit, the part number in the third processing unit to be described later may be different even for the same part.

記憶装置600cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置600c内には、基板処理装置20の動作を制御する制御プログラムや、後述する基板処理装置20における基板処理の手順や条件などが記載された処理レシピ等が、読み出し可能に格納されている。更には、処理ガスであるエッチングガスの種類毎に処理条件が記憶されている。ここで、処理条件とは、基板やサセプタの温度帯、処理室の圧力、ガスの分圧、ガス供給量、冷却剤流量、処理時間など、基板を処理する際の条件を言う。   The storage device 600c is configured by, for example, a flash memory, an HDD (Hard Disk Drive), or the like. In the storage device 600c, a control program that controls the operation of the substrate processing apparatus 20, a processing recipe that describes the procedure and conditions of substrate processing in the substrate processing apparatus 20 described later, and the like are stored in a readable manner. . Furthermore, processing conditions are stored for each type of etching gas that is a processing gas. Here, the processing conditions refer to conditions for processing the substrate, such as the temperature zone of the substrate and the susceptor, the pressure in the processing chamber, the partial pressure of gas, the gas supply amount, the coolant flow rate, and the processing time.

なお、処理レシピは、後述する基板処理装置20の基板処理工程における手順をコントローラ600に実行させ、所定の結果を得ることが出来る様に組み合わされたものであり、プログラムとして機能する。以下、この処理レシピや制御プログラム等を総称して、単にプログラムともいう。なお、本明細書においてプログラムという言葉を用いた場合は、処理レシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。 RAM600bは、CPU600aによって読み出されたプログラムやデータ等が一時的に保持される作業用メモリ領域(ワークエリア)として構成されている。   The processing recipe is combined so that a predetermined result can be obtained by causing the controller 600 to execute a procedure in a substrate processing process of the substrate processing apparatus 20 described later, and functions as a program. Hereinafter, these processing recipes, control programs, and the like are collectively referred to simply as programs. When the term “program” is used in this specification, it may include only a processing recipe alone, may include only a control program alone, or may include both. The RAM 600b is configured as a working memory area (work area) in which programs, data, and the like read by the CPU 600a are temporarily stored.

I/Oポート600dは、上述の昇降駆動部473、ヒータ温度制御部485、温度検出部488、圧力調整バルブ479、マスフローコントローラ482c,482g,483c、開閉弁482d,482h,483d、排気ポンプ481、大気搬送ロボット130、ゲートバルブ311〜314、真空搬送ロボット320、冷却剤流量制御部486等に接続されている。   The I / O port 600d includes the above-described lifting drive unit 473, heater temperature control unit 485, temperature detection unit 488, pressure adjustment valve 479, mass flow controllers 482c, 482g, 483c, open / close valves 482d, 482h, 483d, exhaust pump 481, It is connected to the atmospheric transfer robot 130, the gate valves 311 to 314, the vacuum transfer robot 320, the coolant flow rate control unit 486, and the like.

CPU600aは、記憶装置600cから制御プログラムを読み出して実行すると共に、入出力装置501からの操作コマンドの入力等に応じて記憶装置600cから処理レシピを読み出す様に構成されている。そして、CPU600aは、読み出した処理レシピの内容に沿う様に、昇降駆動部(473等)によるリフターピン(413等)の上下動作、ヒータ温度制御部(485等)によるウエハ60の加熱動作、圧力調整バルブ(479等)による圧力調整動作、マスフローコントローラ(482c等)と開閉弁(482d等)による処理ガスの流量調整動作、等を制御する様に構成されている。   The CPU 600a is configured to read and execute a control program from the storage device 600c, and to read a processing recipe from the storage device 600c in response to an operation command input from the input / output device 501 or the like. Then, the CPU 600a moves the lifter pins (413, etc.) up and down by the elevation drive unit (473, etc.), the heating operation of the wafer 60 by the heater temperature control unit (485, etc.), and the pressure in accordance with the contents of the read processing recipe. It is configured to control the pressure adjustment operation by the adjustment valve (479 etc.) and the flow rate adjustment operation of the processing gas by the mass flow controller (482c etc.) and the on-off valve (482d etc.).

なお、コントローラ600は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部記憶装置602を用意し、係る外部記憶装置602を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態に係るコントローラ600を構成することができる。外部記憶装置602は、例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリ(USB Flash Drive)やメモリカード等の半導体メモリで構成される。 なお、コンピュータにプログラムを供給するための手段は、外部記憶装置602を介して供給する場合に限られない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置602を介さずにプログラムを供給する様にしてもよい。   The controller 600 is not limited to being configured as a dedicated computer, and may be configured as a general-purpose computer. For example, the controller 600 according to the present embodiment can be configured by preparing an external storage device 602 storing the above-described program and installing the program in a general-purpose computer using the external storage device 602. The external storage device 602 includes, for example, a magnetic tape, a magnetic disk such as a flexible disk and a hard disk, an optical disk such as a CD and a DVD, a magneto-optical disk such as an MO, and a semiconductor memory such as a USB memory (USB Flash Drive) and a memory card. Is done. The means for supplying the program to the computer is not limited to the case of supplying via the external storage device 602. For example, the program may be supplied without using the external storage device 602 using communication means such as the Internet or a dedicated line.

以上のように、記憶装置600cや外部記憶装置602は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶装置600c単体のみを含む場合、外部記憶装置602単体のみを含む場合、または、その両方を含む場合がある。   As described above, the storage device 600c and the external storage device 602 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. Note that in this specification, the term recording medium may include only the storage device 600c alone, may include only the external storage device 602 alone, or may include both.

2.基板処理工程 次に、本実施形態に係る第1の処理ユニット又は第3の処理ユニットにおける基板処理方法が実施される基板処理工程の一例について、図5を用いて以下に説明する。この基板処理工程は、微細パターンの形成工程や、半導体装置の製造方法の一工程として行われる。図5は、本発明の実施形態に係る基板処理工程の処理フローを示す図である。図7〜図13は、それぞれ、本発明の実施形態に係る半導体装置の製造方法の第1〜6段階を示す図である。 2. Substrate Processing Step Next, an example of a substrate processing step in which the substrate processing method in the first processing unit or the third processing unit according to this embodiment is performed will be described with reference to FIG. This substrate processing step is performed as a step of forming a fine pattern and a step of manufacturing a semiconductor device. FIG. 5 is a diagram showing a processing flow of the substrate processing step according to the embodiment of the present invention. 7 to 13 are views showing the first to sixth steps of the method of manufacturing a semiconductor device according to the embodiment of the present invention, respectively.

なお、本実施形態の基板処理装置20においては、図5のステップS3(Step3)のSi選択エッチング(第3微細パターン形成)工程のみが実施され、他のステップS1(Step1)またはS2(Step2)、S4(Step4)は、基板処理装置20以外の処理装置若しくは、第1の処理ユニットとしての処理ユニット410または後述する第3の処理ユニット以外の処理ユニットにおいて実施される。   In the substrate processing apparatus 20 of the present embodiment, only the Si selective etching (third fine pattern formation) step of Step S3 (Step 3) of FIG. 5 is performed, and the other Step S1 (Step 1) or S2 (Step 2). , S4 (Step 4) is performed in a processing apparatus other than the substrate processing apparatus 20, a processing unit 410 as a first processing unit, or a processing unit other than a third processing unit described later.

まず、図5のステップS1(Step1)では、図8に示すように、所望の線幅の微細パターン(第1微細パターン)が、Si基板1の表面(Si膜)上に形成される。この線幅は、例えば30〜100nm、深さは、例えば300〜4000nmである。本実施における第1微細パターン形成工程は、後述する図7に示す積層膜形成工程及び図8に示す第1エッチング工程を少なくとも有する。詳しくは、後述する図7に示す積層膜形成工程及び図8に示す第1エッチング工程にそれぞれ示されるように、Si基板1の表面にSi膜2を形成し、このSi膜2上にSiO膜3、Poly-Si膜4、SiO膜3、Poly-Si膜4と、SiO膜3とPoly-Si膜4の形成を所定回数繰り返して、所望の厚さの積層膜を形成した後、カーボンを含むハードマスクであるカーボンハードマスク(CHM)膜を成膜し、ドライエッチングにて所望の線幅及び深さで削り微細パターン(第1微細パターン)を形成する。First, in step S1 (Step 1) of FIG. 5, as shown in FIG. 8, a fine pattern (first fine pattern) having a desired line width is formed on the surface (Si 3 N 4 film) of the Si substrate 1. The The line width is, for example, 30 to 100 nm, and the depth is, for example, 300 to 4000 nm. The first fine pattern forming step in this embodiment includes at least a laminated film forming step shown in FIG. 7 and a first etching step shown in FIG. Specifically, as shown in a laminated film forming step shown in FIG. 7 and a first etching step shown in FIG. 8 described later, a Si 3 N 4 film 2 is formed on the surface of the Si substrate 1, and this Si 3 N 4 The formation of the SiO 2 film 3, the Poly-Si film 4, the SiO 2 film 3, the Poly-Si film 4, and the SiO 2 film 3 and the Poly-Si film 4 on the film 2 is repeated a predetermined number of times. After forming the laminated film, a carbon hard mask (CHM) film, which is a hard mask containing carbon, is formed, and a fine pattern (first fine pattern) is formed by dry etching with a desired line width and depth. .

図7は、3DNANDフラッシュメモリ作製プロセスにおける積層膜形成(積層膜形成工程)後の断面図を示す。ここで、図7に示す積層膜の形成には、シリコン基板上に例えばSi膜2を成膜し、その上にSiO膜3とPoly−Si膜4の積層膜を交互に成膜する。ここで、Si膜2は、例えば、CVD(Chemical Vapor Deposition)法で成膜する。厚さは30〜60nm程度であり、成膜温度は400〜800℃である。膜応力を低減する観点から、成膜温度は低い方が望ましい。ドライエッチングの際のストッパー膜としては、本願のSi膜2の他、カーボン膜やSiC膜が、SiO膜3、Poly-Si膜4の両方でドライエッチング時の高い選択性を確保できるため想定される。但し、製造プロセス中の高温工程でカーボン(C)がいろんなところに拡散するため、Si膜2が好ましい。また、SiO膜3、Poly-Si膜4は、それぞれ膜厚が30〜60nm程度であり、例えば、成膜温度400〜800℃であり、いずれもCVD法により、成膜される。また、SiO膜、Poly-Si膜も、それぞれ膜応力を低減する観点から、成膜温度は低い方が望ましい。尚、Poly-Si膜4は、アモルファスシリコン(α-Si)膜であってもよい。積層膜は、例えば、1440nmになるように、24回、ポリシリコン膜と酸化膜が繰り返し形成される。尚、ポリシリコン膜と酸化膜が同じ膜厚であるが、この膜厚に限定されず、ポリシリコン膜と酸化膜では、酸化膜の方を厚くすることが好ましく、これによれば、ビット間干渉の緩和という効果を奏することが想定される。FIG. 7 shows a cross-sectional view after forming a stacked film (stacked film forming step) in the 3D NAND flash memory manufacturing process. Here, for forming the laminated film shown in FIG. 7, for example, a Si 3 N 4 film 2 is formed on a silicon substrate, and a laminated film of the SiO 2 film 3 and the Poly-Si film 4 is alternately formed thereon. Film. Here, the Si 3 N 4 film 2 is formed by, for example, a CVD (Chemical Vapor Deposition) method. The thickness is about 30 to 60 nm, and the film formation temperature is 400 to 800 ° C. From the viewpoint of reducing the film stress, it is desirable that the film forming temperature is low. As a stopper film for dry etching, in addition to the Si 3 N 4 film 2 of the present application, the carbon film and the SiC film ensure high selectivity during dry etching with both the SiO 2 film 3 and the Poly-Si film 4. It is assumed because it can. However, the Si 3 N 4 film 2 is preferable because carbon (C) diffuses to various places in the high-temperature process during the manufacturing process. The SiO 2 film 3 and the Poly-Si film 4 each have a film thickness of about 30 to 60 nm, for example, a film forming temperature of 400 to 800 ° C., both of which are formed by the CVD method. In addition, it is desirable that the SiO 2 film and the Poly-Si film have lower film forming temperatures from the viewpoint of reducing the film stress. The Poly-Si film 4 may be an amorphous silicon (α-Si) film. For example, a polysilicon film and an oxide film are repeatedly formed 24 times so that the laminated film has a thickness of 1440 nm, for example. Although the polysilicon film and the oxide film have the same film thickness, the film thickness is not limited to this, and it is preferable to make the oxide film thicker in the polysilicon film and the oxide film. It is assumed that there is an effect of mitigating interference.

図8は、カーボン膜のハードマスク膜5を用いて図7で形成した積層膜にチャネル用の貫通穴(第一穴)13を形成(第1エッチング工程)後の図を示す。ハードマスク膜5としてのカーボン膜は、CVD法により成膜する。膜厚は、被エッチング層である積層膜をエッチングする際に十分残る膜厚とする。一例として400nm以上が望ましい。成膜温度は、200〜550℃である。   FIG. 8 shows a view after forming a through hole (first hole) 13 for a channel (first etching step) in the laminated film formed in FIG. 7 using the hard mask film 5 of the carbon film. The carbon film as the hard mask film 5 is formed by a CVD method. The film thickness is a film thickness that remains sufficiently when the stacked film that is the layer to be etched is etched. As an example, 400 nm or more is desirable. The film forming temperature is 200 to 550 ° C.

尚、被エッチング層である積層膜をエッチングする際のハードマスク膜5は、カーボン膜に限定されるものではなく、シリコン窒化(SiN)膜、シリコンカーバイド(SiC)膜としてのシリコン膜とカーボン膜の積層膜など、エッチング処理において選択性が取れる膜であればどれでもよい。   The hard mask film 5 for etching the laminated film that is the layer to be etched is not limited to the carbon film, but a silicon nitride (SiN) film, a silicon film as a silicon carbide (SiC) film, and a carbon film. Any film can be used as long as the film has selectivity in the etching process.

上記積層膜のドライエッチング(第1エッチング)処理では、例えば、SF、SiCl、Cl、CF、CF/H混合ガス、または、CF/O混合ガスのいずれかが選択される。In the dry etching (first etching) process of the laminated film, for example, any of SF 6 , SiCl 4 , Cl 2 , CF 4 , CF 4 / H 2 mixed gas, or CF 4 / O 2 mixed gas is selected. Is done.

その後、例えばOガスを用いた公知のエッチング処理によりハードマスク膜5としてのCHM膜が除去される(CHM膜除去工程)。Thereafter, the CHM film as the hard mask film 5 is removed by a known etching process using, for example, O 2 gas (CHM film removal step).

次に、図5のステップS2(第2微細パターン形成工程)では、第1エッチング工程で除去した部分に所望のチャネルを形成した後、更に、ワード線(WL)形成のための所定の溝(第二穴)のパターンが形成される。例えば、図10に示すような微細パターンが形成される。図10において、線幅は、例えば30〜100nm、深さは、例えば30〜4000nmである。本実施における第2微細パターン形成工程は、チャネル形成工程及び第2エッチング工程を少なくとも有する。詳しくは、後述する図9に示すチャネル形成工程及び図10に示す第2エッチング工程にそれぞれ示されるように、第1エッチング工程で形成された貫通穴(第一穴)の側面にONO膜9を形成した後、ポリシリコン(Poly-Si)膜10を形成し、上記貫通穴(第一穴)13にチャネルを形成する。そして、カーボンを含むハードマスクであるカーボンハードマスク(CHM)膜11を所定のパターンで成膜し、ドライエッチングにて所望の線幅及び深さで削り微細パターン(第2微細パターン)を形成する。   Next, in step S2 (second fine pattern forming process) of FIG. 5, after forming a desired channel in the portion removed in the first etching process, a predetermined groove (word) (WL) is further formed. A second hole) pattern is formed. For example, a fine pattern as shown in FIG. 10 is formed. In FIG. 10, the line width is, for example, 30 to 100 nm, and the depth is, for example, 30 to 4000 nm. The second fine pattern forming step in this embodiment has at least a channel forming step and a second etching step. Specifically, as shown in a channel forming step shown in FIG. 9 and a second etching step shown in FIG. 10 described later, the ONO film 9 is formed on the side surface of the through hole (first hole) formed in the first etching step. After the formation, a polysilicon (Poly-Si) film 10 is formed, and a channel is formed in the through hole (first hole) 13. Then, a carbon hard mask (CHM) film 11 that is a hard mask containing carbon is formed in a predetermined pattern, and a fine pattern (second fine pattern) is formed by dry etching with a desired line width and depth. .

図9は、チャネル用に形成した第一穴としての貫通穴13の内壁に、例えばSiO膜6/Si膜7/SiO膜8のONO膜9を成膜し、そして、ポリシリコン(Poly-Si)チャネル10を成膜したチャネル形成(チャネル形成工程)後の断面図を示している。ここで、ONO膜9に関して、SiO膜及びSi膜はそれぞれ成膜され、水平方向(横方向)に積層される。膜厚は、それぞれ0.5nm〜7nm、温度は400〜800℃の範囲のいずれかである。また、チャネルとしてのポリシリコン膜の成膜条件は、溝が埋まるのに十分な膜厚に設定し、例えば100nm程度以上を堆積する。成膜温度は400〜800℃。膜応力を低減する観点から、成膜温度は低い方が望ましい。ポリシリコン膜も同様に、CVD法で成膜する方が望ましい。また、アモルファスシリコン(α-Si)での代替も可能である。アモルファスシリコンを用いた場合の成膜条件は、ポリシリコンと同等の成膜条件内で成膜する。FIG. 9 shows an ONO film 9 of, for example, SiO 2 film 6 / Si 3 N 4 film 7 / SiO 2 film 8 formed on the inner wall of the through hole 13 as the first hole formed for the channel. A cross-sectional view after channel formation (channel formation step) in which a silicon (Poly-Si) channel 10 is formed is shown. Here, with respect to the ONO film 9, the SiO 2 film and the Si 3 N 4 film are respectively formed and stacked in the horizontal direction (lateral direction). The film thicknesses are 0.5 nm to 7 nm, respectively, and the temperature is in the range of 400 to 800 ° C. In addition, the film formation condition of the polysilicon film as the channel is set to a film thickness sufficient to fill the groove, and for example, about 100 nm or more is deposited. The film forming temperature is 400 to 800 ° C. From the viewpoint of reducing the film stress, it is desirable that the film forming temperature is low. Similarly, it is desirable to form the polysilicon film by the CVD method. An alternative with amorphous silicon (α-Si) is also possible. Film formation conditions when amorphous silicon is used are formed within the same film formation conditions as polysilicon.

尚、貫通穴(第一穴)13の内壁に形成されたONO膜9は、後述するSi選択エッチングの抑止(ストッパー)膜として機能する。ここで、従来(2D)のFloating Gate型から、3DNANDフラッシュメモリ作製プロセスでは、電荷がリークしにくい、セル間干渉が小さいなどの特徴を持つCTF(ChargeTrapFlash)型に改良される。つまり、3DNANDフラッシュメモリにおいて、電荷を書き込んだり、消去したりする機構や構造がFloating型と違うCTF構造を作るために上述のONO膜は必須になると想定される。 The ONO film 9 formed on the inner wall of the through hole (first hole) 13 functions as a Si selective etching suppression (stopper) film described later. Here, in the 3D NAND flash memory manufacturing process, the conventional (2D) floating gate type is improved to a CTF (Charge Trap Flash) type having features such as less charge leakage and small inter-cell interference. In other words, in the 3D NAND flash memory, it is assumed that the above-described ONO film is indispensable in order to create a CTF structure having a mechanism and structure for writing and erasing charges different from the floating type.

図10は、電極としてのワード線形成のために各チャネル間にドライエッチングにより溝(第二穴)のパターン形成(第2エッチング工程)後の図である。尚、第2エッチング処理は、第1エッチング処理と同じ処理条件である。   FIG. 10 is a diagram after forming a groove (second hole) pattern by dry etching between the channels to form a word line as an electrode (second etching step). The second etching process has the same processing conditions as the first etching process.

ハードマスクとしてのカーボン膜11は、CVD法により成膜する。膜厚は、被エッチング層である積層膜をエッチングする際に十分残る膜厚とする。一例として、400nm以上が望ましい。成膜温度は、200〜550℃である。   The carbon film 11 as a hard mask is formed by a CVD method. The film thickness is a film thickness that remains sufficiently when the stacked film that is the layer to be etched is etched. As an example, 400 nm or more is desirable. The film forming temperature is 200 to 550 ° C.

上記積層膜のドライエッチング(第2エッチング処理)は、例えば、SF、SiCl、Cl、CF、CF/H混合ガス、または、CF/O混合ガスのいずれかが選択される。For example, SF 6 , SiCl 4 , Cl 2 , CF 4 , CF 4 / H 2 mixed gas, or CF 4 / O 2 mixed gas is selected as the dry etching (second etching process) of the laminated film. Is done.

上記第1エッチング工程と上記第2エッチング工程でのドライエッチング処理は公知の技術であり、エッチング条件も同じであるのが好ましい。エッチング条件を同じにする理由は、ガスを切り替えるとパーティクル要因になる事及びエッチング残りを引き起こすポテンシャルが減る事等が挙げられる。但し、第1エッチング後のチャネル形成では、チャネル形成前にONO膜9の形成が必要であるため、そのONO膜9の厚さだけ、ドライエッチングの線幅を変更するようにしてもよい。尚、ドライエッチングによる溝14の深さは第1エッチング工程と第2エッチング工程で同じである。 The dry etching process in the first etching step and the second etching step is a known technique, and the etching conditions are preferably the same. The reason for making the etching conditions the same is that, when the gas is switched, it becomes a particle factor and the potential for causing etching residue decreases. However, in the channel formation after the first etching, it is necessary to form the ONO film 9 before the channel formation. Therefore, the line width of the dry etching may be changed by the thickness of the ONO film 9. The depth of the groove 14 by dry etching is the same in the first etching process and the second etching process.

尚、ドライエッチング後(第2エッチング工程終了後)は、RCA洗浄が行われ、ドライエッチング時の残渣が除去される。この時、上記RCA洗浄により、Poly−Si膜4は、酸化されてしまうことがあるため、次のステップ(Step3)でのガスエッチング処理前に、HF処理等を前処理として行うのが望ましい。 Note that after dry etching (after the second etching step), RCA cleaning is performed to remove residues during dry etching. At this time, since the Poly-Si film 4 may be oxidized by the RCA cleaning, it is desirable to perform HF treatment or the like as pretreatment before the gas etching treatment in the next step (Step 3).

次に、図5のステップS3(Step3)に示すSi選択エッチング工程 (第3微細パターン形成処理)は、後述するように、本発明技術であるガスエッチングにより高選択にポリシリコン(Poly-Si)膜4を除去する処理を行う。詳しくは、図11に示すように、シリコンを主成分とした第1の膜(本実施の形態におけるポリシリコン膜4)よりもシリコン含有率が少ない膜(例えば、本実施の形態におけるポリシリコン酸化膜3,6やシリコン酸窒化膜やシリコン窒化膜2及びカーボン膜等のハードマスク膜11)である第2の膜を除去することなく、つまり、前記第2の膜を残した状態で、前記第1の膜をエッチング処理により除去する。この本実施形態で使用されるエッチングガスは、選択性だけでなく等方性を有するエッチングが行える。従い、本実施の形態におけるポリシリコン膜4(第1の膜)と本実施の形態におけるポリシリコン酸化膜3(第2の膜)で構成される積層膜のうち、前記第2の膜をエッチングせずに、前記第1の膜のみをエッチングすることができる。ここで、シリコン窒化膜(Si膜)2を第3の膜と定義してもよく、この場合でも、本実施の形態によれば、前記第2の膜及び第3の膜をエッチングせずに、前記第1の膜のみをエッチングすることができる。Next, the Si selective etching process (third fine pattern forming process) shown in Step S3 (Step 3) of FIG. 5 is highly selective by polysilicon (Poly-Si) by gas etching which is the technique of the present invention, as will be described later. A process for removing the film 4 is performed. Specifically, as shown in FIG. 11, a film having a lower silicon content (for example, polysilicon oxide in the present embodiment) than the first film containing silicon as a main component (the polysilicon film 4 in the present embodiment). Without removing the second film, which is the hard mask film 11) such as the films 3 and 6, the silicon oxynitride film, the silicon nitride film 2, and the carbon film, that is, with the second film remaining, The first film is removed by an etching process. The etching gas used in this embodiment can perform not only selectivity but also isotropic etching. Accordingly, the second film is etched out of the laminated film composed of the polysilicon film 4 (first film) in the present embodiment and the polysilicon oxide film 3 (second film) in the present embodiment. Without etching, only the first film can be etched. Here, the silicon nitride film (Si 3 N 4 film) 2 may be defined as a third film. Even in this case, according to the present embodiment, the second film and the third film are etched. Without etching, only the first film can be etched.

本実施の形態におけるフッ素を含むエッチングガスは、Si膜(ポリシリコン膜4等)以外には選択性が高いため、Si膜以外の膜(ポリシリコン酸化膜3等)を除去する(オーバエッチングする)ことなく、基板表面のSi膜(ポリシリコン膜4等)のみを除去可能である。その理由は、このフッ素を含むエッチングガス第1の膜と第2の膜(ポリシリコン酸化膜3等)に対する選択比が、例えば、ClFガス、XeFガスと比較しても十分高いためである。よって、Si膜(ポリシリコン膜4等)が十分に除去される時間を確保しておき、Si膜(ポリシリコン膜4等)が除去された後、第2の膜(ポリシリコン酸化膜3等)が、例えば、基板表面に露出してもオーバエッチングされることはなく、むしろエッチストッパの役目を果たす。Since the etching gas containing fluorine in the present embodiment has high selectivity except for the Si film (polysilicon film 4 or the like), the film other than the Si film (polysilicon oxide film 3 or the like) is removed (overetched). ), Only the Si film (polysilicon film 4 or the like) on the substrate surface can be removed. The reason is that the selection ratio of the fluorine-containing etching gas to the first film and the second film (polysilicon oxide film 3 and the like) is sufficiently higher than, for example, ClF 3 gas and XeF 2 gas. is there. Therefore, a sufficient time for removing the Si film (polysilicon film 4 etc.) is secured, and after the Si film (polysilicon film 4 etc.) is removed, the second film (polysilicon oxide film 3 etc.) is removed. ), For example, is not over-etched when exposed to the substrate surface, but rather serves as an etch stopper.

ここで、「高い選択性」とは、例えばシリコンを主成分とした第1の膜(本実施の形態におけるポリシリコン膜4)のエッチングレートを、第1の膜よりもシリコン含有率が少ない膜(本実施の形態におけるシリコン酸化膜3,6やシリコン酸窒化膜やシリコン窒化膜2及びカーボン膜等のハードマスク膜11)である第2の膜よりも極めて高くすることを言う。より良くは、第2の膜をエッチングせずに、第1の膜をエッチングすることを言う。また、ここで、「異方性」とは、一方向に、ここでは垂直方向にのみエッチングすることを言い、「等方性」とは、垂直方向に加えて水平方向(横方向)等の他の方向にもエッチングすることを言う。   Here, “high selectivity” means, for example, that the etching rate of the first film mainly composed of silicon (polysilicon film 4 in the present embodiment) has a lower silicon content than the first film. That is, it is extremely higher than the second film which is the hard mask film 11 such as the silicon oxide films 3 and 6, silicon oxynitride film, silicon nitride film 2, and carbon film in the present embodiment. Even better, it refers to etching the first film without etching the second film. Here, “anisotropic” means etching in one direction, here in the vertical direction, and “isotropic” means horizontal (lateral) in addition to the vertical direction. Etching in other directions.

本実施形態では、シリコンを主成分とした第1の膜(本実施の形態におけるポリシリコン膜4)の除去処理のエッチングガスとしてIFガスを用い、以下の処理条件C1でエッチング処理を行う。 処理条件C1は、基板温度が室温(ここでは30℃)から50℃の範囲、好ましくは室温から40℃の範囲、処理室445内の圧力が100Pa〜1000Pa、好ましくは200〜500Paの範囲、IFガスの流量が0.5slm〜4slm、好ましくは0.5slm〜1slmの範囲、キャリアガスであるNガスの流量が0slm〜1slmの範囲とする。In this embodiment, IF 7 gas is used as an etching gas for removing the first film containing silicon as a main component (polysilicon film 4 in the present embodiment), and the etching process is performed under the following processing condition C1. The processing condition C1 is that the substrate temperature is in the range of room temperature (here 30 ° C.) to 50 ° C., preferably in the range of room temperature to 40 ° C., the pressure in the processing chamber 445 is in the range of 100 Pa to 1000 Pa, preferably in the range of 200 to 500 Pa, IF The flow rate of 7 gas is 0.5 slm to 4 slm, preferably 0.5 slm to 1 slm, and the flow rate of N 2 gas as the carrier gas is 0 slm to 1 slm.

IFガスを使用する場合、50℃以下で行なうことでSi膜のエッチングレートが向上し、少なくとも下地であるSi膜及び積層膜を構成するSiO膜との高い選択性を維持する(高選択比を確保する)ことが可能となる。また、40℃以下の場合、更に高選択比を確保できる。また、圧力が100Pa〜1000Paの場合、高選択比を確保でき、200〜500Paの場合、更に高選択比を確保できる。また、流量が0.5slm〜4slmの場合、高選択比を確保でき、0.5slm〜1slmの場合、更に高選択比を確保できる。When IF 7 gas is used, the etching rate of the Si film is improved by performing it at 50 ° C. or lower, and at least high selectivity with respect to the Si 3 N 4 film as the base and the SiO 2 film constituting the laminated film is maintained. (A high selection ratio can be ensured). Moreover, when it is 40 degrees C or less, a still higher selection ratio is securable. Moreover, when the pressure is 100 Pa to 1000 Pa, a high selection ratio can be secured, and when the pressure is 200 to 500 Pa, a further high selection ratio can be secured. Further, when the flow rate is 0.5 slm to 4 slm, a high selection ratio can be ensured, and when the flow rate is 0.5 slm to 1 slm, a further high selection ratio can be ensured.

本実施の形態において、SiO膜3、Poly-Si膜4を交互に積層された積層膜のうち、Poly-Si膜4がエッチング工程で除去される。次に、Poly-Si膜4が除去された後、フッ素を含むガスエッチングが、前記SiO膜3と同じ膜種である前記ONO膜9のSiO膜6(SiO膜8)と接触することになる。この時点で、フッ素を含むエッチングガスによる除去が終了する。積層膜に形成されたPoly-Si膜4の全てが除去された時点でフッ素を含むエッチングガスによるエッチング工程が終了する。この際、Poly-Si膜4の除去される速さが積層膜の上下で異なる場合があっても大した問題にはならない。それは、本実施形態におけるエッチングガスの他の膜種(SiO膜3)との選択性がはるかに高いからである。In the present embodiment, the Poly-Si film 4 is removed in the etching process among the laminated films in which the SiO 2 film 3 and the Poly-Si film 4 are alternately laminated. Next, after the Poly-Si film 4 is removed, gas etching containing fluorine comes into contact with the SiO 2 film 6 (SiO 2 film 8) of the ONO film 9 which is the same film type as the SiO 2 film 3. It will be. At this point, the removal using the etching gas containing fluorine is completed. When all of the Poly-Si film 4 formed in the laminated film is removed, the etching process using an etching gas containing fluorine is completed. At this time, even if the removal speed of the Poly-Si film 4 may be different between the upper and lower sides of the laminated film, it does not matter much. This is because the selectivity of the etching gas with another film type (SiO 2 film 3) in this embodiment is much higher.

こうして、積層膜を構成するSiO膜3に対して高い選択性をもって(高選択的に)Poly−Si膜4をエッチングすることができ、かつ、下地のSi膜2に対しても高選択的にPoly−Si膜4をエッチングできる。つまり、SiO膜3やSi膜2のエッチングを抑制しつつ、Poly−Si膜4を高選択的にエッチングして除去することを可能とする。そしてこのとき、50℃以下の温度でエッチングを実施することにより、100℃以下あるいは400℃以下の低温で成膜したSiO膜が、温度により変化することを防ぐことができる。Thus, the Poly-Si film 4 can be etched with high selectivity (highly selective) with respect to the SiO 2 film 3 constituting the laminated film, and also with respect to the underlying Si 3 N 4 film 2 The Poly-Si film 4 can be etched with high selectivity. That is, it is possible to etch and remove the Poly-Si film 4 with high selectivity while suppressing the etching of the SiO 2 film 3 and the Si 3 N 4 film 2. At this time, by performing etching at a temperature of 50 ° C. or lower, it is possible to prevent the SiO 2 film formed at a low temperature of 100 ° C. or lower or 400 ° C. or lower from changing with temperature.

更に、エッチング後、エッチングガスを除去するパージ工程において、IFガスによるエッチングと共に、上述した副生成物として生成されるIFガスも気体であるため、基板上に付着することなく容易にパージできると考えられる。但し、より確実に副生成物をパージするには、副生成物の昇華温度である95℃以上に基板温度を制御するのが好ましい。このように、不活性ガスでパージしながら、基板温度を副生成物の昇華温度以上にすることにより、パージ効率が格段に向上することが期待できる。但し、100℃以下でSiO膜が形成される場合があるので、このとき、基板温度を加熱してしまうと、温度による影響が無視できない場合があるので注意が必要である。Further, in the purge process for removing the etching gas after etching, the IF 5 gas generated as a by-product as described above is also a gas together with the etching with the IF 7 gas, so that it can be easily purged without adhering to the substrate. it is conceivable that. However, in order to purge the by-product more reliably, it is preferable to control the substrate temperature to 95 ° C. or more, which is the sublimation temperature of the by-product. Thus, it can be expected that the purge efficiency is remarkably improved by setting the substrate temperature to be equal to or higher than the sublimation temperature of the by-product while purging with an inert gas. However, since an SiO 2 film may be formed at a temperature of 100 ° C. or lower, care must be taken because the influence of temperature may not be ignored if the substrate temperature is heated at this time.

その後、例えばOガスを用いた公知のエッチング処理によりハードマスク膜としてのCHM膜11が除去される(CHM膜除去工程)。なお、特にアモルファスカーボン膜であるCHM膜の場合は、本基板処理工程の後の後工程で行われる高温アニールプロセス、酸化プロセス、Oアッシャープロセスに弱いので、後工程において基板裏側の膜剥がれに起因するパーティクルを抑制するため、除去する必要がある。Thereafter, the CHM film 11 as a hard mask film is removed by a known etching process using, for example, O 2 gas (CHM film removal step). In particular, the CHM film, which is an amorphous carbon film, is vulnerable to high temperature annealing process, oxidation process, and O 2 asher process performed in the subsequent process after the substrate processing process. In order to suppress the resulting particles, it is necessary to remove them.

次に、図5のステップS4に示す電極形成工程 (第4微細パターン形成処理)は、図13に示すように、本発明技術によるガスエッチングにより形成された第3微細パターンに電極となる所定の導電膜(例えば、金属膜、金属化合物等)を形成する処理を行う。これにより、第4微細パターンを第2微細パターンと同じ線幅で形成する。また、第4微細パターンを形成する工程は、図12に示す導電膜形成工程及び図13に示す第4エッチング工程を少なくとも有する。   Next, in the electrode forming step (fourth fine pattern forming process) shown in step S4 of FIG. 5, as shown in FIG. A treatment for forming a conductive film (eg, a metal film, a metal compound, or the like) is performed. Thereby, the fourth fine pattern is formed with the same line width as the second fine pattern. Further, the step of forming the fourth fine pattern includes at least the conductive film forming step shown in FIG. 12 and the fourth etching step shown in FIG.

図12は、ワード線となるメタル電極を成膜(導電膜形成工程)後の図である。図では電極に用いる導電膜としてのタングステン膜を一例に示す。成膜方法は、例えばCVD法で用いる。成膜温度は、150〜500℃の範囲である。また、導電膜としては、タングステン膜の他に、TiN膜、TiN/Al膜、或いは、TaN/W膜であってもよい。   FIG. 12 is a view after forming a metal electrode to be a word line (conductive film forming step). In the figure, a tungsten film as a conductive film used for an electrode is shown as an example. For example, a CVD method is used as the film forming method. The film forming temperature is in the range of 150 to 500 ° C. In addition to the tungsten film, the conductive film may be a TiN film, a TiN / Al film, or a TaN / W film.

図13は、導電膜(本実施形態におけるタングステン膜)を成膜後にドライエッチングによりワード線を形成(第4エッチング処理)後の図である。   FIG. 13 is a diagram after a word line is formed by dry etching (fourth etching process) after forming a conductive film (tungsten film in the present embodiment).

次に、図13に示すように、例えばSF、SiCl、Cl、CF、CF/H混合ガス、或いはCF/O混合ガスを用いた公知のドライエッチング処理により、前記所定の導電(金属等)膜を加工し、本発明における第4微細パターンを第2微細パターンと同じ線幅で形成する。尚、第4エッチング処理は、第1(または第2)エッチング処理と同じである。Next, as shown in FIG. 13, for example, by the known dry etching process using SF 6 , SiCl 4 , Cl 2 , CF 4 , CF 4 / H 2 mixed gas, or CF 4 / O 2 mixed gas, A predetermined conductive (metal or the like) film is processed, and the fourth fine pattern in the present invention is formed with the same line width as the second fine pattern. The fourth etching process is the same as the first (or second) etching process.

尚、ポリシリコンを含む積層膜の中でポリシリコンのみを高選択にエッチングする例として3DNANDのプロセスを例に説明したが、3DNANDの形成は、上記で説明した3DNANDの形成プロセスに限定されるものではない。   Note that the 3D NAND process has been described as an example of highly selective etching of only polysilicon in a laminated film containing polysilicon, but the formation of 3D NAND is limited to the 3D NAND formation process described above. is not.

(本実施形態の変形例) 例えば、図5に示す本実施形態における微細パターン形成方法における第2微細パターン形成工程では、図9に示すように、ポリシリコン膜のチャネルが形成されている。このチャネル形成工程では、ポリシリコンを成膜する前に第1微細パターン形成時にできた貫通穴13の側面にONO膜9を形成している。このONO膜9の代わりにAl/Si/SiOの膜を用いても良い。この場合、Al膜、Si膜、SiO膜は、それぞれ成膜され、積層される。各膜厚は0.5nm〜7nmの範囲のいずれかであり、温度は400〜800℃の範囲のいずれかである。この場合、チャネルとしては、ポリシリコンではなく、アモルファスシリコンが好ましい。それは、結晶粒界起因のデバイス特性のばらつきの影響を抑えることができるからである。(Modification of this Embodiment) For example, in the second fine pattern forming step in the fine pattern forming method of this embodiment shown in FIG. 5, a channel of a polysilicon film is formed as shown in FIG. In this channel forming step, the ONO film 9 is formed on the side surface of the through hole 13 formed at the time of forming the first fine pattern before forming the polysilicon film. Instead of the ONO film 9, an Al 2 O 3 / Si 3 N 4 / SiO 2 film may be used. In this case, the Al 2 O 3 film, the Si 3 N 4 film, and the SiO 2 film are each formed and laminated. Each film thickness is in the range of 0.5 nm to 7 nm, and the temperature is in the range of 400 to 800 ° C. In this case, the channel is preferably amorphous silicon rather than polysilicon. This is because the influence of variations in device characteristics due to crystal grain boundaries can be suppressed.

3.本実施形態の基板処理装置における基板処理方法 次に、本実施形態の基板処理装置20における基板処理工程の一例について以下に説明する。この基板処理工程では、上述したSi選択エッチング工程S3を行うものであり、Si選択エッチング工程(第3エッチング工程)S3が、第1の処理ユニット410(510)を用いて実施される。この基板処理工程は、例えば基板上に半導体装置を製造する半導体製造工程の一工程として実施される。この基板処理工程においては、基板処理装置20の各構成部の動作は、コントローラ600によって制御される。以下に説明するS21からS80までを、本実施形態の基板処理装置20における基板処理工程と呼ぶ。 3. Next, an example of a substrate processing process in the substrate processing apparatus 20 of this embodiment will be described below. In this substrate processing step, the Si selective etching step S3 described above is performed, and the Si selective etching step (third etching step) S3 is performed using the first processing unit 410 (510). This substrate processing step is performed as one step of a semiconductor manufacturing step for manufacturing a semiconductor device on a substrate, for example. In this substrate processing step, the operation of each component of the substrate processing apparatus 20 is controlled by the controller 600. S21 to S80 described below are referred to as substrate processing steps in the substrate processing apparatus 20 of the present embodiment.

(初期冷却剤流量制御工程 S21) 第1の処理ユニット410において、冷却剤流量制御部486は、冷却剤供給ユニット491を制御し、予め設定された液量と液温に調整された冷却剤を、外部サセプタ冷却剤流路489a、サセプタ冷却剤流路464、外部サセプタ冷却剤流路489bにおいて、矢印489cの方向に循環させる。 (Initial coolant flow rate control step S21) In the first processing unit 410, the coolant flow rate control unit 486 controls the coolant supply unit 491 so that the coolant adjusted to the preset liquid amount and liquid temperature is supplied. The external susceptor coolant channel 489a, the susceptor coolant channel 464, and the external susceptor coolant channel 489b are circulated in the direction of the arrow 489c.

(初期ヒータ温度調整工程 S22) 第1の処理ユニット410において、ヒータ温度制御部485は、予め設定された初期電力をヒータ463に供給し、サセプタテーブル411が所望の温度となるようヒータ463を発熱させる。 第2の処理ユニットにおいても、第2の処理ユニットのヒータ温度制御部は、第1の処理ユニット410のヒータ温度制御部485と同様の制御を行う。 (Initial Heater Temperature Adjustment Step S22) In the first processing unit 410, the heater temperature control unit 485 supplies the preset initial power to the heater 463, and the heater 463 generates heat so that the susceptor table 411 has a desired temperature. Let Also in the second processing unit, the heater temperature control unit of the second processing unit performs the same control as the heater temperature control unit 485 of the first processing unit 410.

(サセプタ温度検出工程 S23) 初期冷却剤流量制御工程S21及び初期ヒータ温度調整工程S22の後、第1の処理ユニット410の温度検出部488は、サセプタ459の温度を検出する。第2の処理ユニット510においても、第2の処理ユニット510の温度検出部は、サセプタの温度を検出する。検出されたサセプタ温度の情報は、コントローラ600に入力される。 (Susceptor Temperature Detection Step S23) After the initial coolant flow rate control step S21 and the initial heater temperature adjustment step S22, the temperature detection unit 488 of the first processing unit 410 detects the temperature of the susceptor 459. Also in the second processing unit 510, the temperature detection unit of the second processing unit 510 detects the temperature of the susceptor. Information on the detected susceptor temperature is input to the controller 600.

(サセプタ温度判定工程 S24) コントローラ600は、検出された温度データ(サセプタ459の温度)が予め定められた温度範囲であると判定した場合、即ち「Yes」の場合、次の基板載置工程S31に移行する。 (Susceptor Temperature Determination Step S24) If the controller 600 determines that the detected temperature data (temperature of the susceptor 459) is within a predetermined temperature range, that is, “Yes”, the next substrate placement step S31. Migrate to

検出された温度データが、予め定められた温度範囲と異なる情報である場合、即ち「No」である場合、予め定められた温度になるまで、初期冷却剤流量制御工程S21及び初期ヒータ温度調整工程S22と、その後のサセプタ温度検出工程S23を繰り返す。   When the detected temperature data is information different from the predetermined temperature range, that is, when it is “No”, the initial coolant flow rate control step S21 and the initial heater temperature adjustment step until the temperature reaches a predetermined temperature. S22 and the subsequent susceptor temperature detection step S23 are repeated.

S21からS24は、ウエハを処理する前の準備段階であり、ここではS21からS24を初期工程と呼ぶ。   S21 to S24 are preparatory steps before the wafer is processed. Here, S21 to S24 are called initial steps.

(第1の処理工程) 次に、以下のS31〜S40で構成され、第1のエッチング処理工程を含む第1の処理工程を実施する。 (First Processing Step) Next, a first processing step including the first etching processing step, which includes the following S31 to S40, is performed.

(ウエハ載置工程 S31) サセプタ温度が予め定められた温度範囲となった後、真空搬送ロボット320が、処理室445へウエハ60を搬送する。具体的には、ウエハ60を搭載した真空搬送ロボット320のフィンガー321が、処理室445に進入し、フィンガー321が、上昇されたリフターピン413にウエハ60を載置する。ウエハ60が載置されたリフターピン413が下降することにより、サセプタテーブル411上にウエハ60が載置される。 このウエハ60には、前述した図5に示すステップS1及びS2が施されている。詳しくは、ウエハ60の表側には、図10に示すように、第2微細パターンが形成されている。より詳しくは、このウエハ60には、シリコンを主成分とする第1の膜(本実施の形態におけるポリシリコン膜4)と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を交互に少なくとも2層以上積層された積層膜に複数の貫通穴(第一穴)が設けられ、前記複数の貫通穴(第一穴)13に埋設されたチャネル10間に溝(第二穴)14が形成されている。 (Wafer Placement Step S31) After the susceptor temperature falls within a predetermined temperature range, the vacuum transfer robot 320 transfers the wafer 60 to the processing chamber 445. Specifically, the finger 321 of the vacuum transfer robot 320 on which the wafer 60 is mounted enters the processing chamber 445, and the finger 321 places the wafer 60 on the lifter pin 413 that has been raised. When the lifter pins 413 on which the wafer 60 is placed are lowered, the wafer 60 is placed on the susceptor table 411. The wafer 60 is subjected to the steps S1 and S2 shown in FIG. Specifically, a second fine pattern is formed on the front side of the wafer 60 as shown in FIG. More specifically, the wafer 60 includes a first film containing silicon as a main component (polysilicon film 4 in the present embodiment) and a second film having a lower silicon content than the first film. A plurality of through holes (first holes) are provided in a laminated film in which at least two layers are alternately laminated, and a groove (second groove) is formed between the channels 10 embedded in the plurality of through holes (first holes) 13. Hole) 14 is formed.

(第3のエッチング処理工程 S32) ステップS1〜S5が施されたウエハ60がサセプタテーブル411上に載置されると、ウエハ60は、温度制御部によって後述する所定の温度範囲に加熱され、維持される。ここで、所定の温度範囲とは、エッチングガスが外部からの強力なエネルギー(例えば高周波電力)を得ずとも、高い選択性を維持できる温度範囲を言う。例えば、七フッ化ヨウ素の場合は、30℃以上であって50℃以下、好ましくは30℃以上であって40℃以下である。このとき、温度の下限は、例えば、温度の制御性やガスが液化しない温度を考慮して決定する。 (Third Etching Process Step S32) When the wafer 60 subjected to steps S1 to S5 is placed on the susceptor table 411, the wafer 60 is heated and maintained in a predetermined temperature range described later by the temperature controller. Is done. Here, the predetermined temperature range refers to a temperature range in which high selectivity can be maintained without the etching gas obtaining strong external energy (for example, high frequency power). For example, in the case of iodine heptafluoride, it is 30 ° C. or higher and 50 ° C. or lower, preferably 30 ° C. or higher and 40 ° C. or lower. At this time, the lower limit of the temperature is determined in consideration of, for example, temperature controllability and the temperature at which the gas does not liquefy.

次に、第2のガス供給ユニット483を制御して、希釈ガスとしての窒素ガスを処理室445内に供給する。それと併行して、第1のガス供給ユニット482を制御して、ガス導入口433から処理室445内にエッチングガス(例えば、IFガス)を供給する。供給されたエッチングガスは、シャワープレート484の板部484aにぶつかり、孔部484bを介して、拡散された状態でウエハ60に供給される。拡散することで、均一にウエハ60上にガスが供給されるため、ウエハ面内を均一にエッチングすることが可能となる。Next, the second gas supply unit 483 is controlled to supply nitrogen gas as a dilution gas into the processing chamber 445. At the same time, the first gas supply unit 482 is controlled to supply the etching gas (for example, IF 7 gas) from the gas inlet 433 into the processing chamber 445. The supplied etching gas collides with the plate portion 484a of the shower plate 484, and is supplied to the wafer 60 in a diffused state through the hole portion 484b. By diffusing, the gas is uniformly supplied onto the wafer 60, so that the inside of the wafer can be uniformly etched.

このとき、第1のガス供給ユニット482において、第1ガス源482bからのIFガスの流量は、0.5slm〜4slmのうち、所定のガス流量、好ましくは1slmに設定される。不活性ガス源482fからのNガス(キャリアガス)の流量は、0slm〜1slmのうち、所定のガス流量に設定される。第2のガス供給ユニット483からのNガス(希釈ガス)の流量は、0.1slm〜3slmのうち、所定のガス流量、好ましくは0.5slmに設定される。処理室445内の圧力は、例えば100Pa〜1000Paのうち、所定の圧力、好ましくは200Pa〜500Paに設定される。At this time, in the first gas supply unit 482, the flow rate of the IF 7 gas from the first gas source 482b is set to a predetermined gas flow rate of 0.5 slm to 4 slm, preferably 1 slm. The flow rate of N 2 gas (carrier gas) from the inert gas source 482f is set to a predetermined gas flow rate from 0 slm to 1 slm. The flow rate of N 2 gas (dilution gas) from the second gas supply unit 483 is set to a predetermined gas flow rate, preferably 0.5 slm, of 0.1 slm to 3 slm. The pressure in the processing chamber 445 is set to a predetermined pressure, preferably 200 Pa to 500 Pa, for example, from 100 Pa to 1000 Pa.

ところで、上記エッチングガスは、シリコン膜と接触し反応すると発熱する性質を有する。発生した反応熱は、熱伝導により金属膜や基板に伝導し、その結果金属膜の特性劣化や基板の反りが発生することが考えられる。更には、ウエハ60の温度が所定の温度範囲から外れ、エッチングガスが高い選択性を失うことが考えられる。   By the way, the etching gas has a property of generating heat when it reacts with the silicon film. The generated reaction heat is conducted to the metal film or the substrate by heat conduction, and as a result, the characteristic deterioration of the metal film or the warpage of the substrate may occur. Furthermore, it is conceivable that the temperature of the wafer 60 deviates from a predetermined temperature range and the etching gas loses high selectivity.

エッチングガスの濃度とエッチングレートは比例関係にあり、更にはエッチングレートと反応熱量は比例関係にあるため、エッチングガスの濃度を高くしてエッチングレートを上昇させる場合、上記の現象がより顕著となる。   Since the etching gas concentration and the etching rate are in a proportional relationship, and the etching rate and the reaction heat amount are in a proportional relationship, the above phenomenon becomes more prominent when the etching rate is increased by increasing the etching gas concentration. .

そこで、エッチングガスと共に希釈ガスを処理室445に供給することで、エッチングガスの濃度を薄め、反応熱による過度な温度上昇を抑制する。希釈ガスの供給量は、例えばエッチングガスの供給量よりも多くするのが好ましい。   Therefore, by supplying a dilution gas together with the etching gas to the processing chamber 445, the concentration of the etching gas is reduced, and an excessive temperature rise due to reaction heat is suppressed. The supply amount of the dilution gas is preferably larger than the supply amount of the etching gas, for example.

なお、ここでは希釈ガスとエッチングガスの供給をほぼ同時に開始したが、それに限られるものではなく、より良くは、希釈ガスを供給した後にエッチングガスを供給するのが良い。この場合、エッチングガスとしては例えばハロゲンのような、希釈ガスよりも重い物質を含み、更には外部からの強力なエネルギーを得ずにエッチング可能なガスが好ましい。仮にハロゲンを含むガスと希釈ガスとを同時に供給した場合、希釈ガスよりも先に、ハロゲンを含むガスが基板上に到達する。即ち、濃度の高いエッチングガスが希釈ガスよりも先に基板上に到達してしまう。この場合、急激にエッチングされるため、急激に温度が上昇し、高いエッチング選択性を失うことが考えられる。それを防ぐために、希釈ガスを供給した後にエッチングガスを供給するのが望ましい。   Although the supply of the dilution gas and the etching gas is started almost simultaneously here, the present invention is not limited to this, and it is better to supply the etching gas after supplying the dilution gas. In this case, the etching gas is preferably a gas that contains a material heavier than the dilution gas, such as halogen, and can be etched without obtaining strong energy from the outside. If a halogen-containing gas and a dilution gas are supplied simultaneously, the halogen-containing gas reaches the substrate before the dilution gas. That is, the etching gas having a high concentration reaches the substrate before the dilution gas. In this case, since the etching is performed rapidly, it is conceivable that the temperature is rapidly increased and high etching selectivity is lost. In order to prevent this, it is desirable to supply the etching gas after supplying the dilution gas.

より良くは、希釈ガス雰囲気で処理室が満たされた状態で、処理室の圧力が安定してからエッチングガスを供給する。これは、希釈ガス量がエッチングガス量に対して十分に多い場合であって、例えばエッチングの深さを制御するプロセス等に有効である。圧力が安定した状態でエッチングを行うので、エッチングレートを安定させることができる。その結果、エッチングの深さを制御し易くなる。   More preferably, the etching gas is supplied after the pressure in the processing chamber is stabilized in a state where the processing chamber is filled with the diluted gas atmosphere. This is a case where the amount of dilution gas is sufficiently larger than the amount of etching gas, and is effective, for example, in a process for controlling the etching depth. Since etching is performed in a state where the pressure is stable, the etching rate can be stabilized. As a result, the etching depth can be easily controlled.

更に本実施形態においては、エッチングガスがウエハと接触する間、ウエハ60を所望の温度範囲に維持することで、高いエッチングレートの維持、基板を構成する膜の特性劣化の防止、基板の反りの防止、高いエッチング選択性の維持のいずれか、もしくはそれらのいずれかの組み合わせを同時に達成する。   Furthermore, in the present embodiment, while the etching gas is in contact with the wafer, the wafer 60 is maintained in a desired temperature range, thereby maintaining a high etching rate, preventing deterioration of the characteristics of the film constituting the substrate, and warping of the substrate. Either preventing, maintaining high etch selectivity, or any combination thereof is achieved simultaneously.

(ウエハ温度検出工程 S33) 前述のように、エッチングガスがウエハ60と接触する間、反応熱によってウエハ60が加熱される。ここでは、反応熱によって加熱されたウエハ60の温度を温度検出部488が検出する。 (Wafer Temperature Detection Step S33) As described above, while the etching gas is in contact with the wafer 60, the wafer 60 is heated by the reaction heat. Here, the temperature detector 488 detects the temperature of the wafer 60 heated by the reaction heat.

(ウエハ温度判定工程 S34) ウエハ温度検出工程S33で検出された温度データは、コントローラ600に入力される。コントローラ600は、温度データが所定の温度の範囲か否かを判定する。所定の温度範囲である場合、即ち「Yes」の場合、S37のヒータ・冷却剤制御維持工程に移行する。検出された温度データが所望の温度の範囲ではない場合、即ち「No」の場合、ウエハ温度が所望の温度となるよう温度制御部を調整する工程(S35、S36)へ移行する。 (Wafer Temperature Determination Step S34) The temperature data detected in the wafer temperature detection step S33 is input to the controller 600. The controller 600 determines whether the temperature data is within a predetermined temperature range. If the temperature is within the predetermined temperature range, that is, if “Yes”, the process proceeds to the heater / coolant control maintaining step of S37. If the detected temperature data is not within the desired temperature range, that is, “No”, the process proceeds to a step (S35, S36) of adjusting the temperature control unit so that the wafer temperature becomes the desired temperature.

(ヒータ温度調整工程 S35) ウエハ温度判定工程S34にて、ウエハ温度が所定の温度範囲ではないと判定されたら、ヒータ温度制御部485は、ヒータ463への電力供給量を制御する。本実施形態の場合、反応熱によりウエハ60の温度が所定の温度範囲の上限値よりも高い温度に上昇するため、所定の温度に維持するためにヒータ463の温度を下降させる。 (Heater Temperature Adjustment Step S35) If it is determined in the wafer temperature determination step S34 that the wafer temperature is not within the predetermined temperature range, the heater temperature control unit 485 controls the amount of power supplied to the heater 463. In the case of this embodiment, the temperature of the wafer 60 rises to a temperature higher than the upper limit value of the predetermined temperature range due to the reaction heat, so that the temperature of the heater 463 is lowered to maintain the predetermined temperature.

(冷却剤流量調整工程 S36) ウエハ温度が所定の温度範囲ではないと判定されたら、冷却剤流量制御部486は、冷却剤の流量や温度を制御する。本実施形態の場合、反応熱によりウエハ60の温度が所定の温度範囲の上限値よりも高い温度に上昇するため、所定の温度に維持するために、冷却剤の流量を増加又は冷却剤の温度を低下させる。このようにすることで、ウエハ60の冷却効率を高める。 (Coolant Flow Rate Adjustment Step S36) If it is determined that the wafer temperature is not within the predetermined temperature range, the coolant flow rate control unit 486 controls the flow rate and temperature of the coolant. In the case of this embodiment, the temperature of the wafer 60 rises to a temperature higher than the upper limit value of the predetermined temperature range due to the reaction heat, so that the coolant flow rate is increased or the coolant temperature is maintained in order to maintain the predetermined temperature Reduce. By doing so, the cooling efficiency of the wafer 60 is increased.

ヒータ温度調整工程S35や冷却剤流量調整工程S36のようにヒータ463と冷却剤流量を制御することで、ウエハ60が所定の温度範囲となるよう調整する。調整後、ウエハ温度検出工程S33に移行する。こうして、ウエハ60が所定の温度範囲になるまで、S33〜S36を繰り返す。   By controlling the heater 463 and the coolant flow rate as in the heater temperature adjustment step S35 and the coolant flow rate adjustment step S36, the wafer 60 is adjusted to be in a predetermined temperature range. After the adjustment, the process proceeds to the wafer temperature detection step S33. Thus, S33 to S36 are repeated until the wafer 60 reaches a predetermined temperature range.

なお、本実施形態では、ヒータ温度調整工程S35の後に冷却剤流量調整工程S36を実施しているが、それに限られるものではない。例えば、ウエハ温度判定工程S34の後に、冷却剤流量調整工程S36を行い、その後ヒータ温度調整工程S35を実施しても良い。もしくは、ウエハ温度判定工程S34の後に、冷却剤流量調整工程S36とヒータ温度調整工程S35を並行して実施しても良い。   In the present embodiment, the coolant flow rate adjustment step S36 is performed after the heater temperature adjustment step S35, but is not limited thereto. For example, the coolant flow rate adjustment step S36 may be performed after the wafer temperature determination step S34, and then the heater temperature adjustment step S35 may be performed. Alternatively, the coolant flow rate adjustment step S36 and the heater temperature adjustment step S35 may be performed in parallel after the wafer temperature determination step S34.

また、本実施形態においては、ウエハ60の温度を下降させるために、ヒータ463の温度を下降させ、冷却剤の流量を増加させるように制御したが、それに限られるものではなく、ヒータ463の制御と冷却剤流量の制御の協働により、結果的にウエハ60の温度が低下し所定温度範囲になるよう制御すれば良い。   Further, in this embodiment, in order to lower the temperature of the wafer 60, the temperature of the heater 463 is lowered and the flow rate of the coolant is increased. However, the present invention is not limited to this, and the heater 463 is controlled. As a result, the temperature of the wafer 60 may be controlled to fall within a predetermined temperature range by cooperating with the control of the coolant flow rate.

また、ウエハ60の温度が、所定の温度範囲の下限値よりも低くなってしまった場合、ヒータ463の制御と冷却剤流量の制御の協働により、結果的にウエハ60の温度が上昇するよう制御すれば良い。   Further, when the temperature of the wafer 60 becomes lower than the lower limit value of the predetermined temperature range, the temperature of the wafer 60 is increased as a result by cooperation of the control of the heater 463 and the control of the coolant flow rate. Just control.

(ヒータ・冷却剤制御維持工程 S37) ウエハ温度判定工程S34にて、ウエハ温度が所定の温度範囲と判定されたら、それを維持するために、ヒータ463の制御と冷却剤流量の制御を維持し、ウエハ60の温度を維持する。 (Heater / Coolant Control Maintaining Step S37) If the wafer temperature is determined to be within a predetermined temperature range in the wafer temperature determining step S34, the control of the heater 463 and the control of the coolant flow rate are maintained in order to maintain it. The temperature of the wafer 60 is maintained.

(処理時間判定工程 S38) S32のエッチング処理時間が所定の時間経過したか否か、つまり、ウエハ60に対するエッチング処理が終了したか否かを判定する。所定の時間を経過していると判定された場合、即ち「Yes」の場合、S39に移行する。所定の時間を経過していないと判定された場合、即ち「No」の場合、S32へ戻りエッチング処理を引き続き行う。 (Processing Time Determination Step S38) It is determined whether or not the etching processing time in S32 has elapsed, that is, whether or not the etching processing on the wafer 60 has been completed. If it is determined that the predetermined time has passed, that is, if “Yes”, the process proceeds to S39. If it is determined that the predetermined time has not elapsed, that is, if “No”, the process returns to S32 to continue the etching process.

このように、シリコンを主成分とした第1の膜(本実施の形態におけるポリシリコン膜4)よりもシリコン含有率が少ない膜(本実施の形態におけるシリコン酸化膜3やシリコン酸窒化膜やシリコン窒化膜2及びカーボン膜等のハードマスク膜5)である第2の膜を除去することなく、前記第1の膜のみをエッチング処理により除去される。そして、エッチング処理が終了した段階において、ウエハ60における積層膜を構成するSiO膜3を残した状態でPoly−Si膜4の全部が除去される。Thus, a film (silicon oxide film 3, silicon oxynitride film or silicon in this embodiment) having a lower silicon content than the first film (silicon film 4 in this embodiment) containing silicon as a main component. Without removing the second film which is the hard mask film 5) such as the nitride film 2 and the carbon film, only the first film is removed by the etching process. Then, at the stage where the etching process is completed, the entire Poly-Si film 4 is removed with the SiO 2 film 3 constituting the laminated film in the wafer 60 left.

(ガス供給停止工程 S39) 処理時間判定工程S38で所定の時間経過したと判定されたら、第1のガス供給ユニット482を制御して、エッチングガスの供給を停止する。エッチングガスの供給を停止した後、エッチングガスが処理室445内に残らないよう、第1のガス供給ユニット482のパージガス供給系を制御してガス供給管482aの残ガスを排出すると共に、第2のガス供給ユニット483を制御して不活性ガスを処理室445内に供給し、処理室445内の雰囲気を排出する。こうして、処理室445内の雰囲気を不活性ガスに置換する。尚、不活性ガスを供給して処理室445内をパージしながら、ウエハ温度が所定の温度(例えば、エッチングガスと第1の膜との熱分解により発生される副生成物の昇華温度)以上になるようにヒータ463の制御を行うと更に好ましい。但し、第2の膜のうち100℃以下の低温で成膜されていると、パージ工程時の加熱は、パージ効率は良くなっても上述の第2の膜に悪影響を及ぼす可能性がある。 (Gas Supply Stopping Step S39) When it is determined in the processing time determining step S38 that a predetermined time has elapsed, the first gas supply unit 482 is controlled to stop the supply of the etching gas. After stopping the supply of the etching gas, the purge gas supply system of the first gas supply unit 482 is controlled to discharge the residual gas from the gas supply pipe 482a so that the etching gas does not remain in the processing chamber 445. The gas supply unit 483 is controlled to supply an inert gas into the processing chamber 445, and the atmosphere in the processing chamber 445 is discharged. Thus, the atmosphere in the processing chamber 445 is replaced with an inert gas. In addition, while purging the inside of the processing chamber 445 by supplying an inert gas, the wafer temperature is equal to or higher than a predetermined temperature (for example, a subproduct sublimation temperature generated by thermal decomposition of the etching gas and the first film). It is more preferable to control the heater 463 so that However, if the second film is formed at a low temperature of 100 ° C. or lower, the heating in the purge process may adversely affect the second film even if the purge efficiency is improved.

(ウエハ搬出工程 S40) 処理室445内の雰囲気を不活性ガスに置換した後、ウエハ60を載置したのと逆の手順で、真空搬送ロボット320が、ウエハ60を、処理室445からトランスファーモジュール310へ搬出する。 (Wafer Unloading Step S40) After the atmosphere in the processing chamber 445 is replaced with an inert gas, the vacuum transfer robot 320 transfers the wafer 60 from the processing chamber 445 to the transfer module in the reverse procedure of placing the wafer 60. Carry out to 310.

続いて、真空搬送ロボット320が、トランスファーモジュール310内のウエハ60を、ロードロックチャンバ部200のバッファユニット210へ搬送し、次に、大気搬送ロボット130が、バッファユニット210内のウエハ60を、ロードポート120上のFOUP110へ搬送する。 Subsequently, the vacuum transfer robot 320 transfers the wafer 60 in the transfer module 310 to the buffer unit 210 of the load lock chamber unit 200, and then the atmospheric transfer robot 130 loads the wafer 60 in the buffer unit 210. Transport to FOUP 110 on port 120.

4.本実施形態の基板処理装置における基板処理方法 続いて、図18を用いて、第3の処理ユニットにかかる半導体製造工程の一工程として実施される基板処理工程について説明する。かかる工程は、上述の基板処理装置により実施される。以下の説明において、基板処理装置を構成する各部品の動作は、コントローラ600により制御される。 4). Substrate Processing Method in the Substrate Processing Apparatus According to the Present Embodiment Next, a substrate processing process performed as one process of a semiconductor manufacturing process according to the third processing unit will be described with reference to FIG. Such a process is performed by the above-described substrate processing apparatus. In the following description, the operation of each component constituting the substrate processing apparatus is controlled by the controller 600.

(基板の搬入工程S10)まず、図18に示すように、シリコン含有膜を有する基板60が、基板搬送室140から基板搬送ロボットによって、搬送口108を介して、基板処理室50に搬送される。尚、第3の処理ユニットにおける基板処理と同様に、この基板60には、この基板60には、シリコンを主成分とする第1の膜(本実施の形態におけるポリシリコン膜4)と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を交互に少なくとも2層以上積層された積層膜に複数の貫通穴(第一穴)が設けられ、前記複数の貫通穴(第一穴)13に埋設されたチャネル10間に溝(第二穴)14が形成されている。 (Substrate Loading Step S10) First, as shown in FIG. 18, the substrate 60 having a silicon-containing film is transferred from the substrate transfer chamber 140 to the substrate processing chamber 50 via the transfer port 108 by the substrate transfer robot. . Similar to the substrate processing in the third processing unit, the substrate 60 includes a first film mainly composed of silicon (polysilicon film 4 in the present embodiment) and the first film. A plurality of through holes (first holes) are provided in a laminated film in which at least two or more second films, which are films having a lower silicon content than the first film, are alternately laminated. A groove (second hole) 14 is formed between the channels 10 embedded in one hole) 13.

(シリコン膜除去工程S20)次に、基板支持ピン上下機構111を下降させ、基板60をサセプタ459上に載置する。ここで基板支持ピン上下機構111の昇降は、昇降駆動部により昇降されることで行われる。サセプタ459に具備されたヒータは、予め所定の温度に加熱されており、基板60を所定の基板温度になる様に加熱する。必要に応じて、過剰な熱(反応熱)を排熱するための冷却機構も併用する。ここで、基板温度は、後述の除去ガスや処理ガスが十分に気化している温度帯であって、ウエハ(基板)60に形成された膜特性が変質しない温度に制御される。尚、第3の処理ユニットでも処理条件C1で行ってもよい。但し、第1の処理ユニットと第3の処理ユニットは装置構成の違いはあるので、処理条件C1が最適条件とは限らない。その場合は、処理条件C1を変更する必要がある。続いて、サセプタ459、或いは、サセプタ459及び基板支持ピン上下機構111を上昇させ、基板処理位置Bへ移動させ、サセプタ459上に基板60が載置されるようにする。 (Silicon Film Removal Step S20) Next, the substrate support pin up / down mechanism 111 is lowered to place the substrate 60 on the susceptor 459. Here, the raising / lowering of the substrate support pin raising / lowering mechanism 111 is performed by being raised and lowered by the raising / lowering driving unit. The heater provided in the susceptor 459 is heated to a predetermined temperature in advance, and heats the substrate 60 to a predetermined substrate temperature. If necessary, a cooling mechanism for exhausting excess heat (reaction heat) is also used. Here, the substrate temperature is controlled to a temperature range in which a removal gas and a processing gas described later are sufficiently vaporized, and the film characteristics formed on the wafer (substrate) 60 are not altered. The third processing unit may be performed under the processing condition C1. However, since the first processing unit and the third processing unit have different apparatus configurations, the processing condition C1 is not always the optimum condition. In that case, it is necessary to change the processing condition C1. Subsequently, the susceptor 459 or the susceptor 459 and the substrate support pin up / down mechanism 111 are raised and moved to the substrate processing position B so that the substrate 60 is placed on the susceptor 459.

次に、ガス供給管106a、106bから所定の処理ガスをシャワーヘッド105を介して基板60に供給し、基板60からシリコン膜のエッチングを処理条件C1で行う。シリコン膜のエッチング処理は、処理ガスを基板60上に供給することにより行われる。エッチング処理ガスとしては、ハロゲン含有ガスが用いられ、例えばフッ素(F)、塩素(Cl)、臭素(Br)、ヨウ素(I)の中から二つ以上のハロゲン元素を含むガスである。好ましくは、ハロゲン元素を2種類含むガスが用いられる。例えば、五フッ化ヨウ素(IF)、七フッ化ヨウ素(IF)、三フッ化臭素(BrF)、五フッ化臭素(BrF)、二フッ化キセノン(XeF)、三フッ化塩素(ClF)などが有る。さらに好ましくは、IFが用いられる。IFは、シリコン含有膜を高い選択性を維持しつつ(高選択的に)除去させることができる。Next, a predetermined processing gas is supplied from the gas supply pipes 106a and 106b to the substrate 60 via the shower head 105, and the silicon film is etched from the substrate 60 under the processing condition C1. The etching process of the silicon film is performed by supplying a processing gas onto the substrate 60. As the etching process gas, a halogen-containing gas is used. For example, the gas contains two or more halogen elements from fluorine (F), chlorine (Cl), bromine (Br), and iodine (I). Preferably, a gas containing two types of halogen elements is used. For example, iodine pentafluoride (IF 5 ), iodine heptafluoride (IF 7 ), bromine trifluoride (BrF 3 ), bromine pentafluoride (BrF 5 ), xenon difluoride (XeF 2 ), trifluoride There is chlorine (ClF 3 ) and the like. More preferably, IF 7 is used. IF 7 can remove the silicon-containing film while maintaining high selectivity (highly selective).

シリコン膜のエッチング後は、新たな次の工程に備えて必要なパージ処理を行うことが好ましい。   After the etching of the silicon film, it is preferable to perform a necessary purge process in preparation for a new next process.

なお、シリコン含有膜上に、数原子程度の自然酸化膜などの酸化膜が形成されている場合、上述の処理ガスを供給する前に、除去ガスを基板に供給することが好ましい。この酸化膜は、数原子層の厚さであっても、例えば、少なくとも一つのフッ素(F)原子を含むエッチングガス等の処理ガスで除去することができず、シリコン含有膜の除去を阻害する。除去ガスを供給することで、シリコン含有膜や他の膜構成を維持したままシリコン含有膜表面の酸化膜を除去することができ、例えば、フッ素を含むエッチングガス等の処理ガスでのシリコン含有膜の微細な除去を可能にすることができる。   Note that in the case where an oxide film such as a natural oxide film of about several atoms is formed on the silicon-containing film, it is preferable to supply the removal gas to the substrate before supplying the above-described processing gas. Even if the oxide film has a thickness of several atomic layers, for example, it cannot be removed with a processing gas such as an etching gas containing at least one fluorine (F) atom, and the removal of the silicon-containing film is hindered. . By supplying the removal gas, the silicon-containing film and the oxide film on the surface of the silicon-containing film can be removed while maintaining other film configurations, for example, the silicon-containing film with a processing gas such as an etching gas containing fluorine. Can be removed finely.

(パージ工程S30) エッチング処理に用いられたエッチングガスは、処理室50の側面に設けられた、環状路114と連通した、排気口107より排出される。続いて、不活性ガス供給管112からは、シャワーヘッド105の略中心部分から基板60上に不活性ガスである例えば窒素ガスが供給される。このような構成により窒素ガスを供給することで、基板60表面の残渣を効率よくパージできるので排ガス効率が良くなる。また、シャワーヘッド5の全面から、本実施の形態においては、不活性ガス供給管112、ガス供給管106a、106bから窒素ガスを供給してもよい。この場合、それぞれの供給管112、106a、106bから供給される不活性ガスの流量を調整して、パージ効率を良くするよう構成されている。 (Purge Step S30) The etching gas used for the etching process is exhausted from the exhaust port 107 provided on the side surface of the processing chamber 50 and communicating with the annular path 114. Subsequently, for example, nitrogen gas, which is an inert gas, is supplied from the substantially central portion of the shower head 105 to the substrate 60 from the inert gas supply pipe 112. By supplying nitrogen gas with such a configuration, the residue on the surface of the substrate 60 can be efficiently purged, so that exhaust gas efficiency is improved. In the present embodiment, nitrogen gas may be supplied from the entire surface of the shower head 5 through the inert gas supply pipe 112 and the gas supply pipes 106a and 106b. In this case, the flow rate of the inert gas supplied from each of the supply pipes 112, 106a, 106b is adjusted to improve the purge efficiency.

更に、パージ工程において、パージ用ガス(例えば、不活性ガス)を供給するだけでなく、ヒータにより加熱し基板温度をエッチング処理よりも高い温度にするのが好ましい。特に、エッチング工程で発生した副生成物と残渣のいずれか、もしくは両方の昇華温度以上に基板温度を調整した上で、パージ用の不活性ガスを供給するとなお良い。これにより、エッチングの際に発生する副生成物の除去効率を更に向上させることが可能となる。更に、基板温度は、エッチング工程で発生した副生成物と残渣のいずれか、もしくは両方の昇華温度以上、基板上に形成された回路の耐熱温度又は基板処理室50の周囲に設けられたOリングの耐熱温度以下に調整されるのが好ましい。例えば、少なくとも基板温度は、副生成物の昇華温度以上の所定の温度(例えば、100℃以上)に調整されるのが好ましい。 Further, in the purge step, it is preferable not only to supply a purge gas (for example, an inert gas) but also to heat the substrate with a heater so that the substrate temperature is higher than that of the etching process. In particular, it is more preferable to supply a purge inert gas after adjusting the substrate temperature to be higher than the sublimation temperature of either or both of by-products and residues generated in the etching process. As a result, it is possible to further improve the removal efficiency of by-products generated during etching. Further, the substrate temperature is higher than the sublimation temperature of either or both of by-products and residues generated in the etching process, the heat resistance temperature of the circuit formed on the substrate, or an O-ring provided around the substrate processing chamber 50. It is preferable that the temperature is adjusted to a temperature lower than the heat resistant temperature. For example, at least the substrate temperature is preferably adjusted to a predetermined temperature (for example, 100 ° C. or higher) that is equal to or higher than the sublimation temperature of the byproduct.

また、このパージ工程において供給される窒素ガスは、加熱部123により加熱された状態で供給してもよい。この場合でも供給される不活性ガスは、エッチング処理よりも高い温度に加熱されているとなお良い。このように、不活性ガスをエッチング処理よりも高い温度に加熱することによって、エッチングの際に発生する副生成物の除去効率を向上させることが可能となる。更に、基板処理室50に供給する不活性ガスの温度は、エッチング工程で発生した副生成物と残渣のいずれか、もしくは両方の昇華温度以上に加熱されて基板上に供給するとなお良い。 Further, the nitrogen gas supplied in this purge step may be supplied in a state heated by the heating unit 123. Even in this case, the supplied inert gas is preferably heated to a temperature higher than that of the etching process. In this manner, by heating the inert gas to a temperature higher than that of the etching process, it is possible to improve the removal efficiency of by-products generated during the etching. Furthermore, the temperature of the inert gas supplied to the substrate processing chamber 50 is more preferably supplied to the substrate after being heated to the sublimation temperature of either or both of by-products and residues generated in the etching process.

APCバルブVによって、排気量を調整することにより、基板処理室50内の圧力を所定の圧力に維持する。例えば、0.1〜100Paに維持される。エッチングガス流量は、0.1〜10SLM程度の範囲の内、所定の流量に設定する。例えば、3SLMに設定される。また、基板処理室50の雰囲気を排気してから所定のガスを供給しても良い。また、エッチングガスが供給され次第、シリコン含有膜のエッチングが開始されるので、圧力やガス流量は速やかに所定の値に設定されることが望ましい。   By adjusting the exhaust amount by the APC valve V, the pressure in the substrate processing chamber 50 is maintained at a predetermined pressure. For example, it is maintained at 0.1 to 100 Pa. The etching gas flow rate is set to a predetermined flow rate within a range of about 0.1 to 10 SLM. For example, it is set to 3 SLM. Alternatively, a predetermined gas may be supplied after the atmosphere in the substrate processing chamber 50 is exhausted. Moreover, since the etching of the silicon-containing film is started as soon as the etching gas is supplied, it is desirable that the pressure and the gas flow rate are quickly set to predetermined values.

必要な除去工程を終えたら処理ガスの供給を停止し、処理容器30と処理室50の雰囲気ガスを排気する。そして、基板60の温度が搬送可能な温度まで待機する。また、支持ピン104を上昇させ、基板60をサセプタ459から離して搬送可能な温度まで冷却してもよい。   When the necessary removal process is completed, the supply of the processing gas is stopped, and the atmosphere gas in the processing container 30 and the processing chamber 50 is exhausted. And it waits until the temperature of the board | substrate 60 can be conveyed. Alternatively, the support pins 104 may be raised to cool the substrate 60 away from the susceptor 459 to a temperature at which it can be transported.

(基板搬出工程S40) 基板60が搬送可能な温度まで冷却され、処理室から搬出する準備が整ったら、上述の基板搬入工程S10の逆の手順で搬出する。 (Substrate Unloading Step S40) When the substrate 60 is cooled to a temperature at which it can be transported and ready to be unloaded from the processing chamber, the substrate 60 is unloaded by the reverse procedure of the above-described substrate loading step S10.

本実施形態における基板処理方法によれば、以下に示す(a)〜(c)の効果のうち少なくとも1つまたは複数の効果を奏する。   According to the substrate processing method in the present embodiment, at least one or more of the following effects (a) to (c) are achieved.

(a)基板を基板支持ピンで支持した後に、不活性ガスを供給することで、基板の表面と不活性ガスの供給口までの距離が短くなり、副生成物或いは残渣を基板から、基板の外側への排出効率を向上させることができる。 (A) After the substrate is supported by the substrate support pins, the inert gas is supplied to shorten the distance between the surface of the substrate and the inert gas supply port, and the by-product or residue is removed from the substrate to the substrate. The discharge efficiency to the outside can be improved.

(b)また、不活性ガスを基板の中心側から供給することによって、副生成物或いは残渣を基板中心側から、基板の外側への排出効率を向上させることができる。 (B) Further, by supplying the inert gas from the center side of the substrate, the efficiency of discharging by-products or residues from the substrate center side to the outside of the substrate can be improved.

(c)また、基板温度をエッチング処理温度よりも高い温度に加熱することによって、処理ガスから生成される副生成物の除去効率を向上させることができる。 (C) Moreover, the removal efficiency of the by-product produced | generated from process gas can be improved by heating board | substrate temperature to temperature higher than etching process temperature.

5.本実施形態における効果 上述した本実施の形態によれば、以下の(1)〜(9)のうち少なくとも一つ以上の効果が得られる。 5. Effects in the present embodiment According to the present embodiment described above, at least one or more of the following (1) to (9) can be obtained.

(1)Si選択エッチング工程において、積層膜を構成するシリコンを主成分とした第1の膜(本実施の形態におけるポリシリコン膜)とシリコン含有率が少ない膜(本実施の形態におけるシリコン酸化膜やシリコン酸窒化膜やシリコン窒化膜及びカーボン膜等のハードマスク膜)である第2の膜に対して、前記第1の膜としてのポリシリコンを、Si以外の膜であるシリコン酸化膜(SiO膜)とシリコン窒化膜(Si膜)に対して、高選択比でエッチングすることができる。 (2)第3エッチング処理(Si選択エッチング処理)の基板温度を、30〜50℃にしたので、高選択的にSiのみをプラズマフリーでエッチングできる。また、好ましくは30〜40℃にしたので、さらに高選択的にSiのみをプラズマフリーでエッチングできる。更に、エッチング後のパージ工程において、副生成物の昇華温度以上に基板温度を加熱することにより、基板表面の残渣を効率よく除去することができる。(3)本発明技術における第3エッチング処理(Si選択エッチング処理)は、等方性エッチングであるため、公知技術であるドライエッチングではエッチングできない領域のシリコンを主成分とした第1の膜(本実施の形態におけるポリシリコン膜)をシリコン含有率が少ない膜(本実施の形態におけるシリコン酸化膜等)に対して高選択比でエッチング可能となる。(4)具体的には、第3エッチング処理(Si選択エッチング処理)により、垂直方向に加えて水平方向(横方向)にも高選択的にSi膜のみエッチングすることができる。よって、このSi膜が除去された除去部に所定の金属含有膜を成膜することにより、3D構造のCTMの製作におけるメタル電極の形成が行える。(5)尚、本実施の形態では、第3エッチング処理(Si選択エッチング処理)により、垂直方向に加えて水平方向(横方向)にも高選択的にSi膜のみエッチングすることができ、これを半導体装置(デバイス)の製造に適用することができるため、従来の異方性エッチング(プラズマエッチング)では困難とされた、3Dのデバイス製造への適用が、本実施のガスエッチング(等方性エッチング) 技術で可能となる。(6)また、ドライエッチング(第2エッチング処理)後、カーボンハード膜除去工程、RCA洗浄工程、Siエッチング工程を実行することにより、微細パターンを形成する従来の方法と、ドライエッチング(第2エッチング処理)後、RCA洗浄工程、Siエッチング工程、カーボンハード膜除去工程を実行することにより、微細パターンを形成する本実施の形態における微細パターン形成方法とを比較すると、IFガスによるガスエッチングでは、SiO/Poly−Siの選択比が1:1000であるのに対し、通常のドライエッチングでは、SiO/Poly−Siの選択比が1:50程度であるため、エッチング時間を格段に短縮できる。(7)また、RCA洗浄工程で、エッチング対象のPoly−Si膜の表面が酸化される恐れがある。よって、本実施の微細パターン形成方法では、RCA洗浄工程の後にHFによる前処理を行うことが望ましく、このHF前処理工程を行うことにより、水平方向(横方向)にも高選択的にSi膜のみエッチングすることが可能となる。ここで、HF前処理工程を含めても、上述のように、IFガスによるガスエッチングでは、SiO2/Poly−Siの選択比が1:1000と通常のドライエッチングでは、SiO/Poly−Siの選択比が1:50程度であり、エッチング速度が20倍以上異なるため、スループットに問題とならない。(8)また、Siエッチング工程では、Si膜を除去する工程と、不活性ガスによりエッチングガスの残渣を除去するパージ工程とを有することが好ましく、このパージ工程を行うことにより、エッチングガスの残渣だけでなく副生成物の排出が効率よく行うことができる。(9)また、基板の表面に残渣や副生成物が残るとパーティクル等の原因となるので、パージ工程において、更に、副生成物の昇華温度以上に基板温度を加熱すると、その原因となる副生成物を更に効率よく排出することができる。(1) In the Si selective etching step, the first film (silicon film in the present embodiment) mainly composed of silicon constituting the laminated film and the film having a low silicon content (silicon oxide film in the present embodiment) In contrast to the second film which is a hard mask film such as silicon oxynitride film, silicon nitride film and carbon film), the polysilicon as the first film is replaced with a silicon oxide film (SiO 2) which is a film other than Si. 2 film) and silicon nitride film (Si 3 N 4 film) can be etched with high selectivity. (2) Since the substrate temperature in the third etching process (Si selective etching process) is set to 30 to 50 ° C., only Si can be etched in a plasma-free manner with high selectivity. In addition, since the temperature is preferably set to 30 to 40 ° C., only Si can be etched with high selectivity in a plasma-free manner. Furthermore, in the purge step after etching, the substrate surface residue can be efficiently removed by heating the substrate temperature to a temperature higher than the sublimation temperature of the byproduct. (3) Since the third etching process (Si selective etching process) in the technology of the present invention is isotropic etching, the first film (this book) mainly composed of silicon in a region that cannot be etched by dry etching which is a known technology. The polysilicon film in the embodiment can be etched with a high selectivity with respect to a film having a low silicon content (such as the silicon oxide film in the present embodiment). (4) Specifically, by the third etching process (Si selective etching process), only the Si film can be etched with high selectivity not only in the vertical direction but also in the horizontal direction (lateral direction). Therefore, by forming a predetermined metal-containing film on the removed portion from which the Si film has been removed, a metal electrode can be formed in the production of a CTM having a 3D structure. (5) In this embodiment, the third etching process (Si selective etching process) can etch only the Si film with high selectivity not only in the vertical direction but also in the horizontal direction (lateral direction). Can be applied to the manufacture of semiconductor devices (devices), so the application to 3D device manufacture, which has been difficult with conventional anisotropic etching (plasma etching), is the gas etching (isotropic property) of this embodiment. Etching) technology is possible. (6) Further, after dry etching (second etching process), a carbon hard film removing process, an RCA cleaning process, and an Si etching process are performed, and a conventional method for forming a fine pattern and dry etching (second etching) are performed. After the processing), by performing the RCA cleaning process, the Si etching process, and the carbon hard film removal process, compared with the fine pattern forming method in this embodiment that forms a fine pattern, in the gas etching with IF 7 gas, In contrast to the SiO 2 / Poly-Si selection ratio of 1: 1000, in ordinary dry etching, the SiO 2 / Poly-Si selection ratio is about 1:50, so that the etching time can be significantly reduced. . (7) Further, in the RCA cleaning process, the surface of the Poly-Si film to be etched may be oxidized. Therefore, in the fine pattern forming method of the present embodiment, it is desirable to perform a pretreatment with HF after the RCA cleaning process, and by performing this HF pretreatment process, the Si film is highly selectively also in the horizontal direction (lateral direction). Only etching can be performed. Here, even including the HF pretreatment step, as described above, the gas etching by IF 7 gas, the selection ratio of SiO2 / Poly-Si is 1: 1000 and a conventional dry etching, SiO 2 / Poly-Si Is about 1:50, and the etching rate differs by 20 times or more, so that there is no problem in throughput. (8) The Si etching step preferably includes a step of removing the Si film and a purge step of removing an etching gas residue using an inert gas. By performing this purge step, an etching gas residue is obtained. As well as by-products can be discharged efficiently. (9) Further, if residues or by-products remain on the surface of the substrate, it may cause particles and the like, and if the substrate temperature is further heated above the sublimation temperature of the by-product in the purge step, the by-product causing the cause The product can be discharged more efficiently.

本発明は、前記実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々に変更が可能であることはいうまでもない。   It goes without saying that the present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the scope of the invention.

前記実施形態では、第1の処理ユニットにおいてヒータ及び冷却剤供給路を用いてウエハ温度を調整したが、それに限らず、液化温度が室温より低い温度のエッチングガスであれば、ヒータを用いずに、冷却剤で温度調整をしても良い。又、循環させる液温を調整することで、冷却と加熱の両方の機能を持った温度制御機構としても良い。   In the embodiment, the wafer temperature is adjusted using the heater and the coolant supply path in the first processing unit. However, the present invention is not limited to this, and an etching gas having a liquefaction temperature lower than room temperature may be used without using a heater. The temperature may be adjusted with a coolant. Moreover, it is good also as a temperature control mechanism with the function of both cooling and heating by adjusting the liquid temperature to circulate.

また、前記実施形態では、成膜処理を行う第2の処理ユニットを、プラズマ生成室を含むように構成してもよいし、それに限らず、処理室内にプラズマを持ち込まないリモートプラズマ方式による成膜処理や、プラズマを用いない成膜処理を行うように構成することもできる。   In the embodiment, the second processing unit that performs the film forming process may be configured to include the plasma generation chamber, and is not limited thereto, and the film formation is performed by a remote plasma method that does not bring plasma into the processing chamber. It can also be configured to perform a process or a film forming process without using plasma.

また、プラズマを用いない成膜処理は、第1の処理ユニットで行うことも可能であり、この場合、第2の処理ユニットは不要である。この場合、処理室内へIFガスを導入して、IFガスによるエッチング処理を行い、その後、処理室内を不活性ガスで置換する。その後、処理室内へ所定の処理ガスを導入して、所定の処理ガスによる成膜処理を行い、その後、処理室内を不活性ガスで置換する。その後、必要に応じてIF7ガスによるエッチング処理を行うように構成してもよい。Further, the film forming process without using plasma can be performed by the first processing unit, and in this case, the second processing unit is unnecessary. In this case, IF 7 gas is introduced into the processing chamber, an etching process using IF 7 gas is performed, and then the processing chamber is replaced with an inert gas. Thereafter, a predetermined processing gas is introduced into the processing chamber, a film forming process is performed using the predetermined processing gas, and then the processing chamber is replaced with an inert gas. Then, you may comprise so that the etching process by IF7 gas may be performed as needed.

また、前記本実施形態では、処理ユニット410に搬送される前に第2微細パターンが形成された基板を処理ユニット410(510)でエッチング処理を行うように構成していたが、1台の基板処理装置において、エッチング処理と成膜処理とを行うように構成してもよく、また、この場合、少なくともエッチング処理と成膜処理を、それぞれ別の処理ユニットで行うように構成することが好ましい。   In the present embodiment, the substrate on which the second fine pattern is formed before being transferred to the processing unit 410 is configured to be etched by the processing unit 410 (510). The processing apparatus may be configured to perform the etching process and the film forming process. In this case, it is preferable that at least the etching process and the film forming process are performed in separate processing units.

また、前記実施形態では枚葉装置を例にして説明したが、複数枚の基板を一度に処理できる多枚葉装置でもよく、更に、本発明は、例えば水平姿勢の基板を基板保持具(例えば、ボート)上に複数積み重ね、そのボートを処理室内へ搬入した状態で処理を行う縦型装置にも適用することができる。   Further, in the above-described embodiment, the single wafer apparatus has been described as an example, but a multi-wafer apparatus that can process a plurality of substrates at a time may be used. It can also be applied to a vertical apparatus that stacks a plurality of boats on a boat and performs processing in a state where the boat is carried into the processing chamber.

また、本発明は、半導体メモリの一種であるDRAMの素子構造や、トランジスタのゲート電極形成等、種々のSADP法に適用することができる。また、前記実施形態では、SADPプロセスの下地にある多層ハードマスクの構造には限定されない。プロセスの種類に応じて、例えば、Si基板上にSiO、Si、SiOの3層のハードマスクがある場合でもよいし、Si膜とSiO膜の2層のハードマスクがある場合であってもよいし、それ以外であってもよい。Further, the present invention can be applied to various SADP methods such as a device structure of a DRAM which is a kind of semiconductor memory and formation of a gate electrode of a transistor. In the above embodiment, the structure of the multilayer hard mask underlying the SADP process is not limited. Depending on the type of process, for example, may be the case where the Si substrate is a hard mask of SiO 2, Si 3 N 4, SiO 2 of three layers, the Si 3 N 4 film and two layers of SiO 2 film hard There may be a case where there is a mask or any other case.

また、本発明において、フッ素を含むエッチングガスを用いたSi膜の選択ドライエッチングにおいて、除去対象のシリコン含有膜表面に存在する膜(自然酸化膜等)を除去する工程と、新たな膜(自然酸化膜等)の発生を抑制する工程と、除去対象のシリコン含有膜に覆われた箇所に存在する膜(自然酸化膜等)を除去する工程と、を組み合わせることで、不要な膜(自然酸化膜等)は除去しながら、シリコンの選択除去が可能な基板処理方法及び基板処理装置を提供するものであって、基板の同時処理枚数、基板を保持する向き、希釈用ガスやパージ用ガスの種類、クリーニング方法、基板処理室や加熱機構及び冷却機構の形状等で実施範囲を限定されるものではない。 Further, in the present invention, in selective dry etching of a Si film using an etching gas containing fluorine, a process of removing a film (natural oxide film or the like) existing on the surface of the silicon-containing film to be removed, and a new film (natural By combining the process of suppressing the generation of oxide film, etc., and the process of removing the film (natural oxide film, etc.) present at the location covered by the silicon-containing film to be removed, an unnecessary film (natural oxidation) The present invention provides a substrate processing method and a substrate processing apparatus capable of selectively removing silicon while removing a film, etc., and is capable of simultaneously processing the number of substrates, orientation of holding the substrate, dilution gas and purge gas. The implementation range is not limited by the type, the cleaning method, the shape of the substrate processing chamber, the heating mechanism, and the cooling mechanism.

また、本発明では、基板に形成された膜(自然酸化膜等)およびシリコン含有膜のいずれか又は両方をドライエッチングする工程に限らず、基板処理室内に堆積したシリコン含有膜の除去(クリーニング)工程も行うことができる。 In the present invention, the removal (cleaning) of the silicon-containing film deposited in the substrate processing chamber is not limited to the step of dry-etching either or both of the film (natural oxide film or the like) and the silicon-containing film formed on the substrate. A process can also be performed.

また、本実施形態においては、従来から、更なる高集積化を図る為にパターンの微細化が進められているが、微細化が進むにつれて、微細化したパターン固有の問題が生じている。その一例として、ウェットエッチング時の液体の表面張力によるパターン倒れが挙げられる。例えば、シリコン(Si)の除去工程では、水酸化テトラメチルアンモニウム水溶液(TMAH)等によるエッチング後に純水洗浄し、純水より表面張力の小さいイソプロピルアルコール(IPA)で置換ながら乾燥を行うことで洗浄液の表面張力によるパターンの倒壊を防止していた。しかしながら、パターンの微細化に伴い、この方法を用いてもパターンの倒壊を防ぎきれなくなっている。この問題を解決する手段として、本発明におけるヨウ素を含むエッチングガスによってシリコンの除去を行うドライエッチングによれば、今後のパターンの微細化にも適用できる。 In the present embodiment, pattern miniaturization has been conventionally promoted in order to achieve higher integration. However, as the miniaturization progresses, problems specific to the miniaturized pattern arise. One example is pattern collapse due to the surface tension of the liquid during wet etching. For example, in the silicon (Si) removal step, pure water cleaning is performed after etching with tetramethylammonium hydroxide aqueous solution (TMAH) or the like, and drying is performed while replacing with isopropyl alcohol (IPA) having a surface tension smaller than that of pure water. This prevents the pattern from collapsing due to surface tension. However, with the miniaturization of patterns, even if this method is used, the collapse of the patterns cannot be prevented. As means for solving this problem, dry etching in which silicon is removed by an etching gas containing iodine in the present invention can be applied to future pattern miniaturization.

更に、本実施形態においては、NANDフラシュメモリなどの3D構造のデバイスの製造において、従来のプラズマを用いた反応性イオンエッチングでは、ポリシリコン(Poly-Si)膜をポリシリコン以外の膜(例えば、シリコン酸化(SiO)膜、シリコン窒化(SiN)膜、シリコン酸窒化(SiON)膜、カーボン(C)膜等)に対して高選択に除去することは困難であった。つまり、ポリシリコン(Poly-Si)膜とシリコン酸化(SiO)膜の積層構造で貫通溝をくり抜いた後に側壁に露出したポリシリコン(Poly-Si)膜とシリコン酸化(SiO)膜の層のうち、ポリシリコン(Poly-Si)膜のみをエッチングすることは、従来のプラズマを用いた反応性イオンエッチングでは、ポリシリコン以外の膜との選択性の問題や、等方性エッチングが必要になる点から非常に困難であった。また、ハードマスク膜(例えば、カーボン膜等)との選択性の問題もあった。このようなパターンの微細化に伴うデバイス構造の煩雑化に対応が困難であった。この問題を解決する手段として、本発明におけるフッ素を含むエッチングガスによって、プラズマフリーでシリコンの除去を行うドライエッチングによれば、等方性エッチングにより、今後のパターンの微細化にも適用できる。特に、フッ素を含むエッチングガスとして七フッ化ヨウ素を含むヨウ素含有ガス(ヨウ素を含むガス)を用いることにより、既存のエッチングガスと比較して、その化学的性質からシリコン以外の膜との選択性が良く、シリコンの除去を行うことができる。従い、今後のパターンの微細化に伴うデバイス構造の煩雑化に適用できる。Furthermore, in this embodiment, in the manufacture of a 3D structure device such as a NAND flash memory, in reactive ion etching using conventional plasma, a polysilicon (Poly-Si) film is replaced with a film other than polysilicon (for example, It has been difficult to remove the silicon oxide (SiO 2 ) film, silicon nitride (SiN) film, silicon oxynitride (SiON) film, carbon (C) film, etc. with high selectivity. That is, a layer of a polysilicon (Poly-Si) film and a silicon oxide (SiO 2 ) film exposed on a side wall after a through groove is cut out in a laminated structure of a polysilicon (Poly-Si) film and a silicon oxide (SiO 2 ) film Of these, etching only the polysilicon (Poly-Si) film requires a problem of selectivity with films other than polysilicon and isotropic etching in the conventional reactive ion etching using plasma. It was very difficult from the point. There is also a problem of selectivity with a hard mask film (for example, a carbon film). It has been difficult to cope with the complexity of the device structure accompanying such pattern miniaturization. As means for solving this problem, dry etching in which silicon is removed plasma-free with an etching gas containing fluorine in the present invention can be applied to future pattern miniaturization by isotropic etching. In particular, by using an iodine-containing gas containing iodine heptafluoride (an iodine-containing gas) as an etching gas containing fluorine, the selectivity to films other than silicon from its chemical properties compared to existing etching gases The silicon can be removed. Accordingly, the present invention can be applied to the complexity of the device structure accompanying future pattern miniaturization.

また、本発明は、半導体製造装置だけでなく、LCD製造装置のようなガラス基板を処理する装置にも適用できる。また、本発明は、インライン型やクラスタ型等の種々の型の枚葉装置及び多枚葉装置や、横型の基板処理装置等にも適用できる。   The present invention can be applied not only to a semiconductor manufacturing apparatus but also to an apparatus for processing a glass substrate such as an LCD manufacturing apparatus. The present invention can also be applied to various types of single-wafer and multi-wafer devices such as an inline type and a cluster type, and a horizontal substrate processing apparatus.

以下に本発明の好ましい態様について付記する。<付記1>本発明の一態様によれば、 シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を含む積層膜を形成する工程と、前記積層膜に複数の貫通穴(第一穴)を形成する第1エッチング工程と、前記複数の貫通穴(第一穴)にチャネルを形成する工程と、前記チャネル間に溝(第二穴)を形成する第2エッチング工程と、フッ素を含むエッチングガスを供給して、前記溝(第二穴)に隣接された前記第1の膜と前記第2の膜を含む積層膜のうち、前記第1の膜を除去する第3エッチング工程と、を有し、前記第3エッチング工程では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去する半導体装置の製造方法が提供される。 Hereinafter, preferred embodiments of the present invention will be additionally described. <Supplementary Note 1> According to one embodiment of the present invention, a laminated film including a first film containing silicon as a main component and a second film that is a film having a lower silicon content than the first film is formed. A first etching step of forming a plurality of through holes (first holes) in the laminated film, a step of forming a channel in the plurality of through holes (first holes), and a groove (first A second etching step for forming two holes), an etching gas containing fluorine, and a laminated film including the first film and the second film adjacent to the groove (second hole) A third etching step for removing the first film, and in the third etching step, the etching gas is applied to the film forming the channel formed of the same film type as the second film. A method of manufacturing a semiconductor device is provided that removes the first film until it reaches.

<付記2>付記1の半導体装置の製造方法であって、好ましくは、前記第1の膜は、導電膜であってポリシリコン(Poly-Si)膜またはアモルファスシリコン(α-Si)膜である半導体装置の製造方法が提供される。 <Supplementary Note 2> In the method of manufacturing a semiconductor device according to Supplementary Note 1, preferably, the first film is a conductive film and is a polysilicon (Poly-Si) film or an amorphous silicon (α-Si) film. A method for manufacturing a semiconductor device is provided.

<付記3>付記2の半導体装置の製造方法であって、好ましくは、前記第1の膜と前記第2の膜の前記成膜条件は、膜厚30nm〜60nm程度であり、成膜温度400℃〜800℃である半導体装置の製造方法が提供される。 <Supplementary Note 3> In the method of manufacturing a semiconductor device according to Supplementary Note 2, preferably, the film formation conditions of the first film and the second film are a film thickness of about 30 nm to 60 nm and a film formation temperature of 400. There is provided a method for manufacturing a semiconductor device at a temperature of from 0C to 800C.

<付記4>付記1の半導体装置の製造方法であって、好ましくは、前記第2の膜は、絶縁膜であってシリコン膜(Si膜)以外の膜であって、シリコン窒化膜(Si膜)、二酸化シリコン膜(SiO膜)、シリコン酸窒化膜(SiON膜)、シリコンカーバイド膜(SiC膜)のうち少なくとも一つを含む半導体装置の製造方法が提供される。<Supplementary Note 4> In the method of manufacturing a semiconductor device according to Supplementary Note 1, preferably, the second film is an insulating film other than a silicon film (Si film), and a silicon nitride film (Si 3 N 4 film), a silicon dioxide film (SiO 2 film), a silicon oxynitride film (SiON film), a method of manufacturing a semiconductor device including at least one of silicon carbide film (SiC film) is provided.

<付記5>付記1の半導体装置の製造方法であって、好ましくは、前記溝(第二穴)は、幅100nm以下であって、前記溝の幅に対して深さの比が10以上であり、例えば、幅30nm〜100nm、深さ300nm〜4000nmである半導体装置の製造方法が提供される。 <Supplementary Note 5> In the method of manufacturing a semiconductor device according to Supplementary Note 1, preferably, the groove (second hole) has a width of 100 nm or less and a ratio of depth to the width of the groove is 10 or more. For example, a method for manufacturing a semiconductor device having a width of 30 nm to 100 nm and a depth of 300 nm to 4000 nm is provided.

<付記6> 付記1の半導体装置の製造方法であって、好ましくは、 前記貫通穴(第一穴)は、幅30nm〜100nm、深さ300nm〜4000nmである半導体装置の製造方法が提供される。 <Supplementary Note 6> A method for manufacturing a semiconductor device according to Supplementary Note 1, wherein, preferably, the through hole (first hole) has a width of 30 nm to 100 nm and a depth of 300 nm to 4000 nm. .

<付記7> 付記1の半導体装置の製造方法であって、好ましくは、 前記第1エッチング工程では、前記積層膜の上にハードマスク膜を形成する工程と、前記貫通穴(第一穴)を形成する工程と、を有する半導体装置の製造方法が提供される。 <Supplementary Note 7> The method of manufacturing a semiconductor device according to Supplementary Note 1, preferably, in the first etching step, a step of forming a hard mask film on the stacked film, and a through hole (first hole) And a process for forming the semiconductor device.

<付記8> 付記7の半導体装置の製造方法であって、好ましくは、 前記ハードマスク膜は、成膜方法は、CVD法で、膜厚は、400nm以上、成膜温度は、200℃〜550℃である半導体装置の製造方法が提供される。 <Supplementary Note 8> The method for manufacturing a semiconductor device according to Supplementary Note 7, wherein the hard mask film is formed by a CVD method, a film thickness is 400 nm or more, and a film formation temperature is 200 ° C. to 550 ° C. A method for manufacturing a semiconductor device at 0 ° C. is provided.

<付記9> 付記7の半導体装置の製造方法であって、好ましくは、前記ハードマスク膜は、カーボン膜、シリコンカーバイド膜、シリコン膜とカーボン膜の積層膜のうちいずれか一つである半導体装置の製造方法が提供される。 <Supplementary Note 9> The method of manufacturing a semiconductor device according to Supplementary Note 7, wherein the hard mask film is preferably one of a carbon film, a silicon carbide film, and a stacked film of a silicon film and a carbon film. A manufacturing method is provided.

<付記10> 付記1又は付記6の半導体装置の製造方法であって、好ましくは、 前記貫通穴(第一穴)を形成する工程は、前記積層膜のドライエッチングが実行される半導体装置の製造方法が提供される。 <Supplementary Note 10> The method of manufacturing a semiconductor device according to Supplementary Note 1 or 6, wherein the step of forming the through hole (first hole) preferably includes the step of dry etching the stacked film. A method is provided.

<付記11> 付記10の半導体装置の製造方法であって、好ましくは、 前記積層膜のドライエッチングでは、SF、SiCl、Cl、CF、CF/H混合ガス、或いはCF/O混合ガスのいずれかのガスが使用される半導体装置の製造方法が提供される。<Supplementary Note 11> The method for manufacturing a semiconductor device according to Supplementary Note 10, preferably, in dry etching of the stacked film, SF 6 , SiCl 4 , Cl 2 , CF 4 , CF 4 / H 2 mixed gas, or CF 4 A method of manufacturing a semiconductor device in which any one of the / O 2 mixed gas is used is provided.

<付記12> 付記1の半導体装置の製造方法であって、好ましくは、 前記チャネルを形成する工程では、前記貫通穴(第一穴)の内壁に第1所定膜を形成する工程と、前記チャネルとしての第2所定膜を形成する工程と、を有する半導体装置の製造方法が提供される。 <Supplementary Note 12> In the method of manufacturing a semiconductor device according to Supplementary Note 1, preferably, in the step of forming the channel, a step of forming a first predetermined film on an inner wall of the through hole (first hole), and the channel And a step of forming a second predetermined film as a semiconductor device manufacturing method.

<付記13> 付記12の半導体装置の製造方法であって、好ましくは、 前記第1所定膜は、SiO膜/Si膜/SiO膜で構成されるONO膜で、前記SiO膜とSi膜は、それぞれ、膜厚0.5nm〜7nm、温度は400〜800℃の範囲のいずれかである半導体装置の製造方法が提供される。A method of manufacturing a semiconductor device of <Supplementary Note 13> Supplementary Note 12, preferably, the first predetermined film, an ONO film composed of SiO 2 film / Si 3 N 4 film / SiO 2 film, the SiO 2 A method for manufacturing a semiconductor device is provided in which the film and the Si 3 N 4 film each have a film thickness of 0.5 nm to 7 nm and a temperature in the range of 400 to 800 ° C.

<付記14> 付記12の半導体装置の製造方法であって、好ましくは、 前記第2所定膜は、シリコンを主成分とする膜であり、例えば、ポリシリコン(Poly-Si)膜またはアモルファスシリコン(α-Si)膜半導体装置の製造方法が提供される。 <Supplementary Note 14> In the method of manufacturing a semiconductor device according to Supplementary Note 12, preferably, the second predetermined film is a film containing silicon as a main component, for example, a polysilicon (Poly-Si) film or an amorphous silicon ( An α-Si) film semiconductor device manufacturing method is provided.

<付記15> 付記1の半導体装置の製造方法であって、好ましくは、 前記第2エッチング(溝形成)工程は、前記第1エッチング(貫通穴形成)工程と同じ処理条件である半導体装置の製造方法が提供される。 <Supplementary Note 15> The method of manufacturing a semiconductor device according to Supplementary Note 1, wherein the second etching (groove formation) step is the same processing condition as the first etching (through hole formation) step. A method is provided.

<付記16> 付記1の半導体装置の製造方法であって、好ましくは、 前記貫通穴(第一穴)と前記溝(第二穴)は、同じ処理で形成され、それぞれの幅及び深さは同一である半導体装置の製造方法が提供される。 <Supplementary Note 16> In the method of manufacturing a semiconductor device according to Supplementary Note 1, preferably, the through hole (first hole) and the groove (second hole) are formed by the same process, and each width and depth are A method for manufacturing the same semiconductor device is provided.

<付記17> 付記1の半導体装置の製造方法であって、好ましくは、 前記第3エッチング工程では、シリコンに対して高いエッチングレートを示し、前記第2の膜に対して高い選択性を持つヨウ素を含むエッチングガスが用いられる半導体装置の製造方法が提供される。 <Supplementary Note 17> The method for manufacturing a semiconductor device according to Supplementary Note 1, wherein in the third etching step, iodine having a high etching rate with respect to silicon and having a high selectivity with respect to the second film is provided. A method for manufacturing a semiconductor device is provided in which an etching gas containing is used.

<付記18> 付記1の半導体装置の製造方法であって、好ましくは、 前記エッチングガスは、例えば、七フッ化ヨウ素(IF7)ガス、三フッ化塩素(ClF3)、二フッ化キセノン(XeF2)、三フッ化臭素(BrF3)、五フッ化臭素(BrF5)、五フッ化ヨウ素(IF5)の内、いずれかのガスである半導体装置の製造方法が提供される。 <Supplementary Note 18> In the method of manufacturing a semiconductor device according to Supplementary Note 1, preferably, the etching gas is, for example, iodine heptafluoride (IF7) gas, chlorine trifluoride (ClF3), or xenon difluoride (XeF2). A method of manufacturing a semiconductor device, which is any one of bromine trifluoride (BrF3), bromine pentafluoride (BrF5), and iodine pentafluoride (IF5) is provided.

<付記19> 付記1の半導体装置の製造方法であって、好ましくは、 前記第3エッチングは、等方性エッチングであり、前記第1又は第2エッチングは、異方性エッチングである半導体装置の製造方法が提供される。 <Supplementary Note 19> The method of manufacturing a semiconductor device according to Supplementary Note 1, wherein the third etching is isotropic etching, and the first or second etching is anisotropic etching. A manufacturing method is provided.

<付記20> 付記1の半導体装置の製造方法であって、好ましくは、 更に、前記第3エッチング工程の後、ワード線となるメタル電極等の導電膜を形成する工程を有し、前記メタル電極を形成する工程は、前記導電膜を形成する工程と、前記第3エッチング工程において除去された部分に成膜された金属膜を残すように前記金属膜を除去する工程とを有する半導体装置の製造方法が提供される。 <Supplementary Note 20> The method of manufacturing a semiconductor device according to Supplementary Note 1, preferably further including a step of forming a conductive film such as a metal electrode to be a word line after the third etching step, and the metal electrode The step of forming a semiconductor device includes a step of forming the conductive film and a step of removing the metal film so as to leave the metal film formed in the portion removed in the third etching step. A method is provided.

<付記21> 付記20の半導体装置の製造方法であって、好ましくは、 前記金属膜を除去する工程は、異方性を有するドライエッチングが実施される半導体装置の製造方法が提供される。 <Supplementary Note 21> The method for manufacturing a semiconductor device according to Supplementary Note 20, wherein the step of removing the metal film preferably provides a method for manufacturing a semiconductor device in which dry etching having anisotropy is performed.

<付記22> 付記21の半導体装置の製造方法であって、好ましくは、 前記ドライエッチングでは、SF、SiCl、Cl、CF、CF/H混合ガス、或いはCF/O混合ガスのいずれかのガスが使用される半導体装置の製造方法が提供される。<Supplementary Note 22> The method of manufacturing a semiconductor device according to Supplementary Note 21, wherein, in the dry etching, SF 6 , SiCl 4 , Cl 2 , CF 4 , CF 4 / H 2 mixed gas, or CF 4 / O 2 is preferable. A method of manufacturing a semiconductor device using any one of the mixed gases is provided.

<付記23> 付記20の半導体装置の製造方法であって、好ましくは、前記金属膜は、タングステン(W)膜、TiN膜、TiN/Al膜、或いは、TaN/W膜から選択される膜である半導体装置の製造方法が提供される。 <Supplementary Note 23> In the method for manufacturing a semiconductor device according to Supplementary Note 20, preferably, the metal film is a film selected from a tungsten (W) film, a TiN film, a TiN / Al film, or a TaN / W film. A method for manufacturing a semiconductor device is provided.

<付記24>本発明の他の態様によれば、 シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を含む積層膜を形成する工程と、前記積層膜に貫通穴(第一穴)を形成する第1エッチング工程と、を有する第1パターン形成工程と、前記貫通穴(第一穴)にチャネルを形成する工程と、前記チャネル間に溝(第二穴)を形成する第2エッチング工程と、を有する第2パターン形成工程と、エッチングガスを供給して、前記溝(第二穴)に隣接された前記第1の膜と前記第2の膜のうち、前記第1の膜を除去する第3エッチング工程と、を有し、前記第3エッチング工程では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去する半導体装置の製造方法が提供される。 <Supplementary Note 24> According to another aspect of the present invention, a laminated film including a first film containing silicon as a main component and a second film that is a film having a lower silicon content than the first film is formed. A first pattern forming step comprising: a first etching step of forming a through hole (first hole) in the laminated film; a step of forming a channel in the through hole (first hole); A second pattern forming step having a second etching step for forming a groove (second hole) between the channels; and supplying an etching gas to the first film adjacent to the groove (second hole) And a third etching step for removing the first film out of the second film, and in the third etching step, the channel formed of the same film type as the second film is formed. Semiconductor for removing the first film until the etching gas reaches the film to be formed A method of manufacturing a device is provided.

<付記25>本発明の更に他の態様によれば、シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない第2の膜を含む積層膜を形成した後、前記積層膜に貫通穴(第一穴)を設けて第1微細パターンを形成する工程と、前記貫通穴(第一穴)にチャネルを形成した後、前記チャネルの間に溝(第二穴)を設けて第2微細パターンを形成する工程と、前記第2微細パターンにエッチングガスを供給して、前記溝(第二穴)に隣接された前記第1の膜と前記第2の膜を含む積層膜のうち、前記第1の膜を除去するエッチング工程と、を有し、前記エッチング工程では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去する微細パターン形成方法が提供される。 <Supplementary Note 25> According to still another aspect of the present invention, after forming a stacked film including a first film containing silicon as a main component and a second film having a lower silicon content than the first film. Providing a through hole (first hole) in the laminated film to form a first fine pattern, and forming a channel in the through hole (first hole), and then forming a groove (second hole) between the channels. ) To form a second fine pattern, supplying an etching gas to the second fine pattern, and forming the first film and the second film adjacent to the groove (second hole). An etching process for removing the first film from the laminated film including the etching film, and in the etching process, the etching gas is applied to the film that forms the channel formed of the same film type as the second film. A method for forming a fine pattern is provided in which the first film is removed until the value reaches.

<付記26>本発明の更に他の態様によれば、シリコンを主成分とする第1の膜(シリコン膜)と第1の膜よりもシリコン含有率が少ない膜である第2の膜(シリコン以外の膜を含むシリコン含有膜)を含む積層膜に複数の貫通穴(第一穴)を設けた後、前記貫通穴(第一穴)にチャネルを形成し、形成されたチャネル間に溝(第二穴)を設けた基板を収容する処理室と、 前記溝(第二穴)の側面に露出した前記第1の膜と前記第2の膜のうち、前記第1の膜を除去するエッチングガスを前記処理室へ供給するガス供給部と、 前記エッチングガスを供給している間、前記基板の温度を所定の温度範囲にするよう制御する制御部と、 を備える基板処理装置が提供される。 <Supplementary Note 26> According to still another aspect of the present invention, a first film (silicon film) containing silicon as a main component and a second film (silicon having a lower silicon content than the first film) After providing a plurality of through holes (first hole) in a laminated film including a silicon-containing film other than the film), a channel is formed in the through hole (first hole), and a groove ( Etching to remove the first film out of the processing chamber for storing the substrate provided with the second hole), the first film exposed to the side surface of the groove (second hole), and the second film There is provided a substrate processing apparatus comprising: a gas supply unit that supplies gas to the processing chamber; and a control unit that controls the temperature of the substrate to be within a predetermined temperature range while supplying the etching gas. .

<付記27>本発明の更に他の態様によれば、シリコンを主成分とする第1の膜(シリコン膜)と第1の膜よりもシリコン含有率が少ない膜である第2の膜(シリコン以外の膜を含むシリコン含有膜)を含む積層膜を形成する手順と、 前記積層膜に複数の貫通穴(第一穴)を形成する手順と、前記複数の貫通穴(第一穴)に埋設されたチャネル間に溝(第二穴)を形成する手順と、エッチングガスを供給して、前記溝(第二穴)に隣接された前記第1の膜と前記第2の膜のうち、前記第1の膜のみを除去する手順と、を少なくとも含むプログラムを実行するコントローラを備え、前記コントローラは、前記第1の膜を除去する手順では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去させる基板処理装置が提供される。 <Supplementary Note 27> According to still another aspect of the present invention, a first film (silicon film) containing silicon as a main component and a second film (silicon having a lower silicon content than the first film) Embedded in a plurality of through holes (first holes), a procedure for forming a plurality of through holes (first holes) in the stacked film, and a procedure for forming a plurality of through holes (first holes). A step of forming a groove (second hole) between the formed channels, an etching gas is supplied, and the first film and the second film adjacent to the groove (second hole), And a controller that executes a program including at least the first film, wherein the controller is formed of the same film type as the second film in the procedure of removing the first film. The first film is removed until the etching gas reaches the film forming the channel. A substrate processing apparatus which is provided.

<付記28>本発明の更に他の態様によれば、コンピュータにシリコンを主成分とする第1の膜(シリコン膜)と第1の膜よりもシリコン含有率が少ない膜である第2の膜(シリコン以外の膜を含むシリコン含有膜)を含む積層膜を形成する手順と、 前記積層膜に複数の貫通穴(第一穴)を形成する手順と、前記複数の貫通穴(第一穴)に埋設されたチャネル間に溝(第二穴)を形成する手順と、エッチングガスを供給して、前記溝(第二穴)に隣接された前記第1の膜と前記第2の膜のうち、前記第1の膜のみを除去する手順と、 を実行させるプログラムを読取可能な記録媒体であって、前記コントローラは、前記第1の膜を除去する手順を、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去させる記録媒体が提供される。 <Supplementary Note 28> According to still another aspect of the present invention, a first film (silicon film) containing silicon as a main component and a second film that is a film having a lower silicon content than the first film are included in a computer. (Procedure for forming a laminated film including a silicon-containing film including a film other than silicon), a procedure for forming a plurality of through holes (first holes) in the laminated film, and the plurality of through holes (first holes) A step of forming a groove (second hole) between the channels embedded in the substrate, an etching gas is supplied, and the first film and the second film adjacent to the groove (second hole) A procedure for removing only the first film, and a recording medium capable of reading a program for executing the steps, wherein the controller removes the first film by the same film as the second film. Until the etching gas reaches the film forming the channel formed of seeds, Recording medium to the film removal is provided.

<付記29>本発明の更に他の態様によれば、シリコンを主成分とする第1の膜(シリコン膜)と第1の膜よりもシリコン含有率が少ない膜である第2の膜(シリコン以外の膜を含むシリコン含有膜)を含む積層膜を形成する手順と、 前記積層膜に複数の貫通穴(第一穴)を形成する手順と、前記複数の貫通穴(第一穴)に埋設されたチャネル間に溝(第二穴)を形成する手順と、エッチングガスを供給して、前記溝(第二穴)に隣接された前記第1の膜と前記第2の膜のうち、前記第1の膜のみを除去する手順と、 を少なくとも含むプログラムであって、前記第1の膜を除去する手順では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去させるプログラムが提供される。 <Supplementary Note 29> According to still another aspect of the present invention, a first film (silicon film) containing silicon as a main component and a second film (silicon having a lower silicon content than the first film) Embedded in a plurality of through holes (first holes), a procedure for forming a plurality of through holes (first holes) in the stacked film, and a procedure for forming a plurality of through holes (first holes). A step of forming a groove (second hole) between the formed channels, an etching gas is supplied, and the first film and the second film adjacent to the groove (second hole), A procedure for removing only the first film, and a procedure for removing the first film, wherein the first film is removed from the film forming the channel formed of the same film type as the second film. A program is provided for removing the first film until the etching gas reaches.

<付記30>本発明の更に他の態様によれば、 シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を交互に少なくとも2層以上積層された積層膜に複数の貫通穴(第一穴)が設けられ、前記複数の貫通穴(第一穴)に埋設されたチャネル間に溝(第二穴)が形成された基板を、収容し、前記溝(第二穴)の側面に露出した前記第1の膜と前記第2の膜の交互の層のうち、前記第1の膜のみを除去する第1の処理室と、前記第1の膜が除去された部分に金属含有膜を形成する第2の処理室と、前記金属含有膜を除去する第3の処理室と、を少なくとも備える基板処理装置が提供される。 <Supplementary Note 30> According to still another aspect of the present invention, the first film mainly containing silicon and the second film which is a film having a lower silicon content than the first film are alternately provided at least 2 A substrate in which a plurality of through holes (first holes) are provided in a laminated film in which more than one layer is laminated, and a groove (second hole) is formed between channels embedded in the plurality of through holes (first holes). A first processing chamber that accommodates and removes only the first film out of alternating layers of the first film and the second film exposed on the side surface of the groove (second hole); There is provided a substrate processing apparatus including at least a second processing chamber for forming a metal-containing film in a portion from which the first film has been removed, and a third processing chamber for removing the metal-containing film.

<付記31>本発明の更に他の態様によれば、シリコンを主成分とする第1の膜を有する基板の表面に前記第1の膜と組成が異なる第3の膜を成膜する工程と、前記第3の膜の表面に前記第1の膜と前記第1の膜よりもシリコン含有率が少ない第2の膜を含む積層膜を形成する工程と、前記積層膜に複数の貫通穴(第一穴)を形成する第1エッチング工程と、前記複数の貫通穴(第一穴)にチャネルを形成する工程と、前記チャネルの間に溝(第二穴)を形成する第2エッチング工程と、前記第1の膜、前記第2の膜、前記第3の膜のぞれぞれの表面にエッチングガスを接触させて、前記溝(第二穴)に隣接された前記第1の膜と前記第2の膜を含む積層膜のうち、前記第1の膜を除去する第3エッチング工程と、を有し、前記第3エッチング工程では、前記第2の膜及び前記第3の膜を除去しないで、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去する半導体装置の製造方法が提供される。 <Supplementary Note 31> According to still another aspect of the present invention, a step of forming a third film having a composition different from that of the first film on the surface of the substrate having the first film containing silicon as a main component; Forming a laminated film including a first film and a second film having a lower silicon content than the first film on a surface of the third film, and a plurality of through holes ( A first etching step for forming first holes), a step for forming channels in the plurality of through holes (first holes), and a second etching step for forming grooves (second holes) between the channels, The first film, the second film, and the third film are brought into contact with the surface of each of the first film, the first film adjacent to the groove (second hole), and the first film, A third etching step of removing the first film out of the laminated film including the second film, and in the third etching step, Without removing the second film and the third film, the first film is removed until the etching gas reaches the film forming the channel formed of the same film type as the second film. A method of manufacturing a semiconductor device is provided.

<付記32>付記1の半導体装置の製造方法であって、更に好ましくは、前記第1の膜を表面に有する基板に前記第1の膜及び前記第2の膜と組成が異なる第3の膜が前記第1の膜の少なくとも一部の表面に形成する工程を有する半導体装置の製造方法が提供される。 <Supplementary Note 32> The method of manufacturing a semiconductor device according to Supplementary Note 1, more preferably, a third film having a composition different from that of the first film and the second film on a substrate having the first film on a surface thereof. Is provided on the surface of at least a portion of the first film.

<付記33>付記1の半導体装置の製造方法であって、好ましくは、前記第3エッチング工程は、前記第1の膜を除去する工程と、前記エッチングガスの残渣を除去するパージ工程とを有し、前記パージ工程では、基板温度をエッチング処理よりも高い温度に設定される半導体装置の製造方法が提供される。 <Supplementary note 33> The method of manufacturing a semiconductor device according to supplementary note 1, wherein the third etching step preferably includes a step of removing the first film and a purge step of removing a residue of the etching gas. In the purge step, a method for manufacturing a semiconductor device is provided in which the substrate temperature is set to a higher temperature than the etching process.

<付記34>付記1の半導体装置の製造方法であって、好ましくは、前記第3エッチング工程は、前記第1の膜を除去する工程と、前記エッチングガスの残渣を除去するパージ工程とを有し、前記パージ工程では、前記第1の膜を除去する工程で発生する副生成物の昇華温度よりも基板温度が高く設定される半導体装置の製造方法が提供される。 <Supplementary Note 34> The method of manufacturing a semiconductor device according to Supplementary Note 1, wherein the third etching step preferably includes a step of removing the first film and a purge step of removing a residue of the etching gas. In the purge step, a method for manufacturing a semiconductor device is provided in which the substrate temperature is set higher than the sublimation temperature of the byproduct generated in the step of removing the first film.

<付記35>付記33又は付記34の半導体装置の製造方法であって、好ましくは、前記第1の膜を除去する工程では、前記基板温度は、前記エッチングガスが液化しない温度よりも高く設定される半導体装置の製造方法が提供される。 <Supplementary Note 35> The method for manufacturing a semiconductor device according to Supplementary Note 33 or Supplementary Note 34, wherein, in the step of removing the first film, the substrate temperature is set higher than a temperature at which the etching gas does not liquefy. A method for manufacturing a semiconductor device is provided.

<付記36>本発明の更に他の態様によれば、シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を交互に少なくとも2層以上積層された積層膜に複数の貫通穴(第一穴)が設けられ、前記複数の貫通穴(第一穴)に埋設されたチャネル間に溝(第二穴)が形成された基板を収容する処理室と、前記基板を載置する基板載置部と、前記基板載置部を上下させる搬送機構と、前記基板にエッチングガスを供給するガス供給部と、前記ガス供給部、前記搬送機構を制御して、前記基板を載置する位置から前記ガス供給部に前記基板を接近させて、前記基板に前記エッチングガスを供給し、前記溝(第二穴)の側面に露出した前記第1の膜と前記第2の膜の交互の層のうち、前記第1の膜のみを除去する制御部と、を備えた基板処理装置が提供される。 <Supplementary Note 36> According to still another aspect of the present invention, the first film mainly containing silicon and the second film which is a film having a lower silicon content than the first film are alternately arranged at least 2. A substrate in which a plurality of through holes (first holes) are provided in a laminated film in which more than one layer is laminated, and a groove (second hole) is formed between channels embedded in the plurality of through holes (first holes). A processing chamber for accommodating the substrate, a substrate placement portion for placing the substrate, a transport mechanism for moving the substrate placement portion up and down, a gas supply portion for supplying an etching gas to the substrate, the gas supply portion, and the transport The mechanism is controlled so that the substrate approaches the gas supply unit from a position where the substrate is placed, the etching gas is supplied to the substrate, and the first surface exposed to the side surface of the groove (second hole) is exposed. A controller that removes only the first film out of the alternating layers of the first film and the second film; Substrate processing apparatus including a is provided.

<付記37>付記36の基板処理装置であって、好ましくは、前記制御部は、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去させる基板処理装置が提供される。 <Supplementary Note 37> The substrate processing apparatus according to Supplementary Note 36, wherein the control unit preferably performs the process until the etching gas reaches a film that forms the channel formed of the same film type as the second film. A substrate processing apparatus for removing the first film is provided.

<付記38>付記36の基板処理装置であって、好ましくは、更に、前記基板の中心部に不活性ガスを供給する不活性ガス供給部を備え、前記制御部は、前記第1の膜の除去が終了した後、前記基板に不活性ガスを供給し、前記基板の表面に基板中心部から基板端部への不活性ガスの流れを形成し、前記基板の表面に滞留した前記エッチングガスを排出する基板処理装置が提供される。 <Supplementary Note 38> The substrate processing apparatus according to Supplementary Note 36, preferably further including an inert gas supply unit configured to supply an inert gas to a central portion of the substrate, wherein the control unit includes the first film. After the removal is completed, an inert gas is supplied to the substrate, a flow of an inert gas from the center of the substrate to the edge of the substrate is formed on the surface of the substrate, and the etching gas staying on the surface of the substrate is removed. A substrate processing apparatus for discharging is provided.

<付記39>付記36の基板処理装置であって、好ましくは、更に、前記基板を所定の基板温度に制御する加熱部を備え、前記制御部は、前記第1の膜の除去が終了した後、前記基板を加熱し、前記基板温度を前記エッチングガスと基板表面との反応で生成される副生成物の昇華温度より高い温度に制御する基板処理装置が提供される。 <Supplementary note 39> The substrate processing apparatus according to supplementary note 36, preferably further comprising a heating unit that controls the substrate to a predetermined substrate temperature, wherein the control unit is configured to finish the removal of the first film. There is provided a substrate processing apparatus for heating the substrate and controlling the substrate temperature to a temperature higher than a sublimation temperature of a byproduct generated by a reaction between the etching gas and the substrate surface.

<付記40>付記36の基板処理装置であって、更に好ましくは、更に、前記基板に不活性ガスを供給する不活性ガス供給部と、前記基板を所定の基板温度に制御する加熱部を備え、前記制御部は、前記第1の膜の除去が終了した後、前記基板に不活性ガスを供給すると共に前記基板を加熱し、前記基板の表面に基板中心部から基板端部への不活性ガスの流れを形成すると共に、基板温度を前記エッチングガスと基板表面との反応で生成される副生成物の昇華温度より高い温度に制御する基板処理装置が提供される。 <Supplementary Note 40> The substrate processing apparatus according to Supplementary Note 36, further preferably including an inert gas supply unit that supplies an inert gas to the substrate, and a heating unit that controls the substrate to a predetermined substrate temperature. After the removal of the first film is completed, the controller supplies an inert gas to the substrate and heats the substrate, so that the inertness from the substrate center portion to the substrate end portion on the surface of the substrate is performed. There is provided a substrate processing apparatus for forming a gas flow and controlling a substrate temperature to a temperature higher than a sublimation temperature of a by-product generated by a reaction between the etching gas and the substrate surface.

この出願は、2014年1月29日に出願された日本出願特願2014−014281を基礎として優先権の利益を主張するものであり、その開示の全てを引用によってここに取り込む。 This application claims the benefit of priority based on Japanese Patent Application No. 2014-014281 filed on January 29, 2014, the entire disclosure of which is incorporated herein by reference.

特定の処理ガスを利用したエッチング技術に係り、半導体装置における高集積化のためのパターンの微細化に適用できる。 The present invention relates to an etching technique using a specific processing gas, and can be applied to pattern miniaturization for high integration in a semiconductor device.

1…Si基板、2…Si膜、3…SiO膜、4…Poly−Si膜、5…カーボンハードマスク(CHM)膜、6…SiO膜、7…Si膜、8…SiO膜、9…ONO膜、10…Poly−Si膜、11…カーボンハードマスク(CHM)膜、12…タングステン(W)膜、13…貫通穴(第一穴)、14…溝(第二穴)、15…(シリコン)除去部、20…基板処理装置、30…処理容器、31…容器本体、32…蓋体、39…基板搬送容器、50…処理室、60…ウエハ(基板)、100…EFEM、105…シャワーヘッド、106(106a,106b)…ガス供給ライン、107…排気口、104…支持ピン、108…搬送口、110…FOUP、112…不活性ガス供給管、114…管状路、115(115a,115b)…ガス供給管、116(116a,116b)…MFC、117(117a,117b)…ガス供給源、120…ロードポート、121…MFC、122…不活性ガス供給源、124…支持軸、130…大気搬送ロボット、131…ツィーザ、140…基板搬送室、142…排気管、200…ロードロックチャンバ部、210…バッファユニット、211…ボート、212…インデックスアセンブリ、220…バッファユニット、221…ボート、222…インデックスアセンブリ、250,260…ロードロックチャンバ、300…トランスファーモジュール部、310…トランスファーモジュール、311〜314…ゲートバルブ、320…真空搬送ロボット、321…フィンガー、400…プロセスチャンバ部、410…第1の処理ユニット、411…サセプタテーブル、413…リフターピン、414…支持部、430…ガスバッファ室、431…壁、432…外側シールド、433…ガス導入口、445…処理室、446…側壁、448…ベースプレート、453…Oリング、454…トッププレート、454a…蓋部、454b…支持部、458…バッフルリング、459…サセプタ、461…支柱、463…ヒータ、464…サセプタ冷却剤流路、465…排気板、467…ガイドシャフト、469…底板、471…昇降板、472…昇降シャフト、473…昇降駆動部、474…第1排気室、475…排気連通孔、476…第2排気室、479…圧力調整バルブ、480…排気管、481…排気ポンプ、482…第1のガス供給ユニット(第1のガス供給部)、482a…ガス供給管、482b…第1ガス源、482c,482g…マスフローコントローラ、482d,482h…開閉弁、482e…不活性ガス供給管、482f…不活性ガス源、483…第2のガス供給ユニット(第2のガス供給部)、483a…ガス供給管、483b…第2ガス源、483c…マスフローコントローラ、483d…開閉弁、484…シャワープレート、484a…板部、484b…孔部、485…ヒータ温度制御部、486…冷却剤流量制御部、487…ヒータ電力供給線、488…温度検出部、489…外部冷却剤流路、491…冷却剤供給ユニット、492…冷却剤温度検出部、510…第2の処理ユニット、511…サセプタテーブル、600…コントローラ(制御部)、600a…CPU、600b…RAM、600c…記憶装置、600d…I/Oポート、600e…内部バス、601…入出力装置、602…外部記憶装置、P…真空ポンプ、V…APCバルブ。 1 ... Si substrate, 2 ... Si 3 N 4 film, 3 ... SiO 2 film, 4 ... Poly-Si film, 5 ... carbon hard mask (CHM) film, 6 ... SiO 2 film, 7 ... Si 3 N 4 film, 8 ... SiO 2 film, 9 ... ONO film, 10 ... Poly-Si film, 11 ... carbon hard mask (CHM) film, 12 ... tungsten (W) film, 13 ... through hole (first hole), 14 ... groove ( (Second hole), 15 ... (silicon) removing section, 20 ... substrate processing apparatus, 30 ... processing container, 31 ... container body, 32 ... lid, 39 ... substrate transfer container, 50 ... processing chamber, 60 ... wafer (substrate) ), 100 ... EFEM, 105 ... Shower head, 106 (106a, 106b) ... Gas supply line, 107 ... Exhaust port, 104 ... Support pin, 108 ... Transport port, 110 ... FOUP, 112 ... Inert gas supply pipe, 114 ... Tubular path, 115 (115a, 115b) ... Ga Supply pipe, 116 (116a, 116b) ... MFC, 117 (117a, 117b) ... gas supply source, 120 ... load port, 121 ... MFC, 122 ... inert gas supply source, 124 ... support shaft, 130 ... atmospheric transfer robot 131 ... Tweezer, 140 ... Substrate transfer chamber, 142 ... Exhaust pipe, 200 ... Load lock chamber, 210 ... Buffer unit, 211 ... Boat, 212 ... Index assembly, 220 ... Buffer unit, 221 ... Boat, 222 ... Index assembly , 250, 260 ... load lock chamber, 300 ... transfer module section, 310 ... transfer module, 311 to 314 ... gate valve, 320 ... vacuum transfer robot, 321 ... finger, 400 ... process chamber section, 410 ... first processing unit 4 DESCRIPTION OF SYMBOLS 1 ... Susceptor table, 413 ... Lifter pin, 414 ... Support part, 430 ... Gas buffer chamber, 431 ... Wall, 432 ... Outer shield, 433 ... Gas inlet, 445 ... Processing chamber, 446 ... Side wall, 448 ... Base plate, 453 ... O-ring, 454 ... top plate, 454a ... lid part, 454b ... support part, 458 ... baffle ring, 459 ... susceptor, 461 ... post, 463 ... heater, 464 ... susceptor coolant channel, 465 ... exhaust plate, 467 Guide shaft, 469 ... Bottom plate, 471 ... Elevating plate, 472 ... Elevating shaft, 473 ... Elevating drive unit, 474 ... First exhaust chamber, 475 ... Exhaust communication hole, 476 ... Second exhaust chamber, 479 ... Pressure adjusting valve, 480 ... exhaust pipe, 481 ... exhaust pump, 482 ... first gas supply unit (first gas supply unit), 482a ... gas Supply pipe, 482b ... first gas source, 482c, 482g ... mass flow controller, 482d, 482h ... open / close valve, 482e ... inert gas supply pipe, 482f ... inert gas source, 483 ... second gas supply unit (second gas supply unit) Gas supply section), 483a ... gas supply pipe, 483b ... second gas source, 483c ... mass flow controller, 483d ... open / close valve, 484 ... shower plate, 484a ... plate section, 484b ... hole, 485 ... heater temperature control section 486 ... coolant flow rate control unit, 487 ... heater power supply line, 488 ... temperature detection unit, 489 ... external coolant flow path, 491 ... coolant supply unit, 492 ... coolant temperature detection unit, 510 ... second Processing unit, 511 ... susceptor table, 600 ... controller (control unit), 600a ... CPU, 600b ... RAM, 600c ... storage device, 600d ... I / O port, 600e ... internal bus, 601 ... input / output device, 602 ... external storage device, P ... vacuum pump, V ... APC valve.

Claims (20)

シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない第2の膜を含む積層膜を形成し、形成された積層膜に複数の第一穴を設けて第1微細パターンを形成する工程と、前記複数の第一穴夫々にチャネルを形成した後、前記夫々のチャネル間に第二穴を設けて第2微細パターンを形成する工程と、前記第2微細パターンにフッ素を含むエッチングガスを供給して、前記第二穴に隣接された前記第1の膜を除去するエッチング工程と、を有し、前記エッチング工程では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去する微細パターン形成方法。 A laminated film including a first film containing silicon as a main component and a second film having a lower silicon content than the first film is formed, and a plurality of first holes are provided in the formed laminated film . A step of forming one fine pattern, a step of forming a second hole between each of the plurality of first holes and then forming a second fine pattern between the channels, and the second fine pattern. in supplying an etching gas containing fluorine, have a, an etching step of removing the first film which is adjacent to the second hole, and in the etching step, at the same film type and said second film A fine pattern forming method of removing the first film until the etching gas reaches the formed film forming the channel . シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない第2の膜を含む積層膜を形成する工程と、前記積層膜に複数の第一穴を形成する第1エッチング工程と、前記複数の第一穴にチャネルを形成する工程と、前記チャネル間に第二穴を形成する第2エッチング工程と、フッ素を含むエッチングガスを供給して、前記第二穴に隣接された前記第1の膜を除去する第3エッチング工程と、を有し、前記第3エッチング工程では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去する半導体装置の製造方法。Forming a laminated film including a first film containing silicon as a main component and a second film having a lower silicon content than the first film; and forming a plurality of first holes in the laminated film. A first etching step, a step of forming a channel in the plurality of first holes, a second etching step of forming a second hole between the channels, and supplying an etching gas containing fluorine to the second hole. a third etching step of removing the neighbor has been the first film, was closed, and in the third etching step, the etching film forming the channel formed by the same film type and said second film A method of manufacturing a semiconductor device, wherein the first film is removed until gas reaches . シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を含む積層膜を形成する手順と、前記積層膜に複数の第一穴を形成する手順と、前記複数の第一穴にチャネルを形成する手順と、前記チャネル間に第二穴を形成する手順と、フッ素を含むエッチングガスを供給して、前記第二穴に隣接された前記第1の膜を除去する手順と、を少なくとも含むプログラムを実行するコントローラを備え、前記コントローラは、前記第1の膜を除去する手順では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去させる基板処理装置。A step of forming a laminated film including a first film and the first film a second film silicon content is less film than that containing silicon as a main component, a plurality of first holes in the laminated film A step of forming, a step of forming a channel in the plurality of first holes, a step of forming a second hole between the channels, and supplying an etching gas containing fluorine to be adjacent to the second hole And a controller that executes a program including at least a procedure for removing the first film , wherein the controller is formed of the same film type as the second film in the procedure for removing the first film. the first film a substrate processing apparatus Ru is removed to the etching gas in film forming the channel arrives. コントローラに、シリコンを主成分とする第1の膜と前記第1の膜よりもシリコン含有率が少ない膜である第2の膜を含む積層膜を形成する手順と、前記積層膜に複数の第一穴を形成する手順と、前記複数の第一穴にチャネルを形成する手順と、前記チャネル間に第二穴を形成する手順と、フッ素を含むエッチングガスを供給して、前記第二穴に隣接された前記第1の膜を除去する手順と、を少なくとも実行させるプログラムを読取可能な記録媒体であって、前記コントローラは、前記第1の膜を除去する手順では、前記第2の膜と同じ膜種で形成された前記チャネルを形成する膜に前記エッチングガスが到達するまで前記第1の膜を除去させる記録媒体 The controller, the procedure for forming a multilayer film including a first film and the first second film silicon content is less film than film mainly containing silicon, a plurality of the laminated film first A step of forming a single hole; a step of forming a channel in the plurality of first holes; a step of forming a second hole between the channels; and supplying an etching gas containing fluorine to the second hole. A step of removing the adjacent first film, and a program capable of reading at least a program , wherein the controller removes the first film in the step of removing the first film. A recording medium in which the first film is removed until the etching gas reaches a film forming the channel formed of the same film type . 前記第1の膜は、導電膜であってポリシリコン(Poly-Si)膜またはアモルファスシリコン(α-Si)膜である請求項2記載の半導体装置の製造方法。The method of manufacturing a semiconductor device according to claim 2, wherein the first film is a conductive film and is a polysilicon (Poly-Si) film or an amorphous silicon (α-Si) film. 前記第2の膜は、絶縁膜であってシリコン膜(Si膜)以外の膜であって、シリコン窒化膜(SiThe second film is an insulating film other than a silicon film (Si film), and is a silicon nitride film (Si 3 N 4 膜)、二酸化シリコン膜(SiOFilm), silicon dioxide film (SiO2) 2 膜)、シリコン酸窒化膜(SiON膜)、シリコンカーバイド膜(SiC膜)のうち少なくとも一つを含む請求項2記載の半導体装置の製造方法。3. The method for manufacturing a semiconductor device according to claim 2, comprising at least one of a film), a silicon oxynitride film (SiON film), and a silicon carbide film (SiC film). 前記チャネルを形成する工程では、前記第一穴の内壁に第1所定膜を形成する工程と、前記チャネルとしての第2所定膜を形成する工程と、を有する請求項2記載の半導体装置の製造方法。→ 付記12の内容 3. The method of manufacturing a semiconductor device according to claim 2, wherein the step of forming the channel includes a step of forming a first predetermined film on an inner wall of the first hole, and a step of forming a second predetermined film as the channel. Method. → Contents of Appendix 12 前記第1所定膜は、SiOThe first predetermined film is made of SiO. 2 膜/SiFilm / Si 3 N 4 膜/SiOFilm / SiO 2 膜で構成されるONO膜である請求項7記載の半導体装置の製造方法。8. The method of manufacturing a semiconductor device according to claim 7, wherein the semiconductor device is an ONO film. 前記第2所定膜は、ポリシリコン(Poly-Si)膜またはアモルファスシリコン(α-Si)膜である請求項7記載の半導体装置の製造方法。The method of manufacturing a semiconductor device according to claim 7, wherein the second predetermined film is a polysilicon (Poly-Si) film or an amorphous silicon (α-Si) film. 前記第2エッチング工程は、前記第1エッチング工程と同じ処理条件である請求項2記載の半導体装置の製造方法。The method of manufacturing a semiconductor device according to claim 2, wherein the second etching step is under the same processing conditions as the first etching step. 前記第一穴と前記第二穴のそれぞれの幅及び深さは同一である請求項2記載の半導体装置の製造方法。The method for manufacturing a semiconductor device according to claim 2, wherein the first hole and the second hole have the same width and depth. 前記第1エッチング工程及び前記第2エッチング工程では、SFIn the first etching step and the second etching step, SF is used. 6 、SiCl, SiCl 4 、Cl, Cl 2 、CF, CF 4 、CF, CF 4 /H/ H 2 混合ガス、或いはCFMixed gas or CF 4 /O/ O 2 混合ガスのいずれかのガスが使用される請求項10記載の半導体装置の製造方法。The method for manufacturing a semiconductor device according to claim 10, wherein any one of the mixed gases is used. 前記エッチングガスは、七フッ化ヨウ素(IF7)ガス、三フッ化塩素(ClF3)、二フッ化キセノン(XeF2)、三フッ化臭素(BrF3)ガス、五フッ化臭素(BrF5)ガス、五フッ化ヨウ素(IF5)ガスの内、いずれかのガスである請求項2記載の半導体装置の製造方法。The etching gas includes iodine heptafluoride (IF7) gas, chlorine trifluoride (ClF3), xenon difluoride (XeF2), bromine trifluoride (BrF3) gas, bromine pentafluoride (BrF5) gas, and five fluorine. 3. The method of manufacturing a semiconductor device according to claim 2, wherein the gas is any one of iodine fluoride (IF5) gas. 前記第3エッチング工程は、等方性エッチングが実施され、前記第1又は第2エッチング工程では、異方性エッチングが実施される請求項2記載の半導体装置の製造方法。The method for manufacturing a semiconductor device according to claim 2, wherein isotropic etching is performed in the third etching step, and anisotropic etching is performed in the first or second etching step. 更に、前記第3エッチング工程の後、ワード線となるメタル電極等の導電膜を形成する工程を有し、前記メタル電極を形成する工程は、前記導電膜を形成する工程と、前記第3エッチング工程において除去された部分に成膜された金属膜を残すように前記金属膜を除去する工程とを有する請求項2記載の半導体装置の製造方法。Furthermore, after the third etching step, there is a step of forming a conductive film such as a metal electrode to be a word line. The step of forming the metal electrode includes the step of forming the conductive film and the third etching. 3. The method of manufacturing a semiconductor device according to claim 2, further comprising a step of removing the metal film so as to leave a metal film formed in a portion removed in the process. 前記金属膜を除去する工程は、異方性エッチングが実施される請求項15記載の半導体装置の製造方法。The method of manufacturing a semiconductor device according to claim 15, wherein the step of removing the metal film is performed by anisotropic etching. 前記金属膜を除去する工程では、SFIn the step of removing the metal film, SF is used. 6 、SiCl, SiCl 4 、Cl, Cl 2 、CF, CF 4 、CF, CF 4 /H/ H 2 混合ガス、或いはCFMixed gas or CF 4 /O/ O 2 混合ガスのいずれかのガスが使用される請求項15記載の半導体装置の製造方法。The method of manufacturing a semiconductor device according to claim 15, wherein any one of the mixed gases is used. 前記金属膜は、タングステン(W)膜、TiN膜、TiN/Al膜、或いは、TaN/W膜から選択される膜である請求項15記載の半導体装置の製造方法。The method of manufacturing a semiconductor device according to claim 15, wherein the metal film is a film selected from a tungsten (W) film, a TiN film, a TiN / Al film, or a TaN / W film. 前記第3エッチング工程は、前記第1の膜を除去する工程と、前記エッチングガスの残渣を除去するパージ工程とを有し、前記パージ工程では、基板温度をエッチング処理よりも高い温度に設定される請求項2記載の半導体装置の製造方法。The third etching step includes a step of removing the first film and a purge step of removing a residue of the etching gas. In the purge step, the substrate temperature is set to a temperature higher than that of the etching process. A method for manufacturing a semiconductor device according to claim 2. 前記第3エッチング工程は、前記第1の膜を除去する工程と、前記エッチングガスの残渣を除去するパージ工程とを有し、前記パージ工程では、前記第1の膜を除去する工程で発生する副生成物の昇華温度よりも基板温度が高く設定される請求項2記載の半導体装置の製造方法。The third etching step includes a step of removing the first film and a purge step of removing a residue of the etching gas, and the purge step occurs in a step of removing the first film. The method of manufacturing a semiconductor device according to claim 2, wherein the substrate temperature is set higher than the sublimation temperature of the by-product.
JP2015559786A 2014-01-29 2014-12-24 Method for forming fine pattern, method for manufacturing semiconductor device, substrate processing apparatus, and recording medium Pending JPWO2015115002A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2014014281 2014-01-29
JP2014014281 2014-01-29
PCT/JP2014/084087 WO2015115002A1 (en) 2014-01-29 2014-12-24 Fine pattern forming method, semiconductor device manufacturing method, substrate processing device, and recording medium

Publications (1)

Publication Number Publication Date
JPWO2015115002A1 true JPWO2015115002A1 (en) 2017-03-23

Family

ID=53756591

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015559786A Pending JPWO2015115002A1 (en) 2014-01-29 2014-12-24 Method for forming fine pattern, method for manufacturing semiconductor device, substrate processing apparatus, and recording medium

Country Status (3)

Country Link
JP (1) JPWO2015115002A1 (en)
TW (1) TW201535510A (en)
WO (1) WO2015115002A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998204B2 (en) 2018-05-17 2021-05-04 Tokyo Electron Limited Method of processing substrate and substrate processing apparatus

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6434877B2 (en) * 2015-08-26 2018-12-05 東芝メモリ株式会社 Semiconductor device
TWI638034B (en) * 2015-11-14 2018-10-11 東京威力科創股份有限公司 Method of treating a microelectronic substrate using dilute tmah
JP6623943B2 (en) * 2016-06-14 2019-12-25 東京エレクトロン株式会社 Semiconductor device manufacturing method, heat treatment apparatus, and storage medium.
JP2018022830A (en) * 2016-08-05 2018-02-08 東京エレクトロン株式会社 Method for processing object to be processed
KR102287466B1 (en) * 2016-11-30 2021-08-06 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, semiconductor device manufacturing method and program
US10651087B2 (en) 2017-08-31 2020-05-12 Yangtze Memory Technologies Co., Ltd. Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
US10522750B2 (en) * 2018-02-19 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices
CN112567515B (en) * 2018-07-27 2024-05-07 长江存储科技有限责任公司 Memory structure and forming method thereof
JP2020068221A (en) * 2018-10-22 2020-04-30 東京エレクトロン株式会社 Etching method and plasma processing apparatus
JP2020115511A (en) 2019-01-17 2020-07-30 キオクシア株式会社 Semiconductor storage device and manufacturing method of semiconductor storage device
JP7224268B2 (en) * 2019-10-16 2023-02-17 三菱電機株式会社 Manufacturing method of optical semiconductor device
JP7296912B2 (en) * 2020-04-07 2023-06-23 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
JP2022041076A (en) * 2020-08-31 2022-03-11 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008218984A (en) * 2007-02-06 2008-09-18 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method and substrate processing apparatus
JP2010177652A (en) * 2009-02-02 2010-08-12 Toshiba Corp Method for manufacturing semiconductor device
JP2011066417A (en) * 2009-09-15 2011-03-31 Samsung Electronics Co Ltd Three-dimensional semiconductor memory device, and method of fabricating the same
JP2011192879A (en) * 2010-03-16 2011-09-29 Toshiba Corp Non-volatile memory device and method of manufacturing the same
JP2012080105A (en) * 2010-10-05 2012-04-19 Samsung Electronics Co Ltd Method of manufacturing nonvolatile memory device
US20120120728A1 (en) * 2010-11-16 2012-05-17 Samsung Electronics Co., Ltd Non-volatile memory device
WO2013027653A1 (en) * 2011-08-25 2013-02-28 大日本スクリーン製造株式会社 Pattern forming method
JP2014057067A (en) * 2012-09-11 2014-03-27 Samsung Electronics Co Ltd Three-dimensional semiconductor memory device and method of manufacturing the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008218984A (en) * 2007-02-06 2008-09-18 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method and substrate processing apparatus
JP2010177652A (en) * 2009-02-02 2010-08-12 Toshiba Corp Method for manufacturing semiconductor device
JP2011066417A (en) * 2009-09-15 2011-03-31 Samsung Electronics Co Ltd Three-dimensional semiconductor memory device, and method of fabricating the same
JP2011192879A (en) * 2010-03-16 2011-09-29 Toshiba Corp Non-volatile memory device and method of manufacturing the same
JP2012080105A (en) * 2010-10-05 2012-04-19 Samsung Electronics Co Ltd Method of manufacturing nonvolatile memory device
US20120120728A1 (en) * 2010-11-16 2012-05-17 Samsung Electronics Co., Ltd Non-volatile memory device
WO2013027653A1 (en) * 2011-08-25 2013-02-28 大日本スクリーン製造株式会社 Pattern forming method
JP2014057067A (en) * 2012-09-11 2014-03-27 Samsung Electronics Co Ltd Three-dimensional semiconductor memory device and method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998204B2 (en) 2018-05-17 2021-05-04 Tokyo Electron Limited Method of processing substrate and substrate processing apparatus

Also Published As

Publication number Publication date
TW201535510A (en) 2015-09-16
WO2015115002A1 (en) 2015-08-06

Similar Documents

Publication Publication Date Title
WO2015115002A1 (en) Fine pattern forming method, semiconductor device manufacturing method, substrate processing device, and recording medium
US10943788B2 (en) Abatement and strip process chamber in a load lock configuration
KR101955829B1 (en) Method for removing adhering matter and dry etching method
TWI644380B (en) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US20160218012A1 (en) Method of forming fine pattern, method of manufacturing semiconductor device, substrate processing apparatus and recording medium
US9012331B2 (en) Etching method and non-transitory storage medium
JP5809144B2 (en) Substrate processing method and substrate processing apparatus
JP6262333B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
TWI454600B (en) Pattern formation method
JP5881612B2 (en) Semiconductor device manufacturing method and manufacturing apparatus
US20170186634A1 (en) Substrate processing apparatus
KR20150141135A (en) Modification processing method and method of manufacturing semiconductor device
WO2017022086A1 (en) Semiconductor device manufacturing method, etching method, substrate processing device and recording medium
JP2017157660A (en) Method for manufacturing semiconductor device, and substrate processing device
JP2016072465A (en) Method of manufacturing semiconductor device and substrate processing apparatus
WO2015011829A1 (en) Substrate treatment device and method for manufacturing semiconductor device
WO2016157317A1 (en) Substrate processing device, semiconductor device production method, and recording medium
US11548804B2 (en) Method and apparatus for processing oxygen-containing workpiece
WO2017026001A1 (en) Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2006245047A (en) Manufacturing method of semiconductor device and apparatus of processing substrate

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161207

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170607