WO2017022086A1 - Semiconductor device manufacturing method, etching method, substrate processing device and recording medium - Google Patents

Semiconductor device manufacturing method, etching method, substrate processing device and recording medium Download PDF

Info

Publication number
WO2017022086A1
WO2017022086A1 PCT/JP2015/072124 JP2015072124W WO2017022086A1 WO 2017022086 A1 WO2017022086 A1 WO 2017022086A1 JP 2015072124 W JP2015072124 W JP 2015072124W WO 2017022086 A1 WO2017022086 A1 WO 2017022086A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing
film
gas
chamber
Prior art date
Application number
PCT/JP2015/072124
Other languages
French (fr)
Japanese (ja)
Inventor
智 高野
Original Assignee
株式会社日立国際電気
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社日立国際電気 filed Critical 株式会社日立国際電気
Priority to PCT/JP2015/072124 priority Critical patent/WO2017022086A1/en
Publication of WO2017022086A1 publication Critical patent/WO2017022086A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device, an etching method, a substrate processing apparatus, and a recording medium.
  • LSIs Large Scale Integrated Circuits
  • LSIs Large Scale Integrated Circuits
  • LSIs Large Scale Integrated Circuits
  • a reaction that is a compound of Si and a gas used for etching during etching of a substrate having a silicon (Si) -containing film hereinafter also referred to as a wafer.
  • a product hereinafter also referred to as a by-product
  • a processing chamber including on a wafer.
  • the influence on the pressure transition when the etching time is extended is shown.
  • the reaction product concentration (partial pressure) in the processing chamber rises so that the exhaust pressure increases as the substrate processing (hereinafter also referred to as etching processing in the present invention) is repeated, It turns into a solid residue above a certain concentration.
  • An object of the present invention is to provide an etching technique that suppresses residues (such as reaction products) from being generated on a substrate and in a processing chamber.
  • a step of storing a substrate having a Si film on at least a part of its surface in a processing chamber a step of supplying a processing gas to the substrate and removing the Si film, and the Si film And a evacuation process for removing by-products generated by the reaction of the processing gas, and the process of removing the Si film and the evacuation process as one cycle, and repeatedly executing the cycle Is provided.
  • FIG. 5 is a cross-sectional view taken along line AA in FIG.
  • FIG. 5 is a sectional view taken along line BB in FIG. 1 is a schematic configuration diagram of a cluster type substrate processing apparatus suitably used in an embodiment of the present invention.
  • 1 is a schematic cross-sectional view of a cluster type substrate processing apparatus suitably used in an embodiment of the present invention.
  • controller used suitably by embodiment of this invention. It is an example of the flow of the board
  • the substrate processing apparatus according to the present embodiment is a single-wafer type substrate processing apparatus for carrying out one step of a semiconductor device manufacturing method.
  • a substrate processing apparatus 10 includes a processing container 30 for processing a substrate 1 such as a wafer, and a substrate 1 that is adjacent to and disposed between the processing container 30.
  • a substrate transfer container 39 for carrying out and a gas supply unit (gas supply system) 6 for supplying gas to the processing container 30 are provided.
  • the processing container 30 is composed of a container main body 31 having an upper opening and a lid 32 that closes the upper opening of the container main body 31, and forms a sealed processing chamber 50 therein.
  • the container body 31 is provided with a substrate mounting table (hereinafter also referred to as a susceptor) 2 having a built-in exhaust port 7, a transfer port 8, and a temperature adjustment unit.
  • the exhaust port 7 is provided on the upper portion of the container body 31 and communicates with the annular passage 14 formed in the upper inner periphery of the container body 31 so as to exhaust the inside of the processing chamber 50 through the annular passage 14.
  • the transfer port 8 is provided on one side below the exhaust port 7 of the container body 31, and the transfer port 8 is transferred from the substrate transfer chamber 40 formed in the transfer container 39 to the processing chamber 50 in the processing container 30.
  • the unprocessed substrate 1 such as a silicon wafer is carried in via the substrate, or the processed substrate 1 is unloaded from the processing chamber 50 to the substrate transfer chamber 40.
  • a gate valve 9 for isolating the atmosphere between the substrate transfer chamber 40 and the processing chamber 50 is provided at the transfer port 8 of the container body 31 so as to be openable and closable.
  • the susceptor 2 In the processing chamber 50 of the processing container 30, the susceptor 2 is provided so as to be movable up and down, and the substrate 1 is held on the surface of the susceptor 2. The substrate 1 is adjusted to a temperature within a predetermined range by a temperature adjusting unit (described later) built in the susceptor 2.
  • a plurality of support pins 4 are erected on the substrate support pin up-and-down mechanism 11, and these support pins 4 are provided so as to penetrate the temperature adjusting unit and the susceptor 2.
  • the support pin 4 is configured to be able to protrude and retract from the surface of the susceptor 2 in accordance with raising or lowering one or both of the susceptor 2 and the substrate support pin raising / lowering mechanism 11.
  • the plurality of support pins 4 include the susceptor. 2, the substrate 1 can be supported on a plurality of support pins 4, and the substrate 1 can be loaded and unloaded between the processing chamber 50 and the substrate transfer chamber 40 via the transfer port 8. . Further, as shown in FIG. 1, the substrate processing apparatus 10 is in a position where the susceptor 2 is raised and can be processed through an intermediate position above the transfer position A (hereinafter, this position is set). The support pins 4 do not protrude from the susceptor 2 (that is, they are hidden below the upper surface of the susceptor 2), and the substrate 1 is placed on the susceptor 2.
  • the susceptor 2 is provided such that its support shaft 24 is connected to an elevating mechanism and moves up and down in the processing chamber 50.
  • the lifting mechanism can adjust the vertical position of the susceptor 2 (processing position A, substrate processing position B, etc.) in the processing chamber 50 in multiple stages in each process such as a substrate loading process, a substrate processing process, and a substrate unloading process. It is configured as follows.
  • the susceptor 2 is mainly composed of a plate portion 241 and a stem portion 242, and is designed so that it can be deployed to various devices by changing the design of the attachment portion 243.
  • a heating element (hereinafter, also referred to as a heater) 244 that is a heating unit of the temperature adjustment unit and a cooling channel 245 that is a cooling unit are arranged on the plate unit 241 from above.
  • the heating element 244 and the cooling channel 245 are based on an arc-shaped element arrangement, and are arranged so as to be double or multiple.
  • the diameters of the heating element 244 and the cooling channel 245 are, for example, as follows.
  • the portion indicated by the width D4 forms the inner peripheral portion
  • the portion indicated by the width D5 forms the outer peripheral portion. That is, the heating element 244 and the cooling flow path 245 are each composed of at least an inner peripheral part and an outer peripheral part, and the inner peripheral part of the heating element 244 is provided so as to overlap the inner peripheral part of the cooling flow path 245 in the vertical direction.
  • the outer peripheral portion of the heating element 244 is provided so as to overlap with the outer peripheral portion of the cooling channel 245 in the vertical direction. With such a configuration, heat transfer loss from the heating element 244 to the cooling flow path 245 can be reduced, and temperature control can be facilitated.
  • the temperature adjustment unit may be configured by one of the heating element 244 and the cooling flow path 245.
  • the material of the susceptor 2 main body includes aluminum alloy, stainless steel, nickel alloy and the like.
  • An interface plate 246 is provided on the bottom surface of the stem portion 242, and is fixed to the attachment portion 243 with bolts (not shown) from the back surface. Further, a heat detector 247 for detecting the temperature of the plate portion 241 is inserted from below the attachment portion 243.
  • a thermocouple (T / C) is mentioned as the heat detector 247 as a temperature sensor.
  • the heat detector 247 is configured such that the tip (heat detector) is disposed below the upper surface of the susceptor 2 and above the lower end of the heating element 244.
  • the control unit 500 electric power is supplied to the heating element 244 while supplying the refrigerant to the cooling channel 245.
  • the gas supply unit (gas supply system) 6 is connected to the gas introduction unit 5 and configured to supply a processing gas into the processing chamber 50 via the gas introduction unit 5. Specifically, the gas supply unit 6 is connected to the gas introduction unit 5 and communicates with the gas introduction port 516. The gas supply unit 6 opens and closes the gas flow paths provided in the gas supply tubes 15a and 15b. Provided with valves 18a and 18b and mass flow controllers (MFC) 16a and 16b as gas flow controllers, a desired type of gas is supplied into the processing chamber 50 at a desired gas flow rate and a desired gas ratio. It is configured to be possible.
  • MFC mass flow controllers
  • an IF7 gas that is an etching gas in the processing gas is supplied from the gas supply source 17a
  • an N2 gas that is an additive gas in the processing gas is supplied from the gas supply source 17b.
  • the gas supply sources 17 a and 17 b may be included in the gas supply unit 6. Further, the N 2 gas supplied from the gas supply source 17b may be used as an inert gas (purge gas) in the purge process.
  • the substrate processing apparatus 10 includes an exhaust unit 60 that exhausts the atmosphere in the processing chamber 50.
  • the exhaust unit 60 includes an exhaust pipe 231, a pressure regulator (APC) 59, an on-off valve 232, and a vacuum pump 51, and is configured to exhaust the atmosphere in the processing chamber 50 from the exhaust port 7.
  • the pressure in the processing chamber 50 is adjusted to a desired value by adjusting the gas supply amount and the exhaust amount by the MFCs 16a and 16b and the APC 59 provided in the exhaust unit. Is controlled.
  • the lid 32 is provided with a gas introduction part 5 and a gas supply part 6.
  • the gas introduction unit 5 is disposed to face the substrate 1 in the processing chamber 50 and is provided to supply the processing gas into the processing chamber 50.
  • the gas introduction part 5 is provided so as to fit into the hole of the lid 32, and has a shower plate 511 that has a large number of gas holes and disperses the gas in a shower shape, and a plurality of gas holes.
  • a gas inlet 516 provided in the main body.
  • a halogen-containing gas is used as the processing gas in the present embodiment, and includes one or more halogen elements from, for example, fluorine (F), chlorine (Cl), bromine (Br), and iodine (I). Gas.
  • a gas containing two types of halogen elements is used.
  • the substrate processing apparatus 10 is, for example, configured as a semiconductor manufacturing apparatus that performs processing steps in a method of manufacturing a semiconductor device (IC).
  • IC semiconductor device
  • 6 FIG. 6A, FIG. 6B
  • FIG. 6A, FIG. 6B has a configuration called a cluster type in which a plurality of wafer transfer robots and process chambers as processing chambers and two load-lock chambers for carrier delivery are connected. ing.
  • the outline of the substrate processing apparatus will be described with reference to FIG.
  • a FOUP front opening unified pod, hereinafter referred to as “pod”
  • a FOUP front opening unified pod, hereinafter referred to as “pod”
  • FIG. 6A front, rear, left and right are based on FIG. 6A. That is, with respect to the paper surface shown in FIG. 6A, the front is below the paper surface, the back is above the paper surface, and the left and right are the left and right sides of the paper surface.
  • the substrate processing apparatus 10 includes a vacuum transfer chamber (hereinafter referred to as a first transfer chamber) having a load lock chamber structure that can withstand a pressure (negative pressure) less than atmospheric pressure such as a vacuum state. And a housing 39 as a substrate transport container of the first transport chamber 40 is formed in a box shape having a pentagonal shape in plan view and closed at both upper and lower ends.
  • a first wafer transfer machine 112 is installed as a vacuum arm robot unit capable of transferring two substrates 1 simultaneously under a negative pressure.
  • the first wafer transfer device 112 is configured to be lifted and lowered by the elevator 113 while maintaining the airtightness of the first transfer chamber 40.
  • first and second preliminary chambers / cooling chambers 131 and 141 serving as substrate preliminary chambers are respectively provided on one side wall located on the front side. They are connected via gate valves 134 and 144 as opening / closing means, and each has a load lock chamber structure capable of withstanding negative pressure. Furthermore, upper and lower substrate standby tables 132, 133, 142, and 143 are installed in the spare / cooling chambers 131 and 141 for loading / unloading, respectively.
  • a second transfer chamber 120 serving as an atmospheric transfer chamber used under atmospheric pressure is connected to the front side of the preliminary / cooling chambers 131 and 141 through gate valves 130 and 140.
  • a second wafer transfer machine 122 capable of transferring two substrates 1 at the same time is installed in the second transfer chamber 120.
  • the second wafer transfer device 122 as an atmospheric transfer robot is configured to be moved up and down by an elevator 123 installed in the second transfer chamber 120 and to be reciprocated in the left-right direction by a linear actuator 124. It is configured.
  • a notch aligning device 107 as a substrate position adjusting mechanism is installed on the left side of the second transfer chamber 120.
  • a clean unit 106 as an air supply mechanism that supplies clean air is installed in the upper portion of the second transfer chamber 120.
  • the housing 121 of the second transfer chamber 120 has a wafer loading / unloading port 104 for loading / unloading the substrate 1 into / from the second transfer chamber 120, and the wafer loading / unloading.
  • a lid 105 for closing the carry-out port 104 and a pod opener 103 are installed.
  • the pod opener 103 includes a cap of the pod 101 placed on the IO stage 100 as a load port and a cap opening / closing mechanism 102 that opens and closes a lid 105 that closes the loading / unloading port 104.
  • the pod opener 103 is placed on the IO stage 100.
  • the cap 105 and the lid 105 for closing the wafer loading / unloading port 104 are opened / closed by the cap opening / closing mechanism 102, whereby the wafer of the pod 101 can be taken in and out.
  • the pod 101 is supplied to and discharged from the IO stage 100 by an in-process transfer device (AGV / OHT) (not shown).
  • AGV / OHT in-process transfer device
  • the fourth processing chambers 150, 151, 152, and 153 are connected adjacently via gate valves 160, 161, 162, and 163, respectively.
  • the processing chamber 50 shown in FIG. 1 or FIG. 2 represents one processing chamber selected from the first to fourth processing chambers 150, 151, 152, and 153.
  • the processing chamber 50 may be used when the first to fourth processing chambers are collectively referred to. All the processing chambers 50 can connect the processing chambers of the same type. On the other hand, different processing chambers can be connected according to the purpose.
  • the gate valve 9 shown in FIG. 1 or FIG. 2 shows one gate valve selected from the gate valves 160, 161, 162, and 163.
  • the gate valve 9 may also be used when the gate valves 160, 161, 162, and 163 are collectively referred to.
  • the substrate is transferred to the substrate processing apparatus 10 that performs the processing process by the in-process transfer device.
  • the pod 101 that has been transferred is transferred from the in-process transfer and placed on the IO stage 100.
  • the cap 105 for opening and closing the cap of the pod 101 and the wafer loading / unloading port 104 is removed by the cap opening / closing mechanism 102, and the wafer loading / unloading port of the pod 101 is opened.
  • the second wafer transfer machine 122 installed in the second transfer chamber 120 picks up one substrate 1 from the pod 101. And it transfers to the notch alignment apparatus 107 as a board
  • the notch aligning device 107 is a device that adjusts the position of the placed substrate 1 in the X direction, the Y direction, and the circumferential direction.
  • the second wafer transfer device 122 picks up the next substrate 1 from the pod 101 and carries it out to the second transfer chamber 120.
  • the substrate 1 on the notch alignment device 107 is carried out to the second transfer chamber 120 by the second wafer transfer machine 122, and at this time The substrate 1 held by the wafer transfer device 122 is transferred to the notch aligner 107. Then, position adjustment is performed with respect to the substrate 1.
  • the gate valve 130 is opened, it is carried into the first preliminary chamber / cooling chamber 131, and the substrate 1 is transferred to the substrate stand 133.
  • the gate valve 134 on the first transfer chamber 40 side is closed, and the negative pressure in the first transfer chamber 110 is maintained.
  • the gate valve 130 is closed, and the first preliminary chamber / cooling chamber 131 is exhausted to a negative pressure by an exhaust device (not shown).
  • the second wafer transfer device 122 picks up the substrate 1 from the notch aligner 107 and opens the gate valve 140 to open the second preliminary chamber. It is carried into the cum cooling chamber 141 and the substrate 1 is transferred to the substrate stand 143. Then, the gate valve 140 is closed, and the second preliminary chamber / cooling chamber 141 is exhausted to a negative pressure by an exhaust device (not shown).
  • the substrate to the first preliminary chamber / cooling chamber 131 and the second preliminary chamber / cooling chamber 141 is used. 1 is stopped at a predetermined position immediately before the first preliminary chamber / cooling chamber 131 and the second preliminary chamber / cooling chamber 141 without executing the first loading.
  • the gate valve 134 When the first preliminary chamber / cooling chamber 131 is depressurized to a preset pressure value, the gate valve 134 is opened. Subsequently, the first wafer transfer machine 112 in the first transfer chamber 40 picks up the substrate 1 from the substrate standby table 133. After the pickup, the gate valve 134 is closed, the first substrate preliminary chamber / cooling chamber 131 is returned to the atmospheric pressure, and preparations for loading the next substrate 1 are made.
  • the gate valve 160 of the first processing chamber 150 is opened, and the wafer transfer device 112 moves the substrate 1 to the first.
  • processing gas is supplied into the first processing chamber 150 from a gas supply device (not shown), and a desired processing is performed on the substrate 1.
  • the gate valve 144 is opened. Subsequently, the first wafer transfer device 112 in the first transfer chamber 40 picks up the substrate 1 from the substrate standby table 143.
  • the gate valve 144 After picking up, the gate valve 144 is closed, the second preliminary chamber / cooling chamber 141 is returned to atmospheric pressure, and preparations for loading the next substrate 1 are made.
  • the gate valve 161 of the second processing chamber 151 is opened, and the first wafer transfer machine 112 removes the substrate 1. It is carried into the second processing chamber 151. Then, a processing gas is supplied from a gas supply device (not shown) into the second processing chamber 151, and a desired process is performed on the substrate 1.
  • the substrate 1 is carried into the third processing chamber 152 and the fourth processing chamber 153, and desired processing is performed.
  • the first wafer transfer machine 112 When the desired processing is completed in the first processing chamber 150, the first wafer transfer machine 112 carries the substrate 1 unloaded from the first processing chamber 150 to the first preliminary chamber / cooling chamber 131. At this time, when the unprocessed substrate 1 exists in the first preliminary chamber / cooling chamber 131, the first wafer transfer machine moves the unprocessed substrate 1 from the first preliminary chamber / cooling chamber 131 to the first. It is carried out to the transfer chamber 40.
  • the gate valve 134 is closed and an inert gas is introduced from an inert gas supply device (not shown) connected to the first preliminary chamber / cooling chamber 131 almost at the same time. Return the pressure to atmospheric pressure.
  • the gate valve 130 is opened.
  • the second wafer transfer device 122 in the second transfer chamber 120 picks up the processed substrate 1 from the substrate stand 132 and carries it out to the second transfer chamber 120, and closes the gate valve 130. Then, it is stored in the pod 101 through the wafer loading / unloading port 104 of the second transfer chamber 120. By repeating the above operations, 25 substrates 1 are sequentially processed.
  • the cap 105 of the pod 101 and the lid 105 that closes the wafer loading / unloading port 104 are replaced with the pod opener 103. Closed by.
  • the closed pod 101 is transferred from the IO stage 100 to the next process by the in-process transfer apparatus.
  • the controller 500 controls each of the above-described units so as to perform a substrate processing process described later.
  • the controller 500 as a control unit is configured as a computer including a CPU (Central Processing Unit) 500a, a RAM (Random Access Memory) 500b, a storage device 500c, and an I / O port 500d.
  • the RAM 500b, the storage device 500c, and the I / O port 500d are configured to exchange data with the CPU 500a via the internal bus 500e.
  • an input / output device 501 configured as a touch panel or the like is connected to the controller 500.
  • the storage device 500c includes, for example, a flash memory, a HDD (Hard Disk Drive), and the like.
  • a control program for controlling the operation of the substrate processing apparatus 10 a process recipe in which a procedure and conditions for substrate processing described later, and the like are stored are readable.
  • the process recipe is a combination of functions so that a predetermined result can be obtained by causing the controller 500 to execute each procedure in a substrate processing step to be described later, and functions as a program.
  • the process recipe, the control program, and the like are collectively referred to as simply a program.
  • program When the term “program” is used in this specification, it may include only a process recipe alone, may include only a control program alone, or may include both.
  • the RAM 500b is configured as a memory area (work area) in which programs, data, and the like read by the CPU 500a are temporarily stored.
  • the I / O port 500d is a vacuum arm robot such as the above-described substrate support pin up-and-down mechanism 11, heating element power supply 253, APC 59, MFCs 16a and 16b, on-off valves 18a and 18b, exhaust pump 51, atmospheric transfer robot 122, gate valve 9 and the like. It is connected to the unit 112 and the like.
  • the CPU 500a is configured to read and execute a control program from the storage device 500c, and to read a process recipe from the storage device 500c in response to an operation command input from the input / output device 501. Then, the CPU 500a performs the vertical movement of the support pins 4 by the substrate support pin vertical mechanism 11, the heating / cooling operation of the substrate 1 by the temperature adjustment unit, the pressure adjustment operation by the APC 59, the mass flow so as to follow the contents of the read process recipe.
  • the controller 16a, 16b and the on-off valves 18a, 18b are configured to control the flow rate adjustment operation of the processing gas, and the like. In FIG. 7, for example, a configuration such as a robot rotating unit or an atmospheric transfer robot surrounded by a broken line may be provided.
  • the controller 500 includes an external storage device (for example, a magnetic tape, a magnetic disk such as a flexible disk and a hard disk, an optical disk such as a CD and a DVD, a magneto-optical disk such as an MO, a USB memory (USB Flash Drive), a memory card, and the like.
  • the above-mentioned program stored in the (semiconductor memory) 125 can be configured by installing it in a computer.
  • the storage device 500c and the external storage device 125 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium.
  • recording medium When the term “recording medium” is used in this specification, it may include only the storage device 500c alone, may include only the external storage device 125 alone, or may include both.
  • the program may be provided to the computer using a communication unit such as the Internet or a dedicated line without using the external storage device 125.
  • the substrate processing step in the present embodiment includes a carry-in step S10, a pressure adjustment step S20, a removal step S30, a purge step S40, and a carry-out step S50 which will be described later.
  • the substrate processing method in the present embodiment includes a step (loading step S10) of storing a substrate having a Si film on at least a part of the surface in a processing chamber, supplying a processing gas to the substrate, and removing the Si film.
  • the step (S30) and the exhaust step (S40) are defined as one cycle, and the cycle is repeatedly executed.
  • the pressure adjustment step (S20) to the purge step (S40) may be set as one cycle, and the cycle may be repeatedly executed.
  • the substrate 1 having a silicon-containing film is transferred from the substrate transfer chamber 40 into the processing chamber 50 via the transfer port 8 by the substrate transfer robot. .
  • the substrate 1 carried into the processing chamber 50 is placed on the support pins 4.
  • the substrate support pin vertical mechanism 11 is lowered, and the substrate 1 is placed on the susceptor 2.
  • the raising / lowering of the substrate support pin raising / lowering mechanism 11 is performed by being raised / lowered by the raising / lowering driving unit.
  • the temperature adjusting unit provided in the susceptor 2 is set in advance to a predetermined temperature, and adjusts the substrate 1 to be about room temperature.
  • the controller 500 raises the susceptor 2 or the susceptor 2 and the substrate support pin vertical mechanism 11 to move the susceptor 2 to the substrate processing position B so that the substrate 1 is placed on the susceptor 2.
  • the pressure in the processing chamber is adjusted.
  • a mixed gas obtained by mixing N 2 gas as an additive gas in addition to the etching gas is supplied as a processing gas from the gas supply pipe 6 to the substrate 1 through the gas introduction unit 5. That is, the on-off valves 18a and 18b are opened and the MFCs 16a and 16b are controlled to supply the etching gas and the N2 gas from the gas supply sources 17a and 17b as processing gases to the gas introduction unit 5, respectively.
  • the pressure in the processing chamber 50 is maintained at a predetermined pressure by controlling the APC 59 simultaneously with the supply of the processing gas to adjust the exhaust amount.
  • the silicon layer to be etched is a layer composed of Si element, such as polysilicon (Poly-Si), amorphous silicon (a-Si), single crystal silicon (c-Si), or the like.
  • the processing conditions are such that the substrate temperature is in the range of room temperature or lower, preferably in the range of 5 to 15 ° C., and the pressure in the processing chamber is maintained at, for example, 0.1 to 10000 Pa.
  • the flow rate of IF7 gas is in the range of about 0.1 to 5 SLM, preferably 0.2 slm to 1 slm, and the flow rate of N2 gas, which is an inert gas, is in the range of 0 slm to 10 slm.
  • the flow rate of IF7 gas is 0.4 slm
  • the flow rate of inert gas is 0.3 slm.
  • the IF7 partial pressure of the processing gas is configured to be in a range of 300 Pa or more.
  • one processing time is appropriately set according to the etching target film, the film thickness of the Si film, the pattern shape formed on the wafer 1, and the like.
  • the IF film can selectively remove the Si film while maintaining the above processing conditions.
  • “selective” means, for example, that the etching rate of the Si film is made higher than the etching rate of other films (for example, SiO film, SiN film, metal film, etc.).
  • the IF7 gas can etch (remove) the Si film near room temperature without being converted to plasma, and the reaction process is represented by the following equation. Si (solid) + 2IF7 (gas) ⁇ SiF4 (gas) + 2IF5 (gas)
  • the etching reaction product IF5 is discharged as a gas under the processing conditions described above, but reacts with trace amounts of moisture and oxygen.
  • iodine oxidation represented by IxOyFz (x, y, and z are integers) and fluoride (hereinafter referred to as secondary by-products) may be formed. Secondary by-products exist as solids under the above-described processing conditions, and decomposition often requires a temperature of 100 ° C.
  • the controller 500 stops supplying the processing gas after the removal step S30 and controls to exhaust the atmosphere of the processing container 30 (or the substrate processing chamber 50), and is used for the etching process (removal step).
  • the etching gas thus discharged is discharged from the exhaust port 7 communicating with the annular passage 14 provided on the side surface of the processing chamber 50.
  • the pressure in the processing chamber 50 is reduced to the ultimate pressure.
  • the controller 500 adds the etching amount ⁇ removed in the removal step S30 (more specifically, one etching amount in the pressure adjustment step S20 to the purge step S40), and the pressure adjustment step S20 ⁇
  • the purge process S40 is configured to be repeated.
  • the purge step S40 may be purged in two steps, a first purge step for purging with an inert gas and a second purge step for evacuating. Further, the first purge process and the second purge process may be repeated until the pressure reaches the ultimate pressure (0.1 Pa).
  • FIG. 10 shows the relationship between the processing gas flow rate and the processing chamber pressure when the cycle etching in the above-described embodiment is performed.
  • S10 carries the substrate (wafer 1) into the processing chamber 50
  • S20 adjusts the pressure in the processing chamber
  • S30 supplies the processing gas (etching gas) into the processing chamber 50 and removes the Si film
  • S40 is a purge step for exhausting the atmosphere in the processing chamber
  • S50 is a step for unloading the substrate (wafer 1) from the processing chamber 50.
  • the horizontal axis represents the time axis
  • the upper side of the vertical axis represents the flow rate of the etching gas (processing gas)
  • the lower side of the vertical axis represents the pressure in the processing chamber.
  • the processing temperature is constant in this embodiment in FIG. 10, and this processing temperature is maintained at a predetermined temperature lower than 100 ° C.
  • the processing gas may be supplied alone, or a diluent gas with an inert gas may be mixed with the processing gas and supplied.
  • the exhaust pressure is exhausted to the vacuum ultimate pressure every cycle, but it is sufficient that the exhaust gas can be exhausted to the ultimate vacuum pressure level after the end of the N cycles, that is, after the etching process, It only needs to be able to exhaust to the ultimate vacuum pressure level. Rather, it is preferable not to spend time for gas supply to the process chamber and gas exhaust from the process chamber from cycle 1 to cycle N-1.
  • the reaction product at the time of the minimum etching film thickness (hereinafter referred to as the unit film thickness) is obtained even under the condition that more etching reaction products are generated than in the past due to changes in the etching film thickness.
  • pattern miniaturization has been promoted in order to achieve higher integration, but problems inherent to the miniaturized pattern have arisen.
  • One example is pattern collapse due to the surface tension of the liquid during wet etching.
  • TMAH tetramethylammonium hydroxide aqueous solution
  • IPA isopropyl alcohol
  • a polysilicon (Poly-Si) film is replaced with a film other than polysilicon (for example, a silicon oxide (SiO 2) film, silicon nitride ( SiN) film, silicon oxynitride (SiON) film, carbon (C) film, etc.) are difficult to remove with high selectivity.
  • a film other than polysilicon for example, a silicon oxide (SiO 2) film, silicon nitride ( SiN) film, silicon oxynitride (SiON) film, carbon (C) film, etc.
  • plasma was used to etch only the Poly-Si film out of the Poly-Si film and SiO2 film layer exposed on the side wall after the through-groove was cut out in the laminated structure of the Poly-Si film and the SiO2 film.
  • Anisotropic etching is very difficult because of the selectivity between the Poly-Si film and a film other than Poly-Si and the need for isotropic etching. There is also a problem of selectivity with a hard mask film (for example, a carbon film). According to the dry etching in the present invention in which the Si film is removed by etching without etching with an etching gas (processing gas), it is expected to solve such a problem.
  • the present invention can also be applied to a substrate processing apparatus such as a film forming apparatus that forms a film on a substrate and a heat treatment apparatus that heat-treats the substrate.
  • a substrate processing apparatus such as a film forming apparatus that forms a film on a substrate and a heat treatment apparatus that heat-treats the substrate.
  • the implementation range is not limited by the number of simultaneously processed substrates, the orientation of holding the substrate, the type of dilution gas or purge gas, the etching method, the shape of the substrate processing chamber, the heating mechanism, and the cooling mechanism.
  • the present invention is not limited to a semiconductor manufacturing apparatus that processes a semiconductor wafer such as the substrate processing apparatus according to the present embodiment, but an LCD (Liquid Crystal Display) manufacturing apparatus that processes a glass substrate, a solar cell manufacturing apparatus, or the like.
  • the present invention can also be applied to a substrate processing apparatus and a MEMS (Micro Electro Mechanical Systems) manufacturing apparatus.
  • the present invention can be applied to a process for processing a transistor for driving an LCD or single crystal silicon, polycrystalline silicon, or amorphous silicon used for a solar battery.
  • a method of manufacturing a semiconductor device that is repeatedly executed is provided.
  • a temperature control unit for maintaining the temperature of the substrate at a predetermined temperature, and in the step of removing the Si film, the predetermined temperature Is maintained at a temperature of 100 ° C. or lower (preferably about room temperature).
  • the partial pressure of the process gas and the by-product is about 0.1 Pa (near the ultimate vacuum pressure). Exhausted.
  • the exhausting step includes an inert gas for exhausting the processing gas and a reaction product from the processing gas to the outside of the processing chamber. And a second exhaust process for exhausting the process gas and the by-product to a partial pressure of about 0.1 Pa (near the ultimate vacuum pressure).
  • the process gas and the by-product each have a molecular weight of 200 or more.
  • the partial pressure of the process gas is supplied in a range of 300 Pa or more.
  • a halogen-containing gas is used as the processing gas, and fluorine (F 2 ), chlorine (Cl 2 ), bromine (Br), iodine (I), iodine fluoride (IF), iodine trifluoride (IF 3 ), iodine pentafluoride (IF 5 ), iodine heptafluoride (IF 7 ), bromine fluoride (BrF), bromine trifluoride ( Selected from the group consisting of BrF 3 ), bromine pentafluoride (BrF 5 ), chlorine fluoride (ClF), chlorine trifluoride (ClF 3 ), chlorine pentafluoride (ClF 5 ), hydrogen fluoride (HF) A gas containing one or more halogen elements.
  • a process gas is supplied to a substrate having a Si film on at least a part of its surface, and the Si film is removed.
  • an etching method including at least a removal step of removing a by-product generated by the reaction, wherein the step of removing the Si film and the evacuation step are set as one cycle and the cycle is repeated.
  • the exhaust system for exhausting by-products generated by the reaction of the Si film and the processing gas from the processing chamber, the removal of the Si film, and the removal of by-products are defined as one cycle.
  • a substrate processing apparatus having a control unit for controlling the processing gas supply system and the exhaust system so as to repeat the above is provided.
  • a processing chamber for processing a substrate having a Si film formed on at least a part of a surface thereof, a processing gas supply system for supplying a processing gas to the processing chamber, An exhaust system for exhausting by-products generated by the reaction between the Si film and the processing gas from the processing chamber, and a procedure for accommodating the processing gas in the processing chamber of the substrate processing apparatus.
  • a step of supplying and removing the Si film, a step of removing at least a by-product generated by a reaction between the Si film and the processing gas, a step of removing the Si film, and the by-product There is provided a computer-readable recording medium that executes a program having a procedure for removing one cycle and repeating the cycle.
  • the pressure in the processing chamber for processing the substrate and the temperature of the substrate having the Si film on at least a part of the surface are set to predetermined conditions (pressure and temperature). And at least a process of supplying a processing gas to the substrate and removing the Si film, and an exhausting process of removing a by-product generated by the reaction of the Si film and the processing gas.
  • etching method in which the step of removing the Si film and the exhausting step are set as one cycle, and the cycle is repeatedly executed.
  • the step of accommodating the substrate having the Si film on at least a part of the surface in the processing chamber, and the pressure in the processing chamber and the temperature of the substrate are preset.
  • An exhaust process for removing by-products generated by the reaction of the processing gas, and the process for removing the Si film and the exhaust process are defined as one cycle, and the cycle is repeatedly executed.
  • a manufacturing method is provided.
  • a processing chamber for processing a substrate having a Si film formed on at least a part of its surface, a temperature control unit for maintaining the substrate at a predetermined temperature, A processing gas supply system for supplying a processing gas to the processing chamber; an exhaust system for exhausting by-products generated by the reaction of the Si film and the processing gas from the processing chamber; A process of setting the temperature and the processing chamber to a predetermined pressure, a process of supplying the processing gas at the predetermined pressure, and removing the Si film while maintaining the predetermined temperature; A process for removing and setting the pressure in the processing chamber to the predetermined pressure, and a controller for controlling the temperature control unit, the processing gas supply system, and the exhaust system so as to repeat the cycle.
  • Substrate processing equipment is provided .
  • the procedure repeats the cycle computer-readable recording medium for executing a program having a are provided.
  • the present invention can be applied to substrate processing for processing single crystal silicon, polycrystalline silicon, and amorphous silicon used in devices for driving LCDs, devices such as solar cells, semiconductor devices such as memories, and the like.
  • first gas supply line 6b gas supply line 12 inert gas supply line (second gas supply line) 14 annular member 50 processing chamber

Abstract

Provided is a feature that includes at least the following: a step for accommodating in a processing chamber a substrate having an Si film on at least part of the surface thereof; a step for supplying processing gas to the substrate and eliminating the Si film; and an exhaust step for eliminating a by-product produced by the reaction between the Si film and the processing gas. The step for eliminating the Si film and the exhaust step are set as one cycle, and the cycle is repeatedly carried out.

Description

半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体Semiconductor device manufacturing method, etching method, substrate processing apparatus, and recording medium
 本発明は、半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体に関する。 The present invention relates to a method for manufacturing a semiconductor device, an etching method, a substrate processing apparatus, and a recording medium.
 大規模集積回路(Large Scale Integrated Circuit:以下LSI)の微細化に伴って、パターニング技術の微細化も進んでいる。パターニング技術としては、例えば、特許文献1、2に記載されている。しかしながら、半導体装置の製造工程の一工程であるエッチング工程では、例えば、シリコン(Si)含有膜を有する基板(以下、ウエハともいう)のエッチング時にSiとエッチングに使用したガスとの化合物である反応生成物(以下、副生成物ともいう)が処理室内(ウェハ上を含む)に堆積することがある。 With the miniaturization of large-scale integrated circuits (Large Scale Integrated Circuits: hereinafter referred to as LSIs), patterning technology is also being miniaturized. Examples of the patterning technique are described in Patent Documents 1 and 2. However, in an etching process which is a process of manufacturing a semiconductor device, for example, a reaction that is a compound of Si and a gas used for etching during etching of a substrate having a silicon (Si) -containing film (hereinafter also referred to as a wafer). A product (hereinafter also referred to as a by-product) may be deposited in a processing chamber (including on a wafer).
例えば、図9に示すように、エッチング時間を延長した時の圧力推移への影響を示す。このように、エッチング時間の延長により、反応生成物が従来よりも大量に発生する場合、従来排気時間では反応生成物の排出が不十分となり、エッチング後の排気圧力が収束しないまま、次の基板処理が進行する。このため基板処理(以下、本発明では、エッチング処理ともいう)を重ねていくうちに排気圧力が増加していくことが分かるように処理室内部の反応生成物濃度(分圧)が上昇し、一定濃度以上で固体の残渣物へと変化する。 For example, as shown in FIG. 9, the influence on the pressure transition when the etching time is extended is shown. In this way, when the reaction product is generated in a larger amount than before due to the extension of the etching time, the discharge of the reaction product becomes insufficient in the conventional exhaust time, and the exhaust pressure after etching does not converge, and the next substrate is not converged. Processing proceeds. For this reason, the reaction product concentration (partial pressure) in the processing chamber rises so that the exhaust pressure increases as the substrate processing (hereinafter also referred to as etching processing in the present invention) is repeated, It turns into a solid residue above a certain concentration.
特開2010-212371号JP 2010-212371 特開2012-94652号JP 2012-94652 A
 このように、基板処理を繰り返し、処理室に残留した反応生成物が増加すると、残渣物としてパーティクル等の要因となり基板上の微細パターン形成を阻害する要因となる。 As described above, when the substrate processing is repeated and the reaction product remaining in the processing chamber is increased, it becomes a factor such as particles as a residue and a factor of inhibiting the formation of a fine pattern on the substrate.
 本発明の目的は、基板上及び処理室に残渣(反応生成物等)が発生しないよう抑制するエッチング技術を提供することである。 An object of the present invention is to provide an etching technique that suppresses residues (such as reaction products) from being generated on a substrate and in a processing chamber.
 本発明の一態様によれば、少なくとも表面の一部にSi膜を有する基板を処理室に収容する工程と、前記基板に処理ガスを供給し、前記Si膜を除去する工程と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する排気工程と、を少なくとも有し、前記Si膜を除去する工程と前記排気工程を一サイクルとし、該サイクルを繰り返し実行する技術が提供される。 According to one aspect of the present invention, a step of storing a substrate having a Si film on at least a part of its surface in a processing chamber, a step of supplying a processing gas to the substrate and removing the Si film, and the Si film And a evacuation process for removing by-products generated by the reaction of the processing gas, and the process of removing the Si film and the evacuation process as one cycle, and repeatedly executing the cycle Is provided.
 本発明に係る構成によれば、半導体装置の製造品質を向上させることが可能となる。 According to the configuration of the present invention, it is possible to improve the manufacturing quality of the semiconductor device.
本発明の実施形態で好適に用いられる基板処理装置の構成を説明する断面図である。It is sectional drawing explaining the structure of the substrate processing apparatus used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる基板処理装置の構成を説明する断面図である。It is sectional drawing explaining the structure of the substrate processing apparatus used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる基板処理装置のガス導入部を構成するための断面図である。It is sectional drawing for comprising the gas introducing part of the substrate processing apparatus used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる基板処理装置の基板載置台を構成するための断面図である。It is sectional drawing for comprising the substrate mounting base of the substrate processing apparatus used suitably by embodiment of this invention. 図4におけるA-A断面図である。FIG. 5 is a cross-sectional view taken along line AA in FIG. 図4におけるB-B断面図である。FIG. 5 is a sectional view taken along line BB in FIG. 本発明の実施形態で好適に用いられるクラスタ型の基板処理装置の概略的な構成図である。1 is a schematic configuration diagram of a cluster type substrate processing apparatus suitably used in an embodiment of the present invention. 本発明の実施形態で好適に用いられるクラスタ型の基板処理装置の概略的な断面図である。1 is a schematic cross-sectional view of a cluster type substrate processing apparatus suitably used in an embodiment of the present invention. 本発明の実施形態で好適に用いられるコントローラの構造例である。It is a structural example of the controller used suitably by embodiment of this invention. 本発明の実施形態で好適に用いられる基板処理(エッチング処理)工程のフロー例である。It is an example of the flow of the board | substrate process (etching process) process used suitably by embodiment of this invention. 従来の基板処理工程で発生する副生成物の影響を示す図である。It is a figure which shows the influence of the by-product which generate | occur | produces in the conventional substrate processing process. 本発明の実施例である基板処理工程における処理ガス流量と処理室内圧力の関係を示す図である。It is a figure which shows the relationship between the process gas flow rate and the process chamber pressure in the board | substrate process which is an Example of this invention.
 次に、本発明の好ましい実施形態について説明する。 Next, a preferred embodiment of the present invention will be described.
<本発明の実施形態> 以下に、本発明の好ましい実施形態について図面を参照してより詳細に説明する。 <Embodiments of the Present Invention> Hereinafter, preferred embodiments of the present invention will be described in more detail with reference to the drawings.
(1)基板処理装置の構成 以下、上述の実施形態の具体的な実施例に係る基板処理装置の構成について、図1乃至図5を用いて説明する。本実施例に係る基板処理装置は半導体デバイスの製造方法の一工程を実施するための枚葉式の基板処理装置である。 (1) Configuration of Substrate Processing Apparatus Hereinafter, a configuration of a substrate processing apparatus according to a specific example of the above-described embodiment will be described with reference to FIGS. The substrate processing apparatus according to the present embodiment is a single-wafer type substrate processing apparatus for carrying out one step of a semiconductor device manufacturing method.
 (処理容器)図1に示すように実施例に係る基板処理装置10は、ウェハ等の基板1を処理する処理容器30と、処理容器30と隣接してこれとの間で基板1の搬入・搬出が行われる基板搬送容器39と、処理容器30にガスを供給するガス供給部(ガス供給系)6と、を有する。 (Processing Container) As shown in FIG. 1, a substrate processing apparatus 10 according to an embodiment includes a processing container 30 for processing a substrate 1 such as a wafer, and a substrate 1 that is adjacent to and disposed between the processing container 30. A substrate transfer container 39 for carrying out and a gas supply unit (gas supply system) 6 for supplying gas to the processing container 30 are provided.
 処理容器30は、上部が開口した容器本体31と、容器本体31の上部開口を塞ぐ蓋体32とから構成されて、内部に密閉構造の処理室50を形成している。 The processing container 30 is composed of a container main body 31 having an upper opening and a lid 32 that closes the upper opening of the container main body 31, and forms a sealed processing chamber 50 therein.
 容器本体31には排気口7、搬送口8、及び温度調整部を内蔵した基板載置台(以下、サセプタともいう)2が設けられる。排気口7は、容器本体31の上側部に設けられ、容器本体31の上部内周に形成された環状路14と連通し、環状路14を介して処理室50内を排気するように構成されている。また、搬送口8は、容器本体31の排気口7よりも下方の一側部に設けられ、搬送容器39内に形成される基板搬送室40から処理容器30内の処理室50に搬送口8を介してシリコンウェハ等の処理前の基板1を搬入し、または処理室50から基板搬送室40に処理後の基板1を搬出するように構成されている。なお、容器本体31の搬送口8には、基板搬送室40と処理室50との雰囲気隔離を行うゲートバルブ9が開閉自在に設けられている。 The container body 31 is provided with a substrate mounting table (hereinafter also referred to as a susceptor) 2 having a built-in exhaust port 7, a transfer port 8, and a temperature adjustment unit. The exhaust port 7 is provided on the upper portion of the container body 31 and communicates with the annular passage 14 formed in the upper inner periphery of the container body 31 so as to exhaust the inside of the processing chamber 50 through the annular passage 14. ing. The transfer port 8 is provided on one side below the exhaust port 7 of the container body 31, and the transfer port 8 is transferred from the substrate transfer chamber 40 formed in the transfer container 39 to the processing chamber 50 in the processing container 30. The unprocessed substrate 1 such as a silicon wafer is carried in via the substrate, or the processed substrate 1 is unloaded from the processing chamber 50 to the substrate transfer chamber 40. A gate valve 9 for isolating the atmosphere between the substrate transfer chamber 40 and the processing chamber 50 is provided at the transfer port 8 of the container body 31 so as to be openable and closable.
 (サセプタ)処理容器30の処理室50内に、サセプタ2が昇降自在に設けられ、サセプタ2の表面に基板1が保持される。基板1はサセプタ2に内蔵された後述する温度調整部によって所定範囲内の温度に調整されるようになっている。 (Susceptor) In the processing chamber 50 of the processing container 30, the susceptor 2 is provided so as to be movable up and down, and the substrate 1 is held on the surface of the susceptor 2. The substrate 1 is adjusted to a temperature within a predetermined range by a temperature adjusting unit (described later) built in the susceptor 2.
基板支持ピン上下機構11には複数の支持ピン4が立設され、これらの支持ピン4は温度調整部及びサセプタ2を貫通するように設けられている。支持ピン4は、サセプタ2及び基板支持ピン上下機構11の一方、又は両方の昇降に応じて、サセプタ2の表面から出没自在になるように構成されている。 A plurality of support pins 4 are erected on the substrate support pin up-and-down mechanism 11, and these support pins 4 are provided so as to penetrate the temperature adjusting unit and the susceptor 2. The support pin 4 is configured to be able to protrude and retract from the surface of the susceptor 2 in accordance with raising or lowering one or both of the susceptor 2 and the substrate support pin raising / lowering mechanism 11.
図2に示すように、基板処理装置10は、サセプタ2が下降して搬送工程を行うことが可能な位置にあるとき(以下、この位置を搬送位置Aという)、複数の支持ピン4がサセプタ2から突出して複数の支持ピン4上に基板1を支持可能にし、処理室50と基板搬送室40との間で搬送口8を介して基板1の搬入、搬出が行えるように構成されている。また、図1に示すように、基板処理装置10は、サセプタ2が上昇して、搬送位置Aより上方の中間位置を経て処理工程を行うことが可能な位置にあるとき(以下、この位置を基板処理位置Bという)、支持ピン4はサセプタ2から突出せず(即ち、サセプタ2の上面よりも下方に隠れ)、サセプタ2上に基板1が載置されるように構成されている。 As shown in FIG. 2, when the substrate processing apparatus 10 is at a position where the susceptor 2 is lowered and can perform a transport process (hereinafter, this position is referred to as a transport position A), the plurality of support pins 4 include the susceptor. 2, the substrate 1 can be supported on a plurality of support pins 4, and the substrate 1 can be loaded and unloaded between the processing chamber 50 and the substrate transfer chamber 40 via the transfer port 8. . Further, as shown in FIG. 1, the substrate processing apparatus 10 is in a position where the susceptor 2 is raised and can be processed through an intermediate position above the transfer position A (hereinafter, this position is set). The support pins 4 do not protrude from the susceptor 2 (that is, they are hidden below the upper surface of the susceptor 2), and the substrate 1 is placed on the susceptor 2.
 サセプタ2は、その支持軸24が昇降機構に連結されて処理室50内を昇降するように設けられている。昇降機構は、基板搬入工程、基板処理工程、基板搬出工程などの各工程で、処理室50内のサセプタ2の上下方向の位置(搬送位置A、基板処理位置B等)を多段階に調整できるよう構成されている。 The susceptor 2 is provided such that its support shaft 24 is connected to an elevating mechanism and moves up and down in the processing chamber 50. The lifting mechanism can adjust the vertical position of the susceptor 2 (processing position A, substrate processing position B, etc.) in the processing chamber 50 in multiple stages in each process such as a substrate loading process, a substrate processing process, and a substrate unloading process. It is configured as follows.
 図4に示すように、サセプタ2はプレート部241とステム部242より主に構成されており、アタッチメント部243のデザイン変更にて多様な装置に展開出来るように配慮している。プレート部241には上方より、温度調整部の加熱手段である発熱体(以後、ヒータともいう)244及び冷却手段である冷却流路245が配置されている。図5A及び図5Bに示すように、発熱体244及び冷却流路245は円弧状のエレメント配置を基本としており、2重又は多重となるように配置されている。発熱体244及び冷却流路245のそれぞれの径は、例えば以下の通りである。D3:φ20~40mm、D4:φ130~170mm、D5:φ230~270mmである。図5A及び図5Bに示すように、幅D4で示される部分が内周部を形成し、幅D5で示される部分が外周部を形成している。つまり発熱体244及び冷却流路245は、それぞれ、少なくとも内周部と外周部から構成され、発熱体244の内周部が冷却流路245の内周部と垂直方向で重なるように設けられ、発熱体244の外周部は、冷却流路245の外周部と垂直方向で重なるように設けられている。このような構成とすることにより、発熱体244から冷却流路245への熱の伝達損失を少なくすることができ、温度制御を容易にすることができる。温度調整部は発熱体244及び冷却流路245のいずれか一方により構成するようにしてもよい。 As shown in FIG. 4, the susceptor 2 is mainly composed of a plate portion 241 and a stem portion 242, and is designed so that it can be deployed to various devices by changing the design of the attachment portion 243. A heating element (hereinafter, also referred to as a heater) 244 that is a heating unit of the temperature adjustment unit and a cooling channel 245 that is a cooling unit are arranged on the plate unit 241 from above. As shown in FIGS. 5A and 5B, the heating element 244 and the cooling channel 245 are based on an arc-shaped element arrangement, and are arranged so as to be double or multiple. The diameters of the heating element 244 and the cooling channel 245 are, for example, as follows. D3: φ20 to 40 mm, D4: φ130 to 170 mm, D5: φ230 to 270 mm. As shown in FIGS. 5A and 5B, the portion indicated by the width D4 forms the inner peripheral portion, and the portion indicated by the width D5 forms the outer peripheral portion. That is, the heating element 244 and the cooling flow path 245 are each composed of at least an inner peripheral part and an outer peripheral part, and the inner peripheral part of the heating element 244 is provided so as to overlap the inner peripheral part of the cooling flow path 245 in the vertical direction. The outer peripheral portion of the heating element 244 is provided so as to overlap with the outer peripheral portion of the cooling channel 245 in the vertical direction. With such a configuration, heat transfer loss from the heating element 244 to the cooling flow path 245 can be reduced, and temperature control can be facilitated. The temperature adjustment unit may be configured by one of the heating element 244 and the cooling flow path 245.
 サセプタ2本体の材質は、アルミニウム合金やステンレス、ニッケル合金等が挙げられる。ステム部242底面にはインターフェースプレート246が設けられており、裏面よりボルト(図示せず)にてアタッチメント部243に固定するようにしてある。また、アッタッチメント部243の下方から、プレート部241の温度を検知するための熱検出器247が挿入されている。温度センサとしての熱検出器247として、熱電対(T/C)が挙げられる。 The material of the susceptor 2 main body includes aluminum alloy, stainless steel, nickel alloy and the like. An interface plate 246 is provided on the bottom surface of the stem portion 242, and is fixed to the attachment portion 243 with bolts (not shown) from the back surface. Further, a heat detector 247 for detecting the temperature of the plate portion 241 is inserted from below the attachment portion 243. A thermocouple (T / C) is mentioned as the heat detector 247 as a temperature sensor.
 図4に示すように、熱検出器247は、サセプタ2の上面より下側であって、発熱体244の下端よりも上側に先端(熱検出部)が配置されるように構成されている。また、後述する発熱体電源253と冷媒供給部264を制御部500により制御することにより、冷却流路245に冷媒を供給しながら、発熱体244に電力を供給する。 As shown in FIG. 4, the heat detector 247 is configured such that the tip (heat detector) is disposed below the upper surface of the susceptor 2 and above the lower end of the heating element 244. In addition, by controlling a heating element power supply 253 and a refrigerant supply unit 264, which will be described later, by the control unit 500, electric power is supplied to the heating element 244 while supplying the refrigerant to the cooling channel 245.
 ガス供給部(ガス供給系)6はガス導入部5に接続され、ガス導入部5を介して処理室50内に処理ガスを供給するように構成されている。ガス供給部6は、具体的にはガス導入部5に接続されてガス導入口516と連通するガス供給管15a、15bと、ガス供給管15a、15bに設けられたガス流路を開閉する開閉弁18a、18b及びガス流量制御器であるマスフロコントローラ(MFC)16a、16bを備えて、処理室50内に所望の種類のガスを、所望のガス流量、所望のガス比率で供給することが可能となるように構成されている。本実施例では、ガス供給源17aから、処理ガス中のエッチングガスであるIF7ガスが供給され、ガス供給源17bから、処理ガス中の添加ガスであるN2ガスが供給される。なお、ガス供給源17a、17bをガス供給部6に含めて構成しても良い。また、ガス供給源17bから供給されるN2ガスは、パージ工程における不活性ガス(パージガス)として用いてもよい。 The gas supply unit (gas supply system) 6 is connected to the gas introduction unit 5 and configured to supply a processing gas into the processing chamber 50 via the gas introduction unit 5. Specifically, the gas supply unit 6 is connected to the gas introduction unit 5 and communicates with the gas introduction port 516. The gas supply unit 6 opens and closes the gas flow paths provided in the gas supply tubes 15a and 15b. Provided with valves 18a and 18b and mass flow controllers (MFC) 16a and 16b as gas flow controllers, a desired type of gas is supplied into the processing chamber 50 at a desired gas flow rate and a desired gas ratio. It is configured to be possible. In this embodiment, an IF7 gas that is an etching gas in the processing gas is supplied from the gas supply source 17a, and an N2 gas that is an additive gas in the processing gas is supplied from the gas supply source 17b. The gas supply sources 17 a and 17 b may be included in the gas supply unit 6. Further, the N 2 gas supplied from the gas supply source 17b may be used as an inert gas (purge gas) in the purge process.
(排気部)基板処理装置10は処理室50内の雰囲気を排気する排気部60を備えている。排気部60は、排気配管231、圧力調整器(APC)59、開閉弁232、真空ポンプ51を有しており、排気口7から処理室50内の雰囲気を排気するように構成されている。処理室50内の圧力は、MFC16a、16bと、排気部に設けられたAPC59によってガスの供給量及び排気量を調整することにより、処理室50内に設けられた圧力センサを所望の値にすることにより制御される。 (Exhaust Unit) The substrate processing apparatus 10 includes an exhaust unit 60 that exhausts the atmosphere in the processing chamber 50. The exhaust unit 60 includes an exhaust pipe 231, a pressure regulator (APC) 59, an on-off valve 232, and a vacuum pump 51, and is configured to exhaust the atmosphere in the processing chamber 50 from the exhaust port 7. The pressure in the processing chamber 50 is adjusted to a desired value by adjusting the gas supply amount and the exhaust amount by the MFCs 16a and 16b and the APC 59 provided in the exhaust unit. Is controlled.
 (ガス導入部)蓋体32にはガス導入部5とガス供給部6が設けられる。ガス導入部5は、処理室50内の基板1と対向するように配置され、処理室50内に処理ガスを供給するために設けられる。図3に示すように、ガス導入部5は、蓋体32の孔に嵌るように設けられ、多数のガス孔を有してガスをシャワー状に分散させるシャワープレート511と、複数のガス孔を有する分散板512と、シャワープレート511と分散板512との間に設けられた空間513と、分散板512とガス導入部5の天井板514との間に設けられた空間515と、天井板514に設けられたガス導入口516とを有するように構成される。 (Gas introduction part) The lid 32 is provided with a gas introduction part 5 and a gas supply part 6. The gas introduction unit 5 is disposed to face the substrate 1 in the processing chamber 50 and is provided to supply the processing gas into the processing chamber 50. As shown in FIG. 3, the gas introduction part 5 is provided so as to fit into the hole of the lid 32, and has a shower plate 511 that has a large number of gas holes and disperses the gas in a shower shape, and a plurality of gas holes. The dispersion plate 512, the space 513 provided between the shower plate 511 and the dispersion plate 512, the space 515 provided between the dispersion plate 512 and the ceiling plate 514 of the gas introduction unit 5, and the ceiling plate 514. And a gas inlet 516 provided in the main body.
 ここで、本実施形態における処理ガスとしては、ハロゲン含有ガスが用いられ、例えばフッ素(F)、塩素(Cl)、臭素(Br)、ヨウ素(I)の中から一つ以上のハロゲン元素を含むガスである。好ましくは、ハロゲン元素を2種類含むガスが用いられる。例えば、五フッ化ヨウ素(IF5)、七フッ化ヨウ素(IF7)、三フッ化臭素(BrF3)、五フッ化臭素(BrF5)、三フッ化塩素(ClF3)などが有る。 Here, a halogen-containing gas is used as the processing gas in the present embodiment, and includes one or more halogen elements from, for example, fluorine (F), chlorine (Cl), bromine (Br), and iodine (I). Gas. Preferably, a gas containing two types of halogen elements is used. For example, there are iodine pentafluoride (IF5), iodine heptafluoride (IF7), bromine trifluoride (BrF3), bromine pentafluoride (BrF5), chlorine trifluoride (ClF3), and the like.
(基板搬送系)基板処理装置10は、一例として、半導体装置(IC)の製造方法における処理工程を実施する半導体製造装置として構成されている。図6(図6A、図6B)に示すクラスタ型と呼ばれる構成では、ウェハ搬送用ロボットや処理室としてのプロセスチャンバが複数台、及びキャリア受渡し用のロードロック室が2式接続された構成となっている。この図6を用いて、基板処理装置の概要を説明する。 (Substrate Transport System) The substrate processing apparatus 10 is, for example, configured as a semiconductor manufacturing apparatus that performs processing steps in a method of manufacturing a semiconductor device (IC). 6 (FIG. 6A, FIG. 6B) has a configuration called a cluster type in which a plurality of wafer transfer robots and process chambers as processing chambers and two load-lock chambers for carrier delivery are connected. ing. The outline of the substrate processing apparatus will be described with reference to FIG.
 尚、本発明が適用される基板処理装置10においては基板としての基板1を搬送するキャリアとして、FOUP(front opening unified pod、以下、「ポッド」という)が使用されている。また、以下の説明において、前後左右は、図6Aを基準とする。すなわち、図6Aが示されている紙面に対して、前は紙面の下、後ろは紙面の上、左右は紙面の左右とする。 In the substrate processing apparatus 10 to which the present invention is applied, a FOUP (front opening unified pod, hereinafter referred to as “pod”) is used as a carrier for transporting the substrate 1 as a substrate. In the following description, front, rear, left and right are based on FIG. 6A. That is, with respect to the paper surface shown in FIG. 6A, the front is below the paper surface, the back is above the paper surface, and the left and right are the left and right sides of the paper surface.
図6に示されているように、基板処理装置10は真空状態などの大気圧未満の圧力(負圧)に耐えるロードロックチャンバ構造に構成された真空搬送室(以後、第一の搬送室ともいう)としての基板搬送室40を備えており、第一の搬送室40の基板搬送容器としての筐体39は平面視が五角形で上下両端が閉塞した箱形状に形成されている。第一の搬送室40には負圧下で二枚の基板1を同時に移載可能な真空アームロボットユニットとしての第一のウェハ移載機112が設置されている。前記第一のウェハ移載機112は、エレベータ113によって、第一の搬送室40の気密性を維持しつつ昇降できるように構成されている。 As shown in FIG. 6, the substrate processing apparatus 10 includes a vacuum transfer chamber (hereinafter referred to as a first transfer chamber) having a load lock chamber structure that can withstand a pressure (negative pressure) less than atmospheric pressure such as a vacuum state. And a housing 39 as a substrate transport container of the first transport chamber 40 is formed in a box shape having a pentagonal shape in plan view and closed at both upper and lower ends. In the first transfer chamber 40, a first wafer transfer machine 112 is installed as a vacuum arm robot unit capable of transferring two substrates 1 simultaneously under a negative pressure. The first wafer transfer device 112 is configured to be lifted and lowered by the elevator 113 while maintaining the airtightness of the first transfer chamber 40.
第一の搬送室40の筐体39の5枚の側壁のうち前側に位置する1枚の側壁には、基板予備室としての第一および第二の予備室兼冷却室131,141がそれぞれ室開閉手段としてのゲートバルブ134,144を介して連結されており、それぞれ負圧に耐え得るロードロックチャンバ構造に構成されている。さらに、搬入/搬出用の予備室兼冷却室131,141にはそれぞれ上下の基板待機台132,133,142,143が設置されている。 Of the five side walls of the casing 39 of the first transfer chamber 40, first and second preliminary chambers / cooling chambers 131 and 141 serving as substrate preliminary chambers are respectively provided on one side wall located on the front side. They are connected via gate valves 134 and 144 as opening / closing means, and each has a load lock chamber structure capable of withstanding negative pressure. Furthermore, upper and lower substrate standby tables 132, 133, 142, and 143 are installed in the spare / cooling chambers 131 and 141 for loading / unloading, respectively.
予備室兼冷却室131,141の前側には、大気圧下で用いられる大気搬送室としての第二の搬送室120がゲートバルブ130,140を介して連結されている。第二の搬送室120には二枚の基板1を同時に移載可能な第二のウェハ移載機122が設置されている。大気搬送ロボットとしての第二のウェハ移載機122は第二の搬送室120に設置されたエレベータ123によって昇降されるように構成されているとともに、リニアアクチュエータ124によって左右方向に往復移動されるように構成されている。 A second transfer chamber 120 serving as an atmospheric transfer chamber used under atmospheric pressure is connected to the front side of the preliminary / cooling chambers 131 and 141 through gate valves 130 and 140. A second wafer transfer machine 122 capable of transferring two substrates 1 at the same time is installed in the second transfer chamber 120. The second wafer transfer device 122 as an atmospheric transfer robot is configured to be moved up and down by an elevator 123 installed in the second transfer chamber 120 and to be reciprocated in the left-right direction by a linear actuator 124. It is configured.
 図6Aに示されているように、第二の搬送室120の左側には、基板位置調整機構としてのノッチ合せ装置107が設置されている。また、図2に示されているように、第二の搬送室120の上部にはクリーンエアを供給するエア供給機構としてのクリーンユニット106が設置されている。 As shown in FIG. 6A, a notch aligning device 107 as a substrate position adjusting mechanism is installed on the left side of the second transfer chamber 120. As shown in FIG. 2, a clean unit 106 as an air supply mechanism that supplies clean air is installed in the upper portion of the second transfer chamber 120.
 図6に示されているように、第二の搬送室120の筐体121には、基板1を第二の搬送室120に対して搬入搬出するためのウェハ搬入搬出口104と、前記ウェハ搬入搬出口104を閉塞する蓋105と、ポッドオープナ103がそれぞれ設置されている。ポッドオープナ103は、ロードポートとしてのIOステージ100に載置されたポッド101のキャップ及び搬入搬出口104を閉塞する蓋105を開閉するキャップ開閉機構102を備えており、IOステージ100に載置されたポッド101のキャップ及びウェハ搬入搬出口104を閉塞する蓋105をキャップ開閉機構102によって開閉することにより、ポッド101のウェハ出し入れを可能にする。また、ポッド101は図示しない工程内搬送装置(AGV/OHT)によって、前記IOステージ100に供給及び排出されるようになっている。 As shown in FIG. 6, the housing 121 of the second transfer chamber 120 has a wafer loading / unloading port 104 for loading / unloading the substrate 1 into / from the second transfer chamber 120, and the wafer loading / unloading. A lid 105 for closing the carry-out port 104 and a pod opener 103 are installed. The pod opener 103 includes a cap of the pod 101 placed on the IO stage 100 as a load port and a cap opening / closing mechanism 102 that opens and closes a lid 105 that closes the loading / unloading port 104. The pod opener 103 is placed on the IO stage 100. The cap 105 and the lid 105 for closing the wafer loading / unloading port 104 are opened / closed by the cap opening / closing mechanism 102, whereby the wafer of the pod 101 can be taken in and out. The pod 101 is supplied to and discharged from the IO stage 100 by an in-process transfer device (AGV / OHT) (not shown).
図6Aに示されているように、第一の搬送室40の筐体39の5枚の側壁のうち背面側に位置する4枚の側壁には、基板1に所望の処理を行う第一乃至第四の処理室150,151,152,153がそれぞれゲートバルブ160,161,162,163を介して隣接して連結されている。ここで、本明細書において、図1または図2に示される処理室50は、第一乃至第四の処理室150,151,152,153から選択される一つの処理室を示す。また、第一乃至第四の処理室を総称して呼ぶ場合にも処理室50を使用する場合がある。処理室50は、全て同一種の処理室を連結することができる。一方、目的に応じてそれぞれ異なる処理室を連結することもできる。更に、本明細書において、図1または図2に示されるゲートバルブ9は、ゲートバルブ160,161,162,163から選択される一つのゲートバルブを示す。また、ゲートバルブ160,161,162,163を総称して呼ぶ場合にもゲートバルブ9を使用する場合がある。 As shown in FIG. 6A, four side walls located on the back side among the five side walls of the casing 39 of the first transfer chamber 40 are subjected to a first process to a desired process on the substrate 1. The fourth processing chambers 150, 151, 152, and 153 are connected adjacently via gate valves 160, 161, 162, and 163, respectively. Here, in this specification, the processing chamber 50 shown in FIG. 1 or FIG. 2 represents one processing chamber selected from the first to fourth processing chambers 150, 151, 152, and 153. Also, the processing chamber 50 may be used when the first to fourth processing chambers are collectively referred to. All the processing chambers 50 can connect the processing chambers of the same type. On the other hand, different processing chambers can be connected according to the purpose. Furthermore, in this specification, the gate valve 9 shown in FIG. 1 or FIG. 2 shows one gate valve selected from the gate valves 160, 161, 162, and 163. The gate valve 9 may also be used when the gate valves 160, 161, 162, and 163 are collectively referred to.
 以下、本実施形態における基板処理装置10を使用した処理工程を説明する。 Hereinafter, processing steps using the substrate processing apparatus 10 in the present embodiment will be described.
 未処理の基板1は25枚がポッド101に収納された状態で、処理工程を実施する基板処理装置10へ工程内搬送装置によって搬送されてくる。図6に示されているように、搬送されてきたポッド101はIOステージ100の上に工程内搬送から受け渡されて載置される。ポッド101のキャップ及びウェハ搬入搬出口104を開閉する蓋105がキャップ開閉機構102によって取り外され、ポッド101のウェハ出し入れ口が開放される。 In the state where 25 unprocessed substrates 1 are stored in the pod 101, the substrate is transferred to the substrate processing apparatus 10 that performs the processing process by the in-process transfer device. As shown in FIG. 6, the pod 101 that has been transferred is transferred from the in-process transfer and placed on the IO stage 100. The cap 105 for opening and closing the cap of the pod 101 and the wafer loading / unloading port 104 is removed by the cap opening / closing mechanism 102, and the wafer loading / unloading port of the pod 101 is opened.
 ポッド101がポッドオープナ103により開放されると、第二の搬送室120に設置された第二のウェハ移載機122はポッド101から基板1を1枚ピックアップする。そして、基板位置調整機構としてのノッチ合せ装置107へ移載し、基板1の位置を調整する。ここでノッチ合せ装置107とは載置された基板1をX方向、Y方向及び円周方向に位置を調整する装置である。 When the pod 101 is opened by the pod opener 103, the second wafer transfer machine 122 installed in the second transfer chamber 120 picks up one substrate 1 from the pod 101. And it transfers to the notch alignment apparatus 107 as a board | substrate position adjustment mechanism, and the position of the board | substrate 1 is adjusted. Here, the notch aligning device 107 is a device that adjusts the position of the placed substrate 1 in the X direction, the Y direction, and the circumferential direction.
 前記ノッチ合せ装置107にて基板1の位置を調整していると同時に、前記第二のウェハ移載機122はポッド101から次の基板1をピックアップして第二の搬送室120に搬出する。 At the same time that the position of the substrate 1 is adjusted by the notch alignment device 107, the second wafer transfer device 122 picks up the next substrate 1 from the pod 101 and carries it out to the second transfer chamber 120.
 前記ノッチ合せ装置107にて基板1の位置調整が終了したら、前記第二のウェハ移載機122でノッチ合せ装置107上の基板1を第二の搬送室120に搬出すると共に、このとき第二のウェハ移載機122が保持している基板1をノッチ合せ装置107へ移載する。そして、基板1に対して位置調整を行う。 When the position adjustment of the substrate 1 is completed by the notch alignment device 107, the substrate 1 on the notch alignment device 107 is carried out to the second transfer chamber 120 by the second wafer transfer machine 122, and at this time The substrate 1 held by the wafer transfer device 122 is transferred to the notch aligner 107. Then, position adjustment is performed with respect to the substrate 1.
 次にゲートバルブ130を開け、第一の予備室兼冷却室131に搬入し、基板1を基板待機台133に移載する。この移載作業中には、第一の搬送室40側のゲートバルブ134は閉じられており、第一の搬送室110の負圧は維持されている。ここで、大気搬送モジュールがポッド101を載置するロードポートと、大気圧下で基板1を搬送する大気搬送室としての第二の搬送室120と、基板予備室としての予備室兼冷却室131,141とで構成され、基板1は大気搬送モジュール内で大気圧にて搬送される。 Next, the gate valve 130 is opened, it is carried into the first preliminary chamber / cooling chamber 131, and the substrate 1 is transferred to the substrate stand 133. During this transfer operation, the gate valve 134 on the first transfer chamber 40 side is closed, and the negative pressure in the first transfer chamber 110 is maintained. Here, a load port on which the atmospheric transfer module places the pod 101, a second transfer chamber 120 as an atmospheric transfer chamber for transferring the substrate 1 under atmospheric pressure, and a spare / cooling chamber 131 as a substrate preliminary chamber. 141, and the substrate 1 is transported at atmospheric pressure in the atmospheric transport module.
 基板1の基板待機台133への移載が完了すると、ゲートバルブ130が閉じられ、第一の予備室兼冷却室131が排気装置(図示せず)によって負圧に排気される。 When the transfer of the substrate 1 to the substrate stand 133 is completed, the gate valve 130 is closed, and the first preliminary chamber / cooling chamber 131 is exhausted to a negative pressure by an exhaust device (not shown).
 第一の予備室兼冷却室131が負圧に排気されると同時に、第二のウェハ移載機122はノッチ合せ装置107から基板1をピックアップし、ゲートバルブ140を開けて第二の予備室兼冷却室141に搬入し、基板1を基板待機台143に移載する。そしてゲートバルブ140を閉じ、第二の予備室兼冷却室141を排気装置(図示せず)によって負圧に排気する。 At the same time as the first preliminary chamber / cooling chamber 131 is evacuated to a negative pressure, the second wafer transfer device 122 picks up the substrate 1 from the notch aligner 107 and opens the gate valve 140 to open the second preliminary chamber. It is carried into the cum cooling chamber 141 and the substrate 1 is transferred to the substrate stand 143. Then, the gate valve 140 is closed, and the second preliminary chamber / cooling chamber 141 is exhausted to a negative pressure by an exhaust device (not shown).
 第二のウェハ移載機122により、上述のようにポッド101から前記第二の搬送室120を介して基板予備室である予備室兼冷却室131,141までの基板搬送が繰り返し実行される。しかしながら、第一の予備室兼冷却室131及び第二の予備室兼冷却室141が負圧の場合は、第一の予備室兼冷却室131及び第二の予備室兼冷却室141への基板1の搬入を実行せずに第一の予備室兼冷却室131及び第二の予備室兼冷却室141の直前の所定の位置で停止する。 The substrate transfer from the pod 101 to the preliminary chambers / cooling chambers 131 and 141, which are substrate preliminary chambers, is repeatedly executed by the second wafer transfer device 122 through the second transfer chamber 120 as described above. However, when the first preliminary chamber / cooling chamber 131 and the second preliminary chamber / cooling chamber 141 have negative pressure, the substrate to the first preliminary chamber / cooling chamber 131 and the second preliminary chamber / cooling chamber 141 is used. 1 is stopped at a predetermined position immediately before the first preliminary chamber / cooling chamber 131 and the second preliminary chamber / cooling chamber 141 without executing the first loading.
 第一の予備室兼冷却室131が予め設定された圧力値に減圧されると、ゲートバルブ134が開かれる。続いて第一の搬送室40の第一のウェハ移載機112は基板待機台133から基板1をピックアップする。ピックアップ後、ゲートバルブ134を閉じて第一の基板予備室兼冷却室131を大気圧に戻し、次の基板1を搬入するための準備をする。 When the first preliminary chamber / cooling chamber 131 is depressurized to a preset pressure value, the gate valve 134 is opened. Subsequently, the first wafer transfer machine 112 in the first transfer chamber 40 picks up the substrate 1 from the substrate standby table 133. After the pickup, the gate valve 134 is closed, the first substrate preliminary chamber / cooling chamber 131 is returned to the atmospheric pressure, and preparations for loading the next substrate 1 are made.
 ゲートバルブ134を閉じて第一の基板予備室兼冷却室131を大気圧に復帰させるのと同時に、第一の処理室150のゲートバルブ160を開き、ウェハ移載機112が基板1を第一の処理室150に搬入する。そして第一の処理室150内にガス供給装置(図示せず)から処理ガスが供給され、所望の処理が基板1に施される。 At the same time as the gate valve 134 is closed to return the first substrate preliminary chamber / cooling chamber 131 to atmospheric pressure, the gate valve 160 of the first processing chamber 150 is opened, and the wafer transfer device 112 moves the substrate 1 to the first. Into the processing chamber 150. Then, processing gas is supplied into the first processing chamber 150 from a gas supply device (not shown), and a desired processing is performed on the substrate 1.
 続いて、第二の予備室兼冷却室141が予め設定された圧力値に減圧されると、ゲートバルブ144が開かれる。続いて第一の搬送室40の第一のウェハ移載機112は基板待機台143から基板1をピックアップする。 Subsequently, when the second preliminary chamber / cooling chamber 141 is depressurized to a preset pressure value, the gate valve 144 is opened. Subsequently, the first wafer transfer device 112 in the first transfer chamber 40 picks up the substrate 1 from the substrate standby table 143.
 ピックアップ後、ゲートバルブ144を閉じて第二の予備室兼冷却室141を大気圧に戻し、次の基板1を搬入するための準備をする。 After picking up, the gate valve 144 is closed, the second preliminary chamber / cooling chamber 141 is returned to atmospheric pressure, and preparations for loading the next substrate 1 are made.
 ゲートバルブ144を閉じて第二の予備室兼冷却室141を大気圧に復帰させるのと同時に、第二の処理室151のゲートバルブ161を開き、第一のウェハ移載機112が基板1を第二の処理室151に搬入する。そして、第二の処理室151内にガス供給装置(図示せず)から処理ガスが供給され、所望の処理が基板1に施される。 Simultaneously with closing the gate valve 144 and returning the second preparatory chamber / cooling chamber 141 to atmospheric pressure, the gate valve 161 of the second processing chamber 151 is opened, and the first wafer transfer machine 112 removes the substrate 1. It is carried into the second processing chamber 151. Then, a processing gas is supplied from a gas supply device (not shown) into the second processing chamber 151, and a desired process is performed on the substrate 1.
 以下、同様にして第三の処理室152、第四の処理室153に基板1を搬入し、所望の処理を施す。 Hereinafter, similarly, the substrate 1 is carried into the third processing chamber 152 and the fourth processing chamber 153, and desired processing is performed.
 第一の処理室150において所望の処理が終了したら、第一のウェハ移載機112は第一の処理室150から搬出した基板1を第一の予備室兼冷却室131へ搬出する。このとき、第一の予備室兼冷却室131に未処理の基板1が存在する場合、第一のウェハ移載機は前記未処理基板1を第一の予備室兼冷却室131から第一の搬送室40へ搬出する。 When the desired processing is completed in the first processing chamber 150, the first wafer transfer machine 112 carries the substrate 1 unloaded from the first processing chamber 150 to the first preliminary chamber / cooling chamber 131. At this time, when the unprocessed substrate 1 exists in the first preliminary chamber / cooling chamber 131, the first wafer transfer machine moves the unprocessed substrate 1 from the first preliminary chamber / cooling chamber 131 to the first. It is carried out to the transfer chamber 40.
 そしてゲートバルブ134を閉じ、ほぼ同時に第一の予備室兼冷却室131に接続された不活性ガス供給装置(図示せず)から不活性ガスを導入し、第一の予備室兼冷却室131内の圧力を大気圧に戻す。 Then, the gate valve 134 is closed and an inert gas is introduced from an inert gas supply device (not shown) connected to the first preliminary chamber / cooling chamber 131 almost at the same time. Return the pressure to atmospheric pressure.
 第一の予備室兼冷却室131において、第一の基板予備室兼冷却室131内の圧力が大気圧に戻されると、ゲートバルブ130が開かれる。続いて、第二の搬送室120の第二のウェハ移載機122は基板待機台132から処理済の基板1をピックアップして第二の搬送室120に搬出し、ゲートバルブ130を閉じる。そして、第二の搬送室120のウェハ搬入搬出口104を通してポッド101に収納する。以上の動作が繰り返されることにより、基板1が25枚ずつ順次、処理されていく。 In the first preliminary chamber / cooling chamber 131, when the pressure in the first substrate preliminary chamber / cooling chamber 131 is returned to the atmospheric pressure, the gate valve 130 is opened. Subsequently, the second wafer transfer device 122 in the second transfer chamber 120 picks up the processed substrate 1 from the substrate stand 132 and carries it out to the second transfer chamber 120, and closes the gate valve 130. Then, it is stored in the pod 101 through the wafer loading / unloading port 104 of the second transfer chamber 120. By repeating the above operations, 25 substrates 1 are sequentially processed.
 ポッド101内の全ての基板1に所望の処理が行われ、処理済の25枚のポッド101への収納が完了すると、ポッド101のキャップとウェハ搬入搬出口104を閉塞する蓋105がポッドオープナ103によって閉じられる。閉じられたポッド101はIOステージ100上から次の工程へ工程内搬送装置によって搬送されていく。 When the desired processing is performed on all the substrates 1 in the pod 101 and the storage into the 25 processed pods 101 is completed, the cap 105 of the pod 101 and the lid 105 that closes the wafer loading / unloading port 104 are replaced with the pod opener 103. Closed by. The closed pod 101 is transferred from the IO stage 100 to the next process by the in-process transfer apparatus.
(コントローラ)コントローラ500は、後述の基板処理工程を行うように、上述の各部を制御する。 (Controller) The controller 500 controls each of the above-described units so as to perform a substrate processing process described later.
図7に示すように、制御部(制御手段)であるコントローラ500は、CPU(Central Processing Unit)500a、RAM(Random Access Memory)500b、記憶装置500c、I/Oポート500dを備えたコンピュータとして構成されている。RAM500b、記憶装置500c、I/Oポート500dは、内部バス500eを介して、CPU500aとデータ交換可能なように構成されている。コントローラ500には、例えばタッチパネル等として構成された入出力装置501が接続されている。 As shown in FIG. 7, the controller 500 as a control unit (control means) is configured as a computer including a CPU (Central Processing Unit) 500a, a RAM (Random Access Memory) 500b, a storage device 500c, and an I / O port 500d. Has been. The RAM 500b, the storage device 500c, and the I / O port 500d are configured to exchange data with the CPU 500a via the internal bus 500e. For example, an input / output device 501 configured as a touch panel or the like is connected to the controller 500.
 記憶装置500cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置500c内には、基板処理装置10の動作を制御する制御プログラムや、後述する基板処理の手順や条件などが記載されたプロセスレシピ等が、読み出し可能に格納されている。なお、プロセスレシピは、後述する基板処理工程における各手順をコントローラ500に実行させ、所定の結果を得ることができるように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単にプログラムともいう。なお、本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。また、RAM500bは、CPU500aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。 The storage device 500c includes, for example, a flash memory, a HDD (Hard Disk Drive), and the like. In the storage device 500c, a control program for controlling the operation of the substrate processing apparatus 10, a process recipe in which a procedure and conditions for substrate processing described later, and the like are stored are readable. Note that the process recipe is a combination of functions so that a predetermined result can be obtained by causing the controller 500 to execute each procedure in a substrate processing step to be described later, and functions as a program. Hereinafter, the process recipe, the control program, and the like are collectively referred to as simply a program. When the term “program” is used in this specification, it may include only a process recipe alone, may include only a control program alone, or may include both. The RAM 500b is configured as a memory area (work area) in which programs, data, and the like read by the CPU 500a are temporarily stored.
 I/Oポート500dは、上述の基板支持ピン上下機構11、発熱体電源253、APC59、MFC16a、16b、開閉弁18a、18b、排気ポンプ51、大気搬送ロボット122、ゲートバルブ9等、真空アームロボットユニット112等に接続されている。 The I / O port 500d is a vacuum arm robot such as the above-described substrate support pin up-and-down mechanism 11, heating element power supply 253, APC 59, MFCs 16a and 16b, on-off valves 18a and 18b, exhaust pump 51, atmospheric transfer robot 122, gate valve 9 and the like. It is connected to the unit 112 and the like.
 CPU500aは、記憶装置500cから制御プログラムを読み出して実行すると共に、入出力装置501からの操作コマンドの入力等に応じて記憶装置500cからプロセスレシピを読み出すように構成されている。そして、CPU500aは、読み出したプロセスレシピの内容に沿うように、基板支持ピン上下機構11による支持ピン4の上下動作、温度調整部による基板1の加熱・冷却動作、APC59による圧力調整動作、マスフロコントローラ16a、16bと開閉弁18a、18bによる処理ガスの流量調整動作、等を制御するように構成されている。なお、図7において、破線にて囲まれている、例えばロボット回転部や大気搬送ロボット等の構成を設けても良いのはもちろんである。 The CPU 500a is configured to read and execute a control program from the storage device 500c, and to read a process recipe from the storage device 500c in response to an operation command input from the input / output device 501. Then, the CPU 500a performs the vertical movement of the support pins 4 by the substrate support pin vertical mechanism 11, the heating / cooling operation of the substrate 1 by the temperature adjustment unit, the pressure adjustment operation by the APC 59, the mass flow so as to follow the contents of the read process recipe. The controller 16a, 16b and the on-off valves 18a, 18b are configured to control the flow rate adjustment operation of the processing gas, and the like. In FIG. 7, for example, a configuration such as a robot rotating unit or an atmospheric transfer robot surrounded by a broken line may be provided.
 なお、コントローラ500は、外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリ(USB Flash Drive)やメモリカード等の半導体メモリ)125に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。記憶装置500cや外部記憶装置125は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置500c単体のみを含む場合、外部記憶装置125単体のみを含む場合、または、その両方を含む場合がある。なお、コンピュータへのプログラムの提供は、外部記憶装置125を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。 The controller 500 includes an external storage device (for example, a magnetic tape, a magnetic disk such as a flexible disk and a hard disk, an optical disk such as a CD and a DVD, a magneto-optical disk such as an MO, a USB memory (USB Flash Drive), a memory card, and the like. The above-mentioned program stored in the (semiconductor memory) 125 can be configured by installing it in a computer. The storage device 500c and the external storage device 125 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. When the term “recording medium” is used in this specification, it may include only the storage device 500c alone, may include only the external storage device 125 alone, or may include both. The program may be provided to the computer using a communication unit such as the Internet or a dedicated line without using the external storage device 125.
(2)基板処理工程 続いて、図8を用いて、実施例にかかる半導体製造工程の一工程として実施される基板処理工程について説明する。かかる工程は、上述の基板処理装置10により実施される。なお、以下の説明において、基板処理装置10を構成する各部の動作は、コントローラ500により制御される。 (2) Substrate Processing Step Next, a substrate processing step that is performed as one step of the semiconductor manufacturing process according to the embodiment will be described with reference to FIG. Such a process is performed by the substrate processing apparatus 10 described above. In the following description, the operation of each unit constituting the substrate processing apparatus 10 is controlled by the controller 500.
 本実施形態における基板処理工程は、後述する搬入工程S10、圧力調整工程S20、除去工程S30、パージ工程S40、搬出工程S50を有する。また、本実施形態における基板処理方法は、少なくとも表面の一部にSi膜を有する基板を処理室に収容する工程(搬入工程S10)と、前記基板に処理ガスを供給し、前記Si膜を除去する工程(除去工程S30)と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する排気工程(パージ工程S40)と、を少なくとも有し、前記Si膜を除去する工程(S30)と前記排気工程(S40)を一サイクルとし、該サイクルを繰り返し実行する。更に、圧力調整工程(S20)からパージ工程(S40)を一サイクルとし、該サイクルを繰り返し実行するようにしてもよい。 The substrate processing step in the present embodiment includes a carry-in step S10, a pressure adjustment step S20, a removal step S30, a purge step S40, and a carry-out step S50 which will be described later. Further, the substrate processing method in the present embodiment includes a step (loading step S10) of storing a substrate having a Si film on at least a part of the surface in a processing chamber, supplying a processing gas to the substrate, and removing the Si film. At least a removal step (S30) and an exhaust step (purge step S40) for removing by-products generated by the reaction between the Si film and the processing gas, and removing the Si film. The step (S30) and the exhaust step (S40) are defined as one cycle, and the cycle is repeatedly executed. Further, the pressure adjustment step (S20) to the purge step (S40) may be set as one cycle, and the cycle may be repeatedly executed.
(基板の搬入工程S10) まず、図2に示すように、シリコン含有膜を有する基板1が、基板搬送室40から基板搬送ロボットによって、搬送口8を介して、処理室50内に搬入される。処理室50内に搬入された基板1は、支持ピン4上に載置される。 (Substrate Loading Step S10) First, as shown in FIG. 2, the substrate 1 having a silicon-containing film is transferred from the substrate transfer chamber 40 into the processing chamber 50 via the transfer port 8 by the substrate transfer robot. . The substrate 1 carried into the processing chamber 50 is placed on the support pins 4.
(処理室内の圧力調整工程S20)次に、基板支持ピン上下機構11を下降させ、基板1をサセプタ2上に載置する。ここで基板支持ピン上下機構11の昇降は、昇降駆動部により昇降されることで行われる。サセプタ2に具備された温度調整部は、予め所定の温度に設定されており、基板1を室温程度になる様に調整する。続いて、コントローラ500は、サセプタ2、或いはサセプタ2及び基板支持ピン上下機構11を上昇させ、サセプタ2を基板処理位置Bへ移動し、サセプタ2上に基板1が載置されるようにする。 (Pressure adjusting step S20 in the processing chamber) Next, the substrate support pin vertical mechanism 11 is lowered, and the substrate 1 is placed on the susceptor 2. Here, the raising / lowering of the substrate support pin raising / lowering mechanism 11 is performed by being raised / lowered by the raising / lowering driving unit. The temperature adjusting unit provided in the susceptor 2 is set in advance to a predetermined temperature, and adjusts the substrate 1 to be about room temperature. Subsequently, the controller 500 raises the susceptor 2 or the susceptor 2 and the substrate support pin vertical mechanism 11 to move the susceptor 2 to the substrate processing position B so that the substrate 1 is placed on the susceptor 2.
また、ここでは、処理室内が圧力調整される。具体的には、本実施例ではエッチングガスの他に添加ガスとしてN2ガスを混合した混合ガスを、処理ガスとしてガス供給管6からガス導入部5を介して基板1に供給している。すなわち、開閉弁18a,18bを開くと共に、MFC16a,16bを制御して、ガス供給源17a、17bからそれぞれエッチングガスとN2ガスを処理ガスとしてガス導入部5へ供給する。また、処理ガスの供給と同時にAPC59を制御して、排気量を調整することにより、処理室50内の圧力を所定の圧力に維持する。 Here, the pressure in the processing chamber is adjusted. Specifically, in the present embodiment, a mixed gas obtained by mixing N 2 gas as an additive gas in addition to the etching gas is supplied as a processing gas from the gas supply pipe 6 to the substrate 1 through the gas introduction unit 5. That is, the on-off valves 18a and 18b are opened and the MFCs 16a and 16b are controlled to supply the etching gas and the N2 gas from the gas supply sources 17a and 17b as processing gases to the gas introduction unit 5, respectively. Further, the pressure in the processing chamber 50 is maintained at a predetermined pressure by controlling the APC 59 simultaneously with the supply of the processing gas to adjust the exhaust amount.
(シリコン膜除去工程S30)  (Silicon film removal step S30)
 次に、ガス供給管6からガス導入部5を介して基板1に供給されると、所定のエッチングガスを含む処理ガスが、基板1の表面上に形成されたシリコン層(シリコン膜)のエッチングを行う。ここで、エッチングされるシリコン層とは、Si元素で構成される層であり、例えばポリシリコン(Poly-Si)やアモルファスシリコン(a-Si)、単結晶シリコン(c-Si)などである。 Next, when the gas is supplied from the gas supply pipe 6 to the substrate 1 through the gas introduction unit 5, a processing gas containing a predetermined etching gas is used to etch the silicon layer (silicon film) formed on the surface of the substrate 1. I do. Here, the silicon layer to be etched is a layer composed of Si element, such as polysilicon (Poly-Si), amorphous silicon (a-Si), single crystal silicon (c-Si), or the like.
ここで、エッチングガスにIF7を使用した時の最適事例を説明する。処理条件は、基板温度が室温以下の範囲、好ましくは5~15℃の範囲、処理室内の圧力が、例えば、0.1~10000Paに維持される。更に、IF7ガスの流量が0.1~5SLM程度の範囲の内、好ましくは0.2slm~1slm、不活性ガスであるN2ガスの流量が0slm~10slmの範囲とする。本実施の形態では、IF7ガスの流量は、0.4slmで、不活性ガスの流量は、0.3slmである。このとき処理ガスのIF7分圧は、300Pa以上の範囲となるように構成される。但し、1回の処理時間(エッチング時間)は、エッチング対象膜、Si膜の膜厚、ウェハ1上に形成されたパターン形状などにより適宜設定される。そして、IF7ガスは、上述の処理条件に維持した状態で、Si膜を選択的に除去させることができる。ここで、選択的とは、例えば、Si膜のエッチングレートを他の膜(例えば、SiO膜、SiN膜、金属膜等)のエッチングレートよりも高くすることを言う。 Here, an optimal case when IF7 is used as an etching gas will be described. The processing conditions are such that the substrate temperature is in the range of room temperature or lower, preferably in the range of 5 to 15 ° C., and the pressure in the processing chamber is maintained at, for example, 0.1 to 10000 Pa. Further, the flow rate of IF7 gas is in the range of about 0.1 to 5 SLM, preferably 0.2 slm to 1 slm, and the flow rate of N2 gas, which is an inert gas, is in the range of 0 slm to 10 slm. In the present embodiment, the flow rate of IF7 gas is 0.4 slm, and the flow rate of inert gas is 0.3 slm. At this time, the IF7 partial pressure of the processing gas is configured to be in a range of 300 Pa or more. However, one processing time (etching time) is appropriately set according to the etching target film, the film thickness of the Si film, the pattern shape formed on the wafer 1, and the like. The IF film can selectively remove the Si film while maintaining the above processing conditions. Here, “selective” means, for example, that the etching rate of the Si film is made higher than the etching rate of other films (for example, SiO film, SiN film, metal film, etc.).
なお、IF7ガスは、プラズマ化することなく室温付近でSi膜のエッチング(除去)が可能であり、その反応過程は次式で示される。Si(固体) + 2IF7(気体) → SiF4(気体) + 2IF5(気体) 上式でエッチングの反応生成物であるIF5は前述の処理条件では気体として排出されるが、微量水分や酸素と反応してIxOyFz(x、y、zは整数)で示されるヨウ素酸化、フッ化物(以下、2次副生成物という)を形成することがある。2次副生成物は前述の処理条件では固体で存在し、分解に100℃以上の温度が必要な場合が多い(I2O5:常圧で300℃)。このため、一旦処理室で形成されてしまうとエッチング処理中は除去されることなく堆積量が増す一方であり、何れはパーティクル検出可能なレベルにまで成長する。なお、微少水分や酸素は基板に付着して処理室内に持ち込まれる場合もあるため、製造装置で対策出来ることには限界があり、2次副生成物を防止するにはエッチング処理後は反応生成物の濃度を一定以下に管理したうえで次の基板処理を行うことが重要である。 The IF7 gas can etch (remove) the Si film near room temperature without being converted to plasma, and the reaction process is represented by the following equation. Si (solid) + 2IF7 (gas) → SiF4 (gas) + 2IF5 (gas) In the above equation, the etching reaction product IF5 is discharged as a gas under the processing conditions described above, but reacts with trace amounts of moisture and oxygen. In some cases, iodine oxidation represented by IxOyFz (x, y, and z are integers) and fluoride (hereinafter referred to as secondary by-products) may be formed. Secondary by-products exist as solids under the above-described processing conditions, and decomposition often requires a temperature of 100 ° C. or higher (I 2 O 5: 300 ° C. at normal pressure). For this reason, once it is formed in the processing chamber, it is not removed during the etching process, but the amount of deposition increases, and eventually grows to a level at which particles can be detected. Note that minute moisture and oxygen may adhere to the substrate and be brought into the processing chamber, so there are limits to what can be done with the manufacturing equipment. To prevent secondary by-products, reaction generation occurs after etching. It is important to carry out the next substrate processing after controlling the concentration of the object below a certain level.
 (パージ工程S40)コントローラ500は、除去工程S30を終えたら処理ガスの供給を停止し、処理容器30(若しくは基板処理室50)の雰囲気を排気するよう制御し、エッチング処理(除去工程)に用いられたエッチングガスは、処理室50の側面に設けられた環状路14と連通した排気口7より排出される。そして、処理室内50の圧力が到達圧力まで減圧される。そして、コントローラ500は、除去工程S30で除去されたエッチング量 (より詳しくは、圧力調整工程S20~パージ工程S40における1回のエッチング量)を加算し、規定量を超えるまで、圧力調整工程S20~パージ工程S40を繰り返すよう構成されている。 (Purge step S40) The controller 500 stops supplying the processing gas after the removal step S30 and controls to exhaust the atmosphere of the processing container 30 (or the substrate processing chamber 50), and is used for the etching process (removal step). The etching gas thus discharged is discharged from the exhaust port 7 communicating with the annular passage 14 provided on the side surface of the processing chamber 50. Then, the pressure in the processing chamber 50 is reduced to the ultimate pressure. Then, the controller 500 adds the etching amount で removed in the removal step S30 (more specifically, one etching amount in the pressure adjustment step S20 to the purge step S40), and the pressure adjustment step S20˜ The purge process S40 is configured to be repeated.
また、パージ工程S40を不活性ガスによるパージする第1パージ工程と真空排気する第2パージ工程の2つの工程でパージしてもよい。また、この第1パージ工程及び第2パージ工程を圧力が到達圧力(0.1Pa)になるまで繰り返すように構成してもよい。 In addition, the purge step S40 may be purged in two steps, a first purge step for purging with an inert gas and a second purge step for evacuating. Further, the first purge process and the second purge process may be repeated until the pressure reaches the ultimate pressure (0.1 Pa).
(基板搬出工程S50) ウエハ1が搬送可能な温度まで冷却され、処理室50から搬出する準備が整ったら、上述の基板搬入工程S10の逆の手順で搬出する。 (Substrate Unloading Step S50) When the wafer 1 is cooled to a temperature at which the wafer 1 can be transferred and ready to be unloaded from the processing chamber 50, the substrate 1 is unloaded by the reverse procedure of the substrate loading step S10.
(実施例)図10に、上述の本実施の形態におけるサイクルエッチングを行った場合の処理ガス流量と処理室圧力の関係を示す。S10が基板(ウエハ1)を処理室50に搬入する工程、S20が処理室内圧力を調整する工程、S30が処理ガス(エッチングガス)を処理室50内に供給してSi膜を除去する工程、S40が処理室内の雰囲気を排気するパージ工程、S50が基板(ウエハ1)を処理室50から搬出する工程である。横軸が時間軸で、縦軸の上側がエッチングガス(処理ガス)の流量、縦軸の下側が処理室内の圧力を示す。 (Example) FIG. 10 shows the relationship between the processing gas flow rate and the processing chamber pressure when the cycle etching in the above-described embodiment is performed. S10 carries the substrate (wafer 1) into the processing chamber 50, S20 adjusts the pressure in the processing chamber, S30 supplies the processing gas (etching gas) into the processing chamber 50 and removes the Si film, S40 is a purge step for exhausting the atmosphere in the processing chamber, and S50 is a step for unloading the substrate (wafer 1) from the processing chamber 50. The horizontal axis represents the time axis, the upper side of the vertical axis represents the flow rate of the etching gas (processing gas), and the lower side of the vertical axis represents the pressure in the processing chamber.
図示されていないが、図10における本実施例において処理温度は一定で有り、この処理温度は、100℃よりも低い所定温度で維持される。例えば、処理ガス単独で供給してもよいし、また、不活性ガスによる希釈ガスを処理ガスと混合させて供給してもよい。 Although not shown, the processing temperature is constant in this embodiment in FIG. 10, and this processing temperature is maintained at a predetermined temperature lower than 100 ° C. For example, the processing gas may be supplied alone, or a diluent gas with an inert gas may be mixed with the processing gas and supplied.
また、図10における本実施例において、一サイクル毎に真空到達圧力まで排気されているが、最終的にN回サイクルが終わった後、到達真空圧力レベルまで排気できればよく、つまり、エッチング処理後、到達真空圧力レベルまで排気できればよい。寧ろ、一サイクルからN-1サイクルまでは、処理室へのガス供給及び処理室からのガス排気に時間をかけないようにするのが好ましい。 Further, in the present embodiment in FIG. 10, the exhaust pressure is exhausted to the vacuum ultimate pressure every cycle, but it is sufficient that the exhaust gas can be exhausted to the ultimate vacuum pressure level after the end of the N cycles, that is, after the etching process, It only needs to be able to exhaust to the ultimate vacuum pressure level. Rather, it is preferable not to spend time for gas supply to the process chamber and gas exhaust from the process chamber from cycle 1 to cycle N-1.
本実施例によると、エッチング膜厚の変化などに伴い、従来よりもエッチングの反応生成物が多く発生する条件においても、最小のエッチング膜厚時(以下、単位膜厚という)の反応生成物の排気条件を最適化しておき、Nサイクル=エッチング膜厚/単位膜厚で算出されるサイクル数を繰り返すことで、反応生成物の濃度上昇を抑制し、処理室および基板上に残渣が堆積することを防止する。 According to the present embodiment, the reaction product at the time of the minimum etching film thickness (hereinafter referred to as the unit film thickness) is obtained even under the condition that more etching reaction products are generated than in the past due to changes in the etching film thickness. By optimizing the exhaust conditions and repeating the number of cycles calculated by N cycles = etching film thickness / unit film thickness, the increase in the concentration of reaction products is suppressed, and residues are deposited on the processing chamber and the substrate. To prevent.
(本実施形態に係る効果) 本実施形態によれば、以下(a)乃至(c)に記載された効果のうち少なくとも1つまたは複数の効果を奏する。 (Effects According to this Embodiment) According to this embodiment, at least one or more of the effects described in the following (a) to (c) are exhibited.
(a)Si膜を有する基板の表面に処理ガスを供給する処理と処理ガスを処理室内から排出するパージ工程を繰り返し行うことで、Si膜を効率よく除去することができ、対象のSi膜の膜厚が大きくなっても基板上及び処理室に反応生成物の残渣が残らないようにすることができる。 (A) By repeatedly performing a process of supplying a processing gas to the surface of the substrate having the Si film and a purging process of discharging the processing gas from the processing chamber, the Si film can be efficiently removed, and the target Si film Even when the film thickness increases, reaction product residues can be prevented from remaining on the substrate and the processing chamber.
(b)処理室内を真空到達圧力まで排気する処理と、Si膜を有する基板の全面にガスを供給する処理と、処理ガスを処理室内から排出するパージ工程を繰り返し行うことで、Si膜を効率よく除去することができ、膜厚が大きくなっても基板上に反応生成物の残渣が残らないようにすることができる。 (B) The process of exhausting the process chamber to a vacuum pressure, the process of supplying a gas to the entire surface of the substrate having the Si film, and the purge process of exhausting the process gas from the process chamber are repeatedly performed, thereby making the Si film more efficient. It can be removed well, and the residue of the reaction product can be prevented from remaining on the substrate even when the film thickness is increased.
(c)また、パージ工程を、不活性ガスを供給する第1パージ工程と、到達真空圧力まで真空引きする第2パージ工程と2段階にすることで、基板上に発生する副生成物の除去効率を向上させることができる。 (C) Further, by removing the by-product generated on the substrate by performing the purge process in two stages, a first purge process for supplying an inert gas and a second purge process for evacuating to the ultimate vacuum pressure. Efficiency can be improved.
<本発明の他の実施形態> 以上、本発明の実施形態を具体的に説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。 <Other Embodiments of the Present Invention> The embodiments of the present invention have been specifically described above. However, the present invention is not limited to the above-described embodiments, and various modifications can be made without departing from the scope of the present invention. is there.
<本発明におけるその他の効果>従来から、更なる高集積化を図る為にパターンの微細化が進められているが、微細化したパターン固有の問題が生じている。その一例として、ウェットエッチング時の液体の表面張力によるパターン倒れが挙げられる。例えば、Si膜の除去工程では、水酸化テトラメチルアンモニウム水溶液(TMAH)等によるエッチング後に純水洗浄し、純水より表面張力の小さいイソプロピルアルコール(IPA)で置換ながら乾燥を行うことで洗浄液の表面張力によるパターンの倒壊を防止していた。しかしながら、この方法を用いてもパターンの倒壊を防ぎきれなくなるほど、今後、パターンの微細化が進むとみられる。このパターン倒壊を解決する手段として、本発明における処理ガスによってSi膜の除去する試みがある。このドライエッチングによれば、今後のパターンの微細化に適用できる。 <Other Effects of the Present Invention> Conventionally, pattern miniaturization has been promoted in order to achieve higher integration, but problems inherent to the miniaturized pattern have arisen. One example is pattern collapse due to the surface tension of the liquid during wet etching. For example, in the Si film removal process, pure water cleaning is performed after etching with tetramethylammonium hydroxide aqueous solution (TMAH) and the like, and the surface of the cleaning liquid is dried by replacing with isopropyl alcohol (IPA) having a surface tension smaller than that of pure water. It prevented the pattern from collapsing due to tension. However, it is expected that pattern miniaturization will proceed in the future so that even if this method is used, the pattern cannot be prevented from collapsing. As a means for solving this pattern collapse, there is an attempt to remove the Si film with the processing gas in the present invention. This dry etching can be applied to pattern miniaturization in the future.
また、NANDフラシュメモリなどの3D構造のデバイス製造において、従来のプラズマを用いたエッチングでは、ポリシリコン(Poly-Si)膜をポリシリコン以外の膜(例えば、シリコン酸化(SiO2)膜、シリコン窒化(SiN)膜、シリコン酸窒化(SiON)膜、カーボン(C)膜等)に対して高選択に除去することは困難であった。つまり、Poly-Si膜とSiO2膜の積層構造で貫通溝をくり抜いた後に側壁に露出したPoly-Si膜とSiO2膜の層のうち、Poly-Si膜のみをエッチングすることは、プラズマを用いた異方性エッチングでは、Poly-Si膜とPoly-Si以外の膜との選択性や、等方性エッチングが必要になる点から非常に困難であった。また、ハードマスク膜(例えば、カーボン膜等)との選択性の問題もあった。エッチングガス(処理ガス)によって、プラズマレスでエッチングすることにより、Si膜の除去を行う本発明におけるドライエッチングによれば、このような問題を解決することが期待できる。 Further, in the manufacture of a 3D device such as a NAND flash memory, in a conventional etching using plasma, a polysilicon (Poly-Si) film is replaced with a film other than polysilicon (for example, a silicon oxide (SiO 2) film, silicon nitride ( SiN) film, silicon oxynitride (SiON) film, carbon (C) film, etc.) are difficult to remove with high selectivity. In other words, plasma was used to etch only the Poly-Si film out of the Poly-Si film and SiO2 film layer exposed on the side wall after the through-groove was cut out in the laminated structure of the Poly-Si film and the SiO2 film. Anisotropic etching is very difficult because of the selectivity between the Poly-Si film and a film other than Poly-Si and the need for isotropic etching. There is also a problem of selectivity with a hard mask film (for example, a carbon film). According to the dry etching in the present invention in which the Si film is removed by etching without etching with an etching gas (processing gas), it is expected to solve such a problem.
 例えば、基板上に膜を形成する成膜装置や、基板を熱処理する熱処理装置などの基板処理装置においても適用可能である。また、基板の同時処理枚数、基板を保持する向き、希釈用ガスやパージ用ガスの種類、エッチング方法、基板処理室や加熱機構及び冷却機構の形状等で実施範囲を限定されるものではない。 For example, the present invention can also be applied to a substrate processing apparatus such as a film forming apparatus that forms a film on a substrate and a heat treatment apparatus that heat-treats the substrate. Further, the implementation range is not limited by the number of simultaneously processed substrates, the orientation of holding the substrate, the type of dilution gas or purge gas, the etching method, the shape of the substrate processing chamber, the heating mechanism, and the cooling mechanism.
 また、本発明は、本実施形態に係る基板処理装置のような半導体ウェハを処理する半導体製造装置などに限らず、ガラス基板を処理するLCD(Liquid Crystal Display)製造装置、太陽電池製造装置等の基板処理装置、MEMS(Micro Electro Mechanical Systems)製造装置にも適用できる。例えば、LCDを駆動させるトランジスタや、太陽電池に用いられる単結晶シリコン、多結晶シリコン、アモルファスシリコンを加工する処理にも適用することができる。 Further, the present invention is not limited to a semiconductor manufacturing apparatus that processes a semiconductor wafer such as the substrate processing apparatus according to the present embodiment, but an LCD (Liquid Crystal Display) manufacturing apparatus that processes a glass substrate, a solar cell manufacturing apparatus, or the like. The present invention can also be applied to a substrate processing apparatus and a MEMS (Micro Electro Mechanical Systems) manufacturing apparatus. For example, the present invention can be applied to a process for processing a transistor for driving an LCD or single crystal silicon, polycrystalline silicon, or amorphous silicon used for a solar battery.
<本発明の好ましい態様> 以下に、本発明の好ましい態様について付記する。 <Preferred Aspects of the Present Invention> Preferred aspects of the present invention will be additionally described below.
 (付記1)本発明の一態様によれば、少なくとも表面の一部にSi膜を有する基板を処理室に収容する工程と、前記基板に処理ガスを供給し、前記Si膜を除去する工程と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する排気工程と、を少なくとも有し、前記Si膜を除去する工程と前記排気工程を一サイクルとし、該サイクルを繰り返し実行する半導体装置の製造方法が提供される。 (Supplementary Note 1) According to one aspect of the present invention, a step of storing a substrate having a Si film on at least a part of its surface in a processing chamber, a step of supplying a processing gas to the substrate, and removing the Si film; And an exhaust process for removing by-products generated by the reaction between the Si film and the processing gas, wherein the process of removing the Si film and the exhaust process are defined as one cycle. A method of manufacturing a semiconductor device that is repeatedly executed is provided.
 (付記2)好ましくは、付記1記載の半導体装置の製造方法であって、更に、前記基板の温度を所定温度に維持する温度制御部を備え、前記Si膜を除去する工程では、前記所定温度を、100℃以下(好ましくは、室温程度)の温度に維持するよう構成されている。 (Supplementary note 2) Preferably, in the method of manufacturing a semiconductor device according to supplementary note 1, further comprising a temperature control unit for maintaining the temperature of the substrate at a predetermined temperature, and in the step of removing the Si film, the predetermined temperature Is maintained at a temperature of 100 ° C. or lower (preferably about room temperature).
 (付記3)好ましくは、付記1記載の半導体装置の製造方法であって、前記排気工程では、前記処理ガス及び前記副生成物の分圧が0.1Pa程度(到達真空圧力近傍)になるまで排気される。 (Appendix 3) Preferably, in the method of manufacturing a semiconductor device according to Appendix 1, in the exhaust process, the partial pressure of the process gas and the by-product is about 0.1 Pa (near the ultimate vacuum pressure). Exhausted.
(付記4)好ましくは、付記2または付記3記載の半導体装置の製造方法であって、前記排気工程は、前記処理ガス及び前記処理ガスによる反応生成物を処理室外に排気するための不活性ガスを供給する第1排気工程と、前記処理ガス及び前記副生成物の分圧が0.1Pa程度(到達真空圧力近傍)まで排気する第2排気工程と、を有する。 (Supplementary Note 4) Preferably, in the method of manufacturing a semiconductor device according to Supplementary Note 2 or Supplementary Note 3, the exhausting step includes an inert gas for exhausting the processing gas and a reaction product from the processing gas to the outside of the processing chamber. And a second exhaust process for exhausting the process gas and the by-product to a partial pressure of about 0.1 Pa (near the ultimate vacuum pressure).
(付記5)好ましくは、付記1記載の半導体装置の製造方法であって、前記処理ガス及び前記副生成物は、それぞれ分子量が200以上である。 (Appendix 5) Preferably, in the method for manufacturing a semiconductor device according to Appendix 1, the process gas and the by-product each have a molecular weight of 200 or more.
(付記6)好ましくは、付記1記載の半導体装置の製造方法であって、前記処理ガス供給工程では、前記処理ガスの分圧が300Pa以上の範囲で供給される。 (Appendix 6) Preferably, in the method of manufacturing a semiconductor device according to Appendix 1, in the process gas supply step, the partial pressure of the process gas is supplied in a range of 300 Pa or more.
(付記7)好ましくは、付記1記載の半導体装置の製造方法であって、前記処理ガスは、ハロゲン含有ガスが用いられ、フッ素(F)、塩素(Cl)、臭素(Br)、ヨウ素(I)、フッ化ヨウ素(IF)、三フッ化ヨウ素(IF)、五フッ化ヨウ素(IF)、七フッ化ヨウ素(IF)、フッ化臭素(BrF)、三フッ化臭素(BrF)、五フッ化臭素(BrF)、フッ化塩素(ClF)、三フッ化塩素(ClF)、五フッ化塩素(ClF)、フッ化水素(HF)、よりなる群から選択される一つ以上のハロゲン元素を含むガスである。 (Appendix 7) Preferably, in the method of manufacturing a semiconductor device according to Appendix 1, a halogen-containing gas is used as the processing gas, and fluorine (F 2 ), chlorine (Cl 2 ), bromine (Br), iodine (I), iodine fluoride (IF), iodine trifluoride (IF 3 ), iodine pentafluoride (IF 5 ), iodine heptafluoride (IF 7 ), bromine fluoride (BrF), bromine trifluoride ( Selected from the group consisting of BrF 3 ), bromine pentafluoride (BrF 5 ), chlorine fluoride (ClF), chlorine trifluoride (ClF 3 ), chlorine pentafluoride (ClF 5 ), hydrogen fluoride (HF) A gas containing one or more halogen elements.
(付記8)本発明の他の一態様によれば、少なくとも表面の一部にSi膜を有する基板に処理ガスを供給し、前記Si膜を除去する工程と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する除去工程と、を少なくとも有し、前記Si膜を除去する工程と前記排気工程を一サイクルとし、該サイクルを繰り返すエッチング方法が提供される。 (Supplementary note 8) According to another aspect of the present invention, a process gas is supplied to a substrate having a Si film on at least a part of its surface, and the Si film is removed. There is provided an etching method including at least a removal step of removing a by-product generated by the reaction, wherein the step of removing the Si film and the evacuation step are set as one cycle and the cycle is repeated.
(付記9)本発明の更に他の一態様によれば、少なくとも表面の一部にSi膜が形成された基板を処理する処理室と、前記処理室に処理ガスを供給する処理ガス供給系と、前記処理室から前記Si膜と前記処理ガスが反応することにより生成する副生成物を排気する排気系と、前記Si膜の除去と、副生成物の除去と、を一サイクルとし、該サイクルを繰り返すよう前記処理ガス供給系及び前記排気系を制御する制御部と、を有する基板処理装置が提供される。 (Supplementary Note 9) According to yet another aspect of the present invention, a processing chamber for processing a substrate having a Si film formed on at least a part of its surface, and a processing gas supply system for supplying a processing gas to the processing chamber, The exhaust system for exhausting by-products generated by the reaction of the Si film and the processing gas from the processing chamber, the removal of the Si film, and the removal of by-products are defined as one cycle. A substrate processing apparatus having a control unit for controlling the processing gas supply system and the exhaust system so as to repeat the above is provided.
(付記10)本発明の更に他の一態様によれば、少なくとも表面の一部にSi膜が形成された基板を処理する処理室と、前記処理室に処理ガスを供給する処理ガス供給系と、前記処理室から前記Si膜と前記処理ガスが反応することにより生成する副生成物を排気する排気系と、を有する基板処理装置の前記処理室に収容する手順と、前記基板に処理ガスを供給し、前記Si膜を除去する手順と、少なくとも前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する手順と、前記Si膜を除去する手順と前記副生成物を除去する手順を一サイクルとし、該サイクルを繰り返す手順と、を有するプログラムを実行させるコンピュータ読取可能な記録媒体が提供される。 (Supplementary Note 10) According to still another aspect of the present invention, a processing chamber for processing a substrate having a Si film formed on at least a part of a surface thereof, a processing gas supply system for supplying a processing gas to the processing chamber, An exhaust system for exhausting by-products generated by the reaction between the Si film and the processing gas from the processing chamber, and a procedure for accommodating the processing gas in the processing chamber of the substrate processing apparatus. A step of supplying and removing the Si film, a step of removing at least a by-product generated by a reaction between the Si film and the processing gas, a step of removing the Si film, and the by-product There is provided a computer-readable recording medium that executes a program having a procedure for removing one cycle and repeating the cycle.
(付記11)本発明の更に他の一態様によれば、基板を処理する処理室内の圧力及び少なくとも表面の一部にSi膜を有する基板の温度を予め設定された条件(圧力及び温度)にした状態で前記基板に処理ガスを供給し、前記Si膜を除去する工程と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する排気工程と、を少なくとも有し、前記Si膜を除去する工程と前記排気工程を一サイクルとし、該サイクルを繰り返し実行するエッチング方法が提供される。 (Supplementary Note 11) According to yet another aspect of the present invention, the pressure in the processing chamber for processing the substrate and the temperature of the substrate having the Si film on at least a part of the surface are set to predetermined conditions (pressure and temperature). And at least a process of supplying a processing gas to the substrate and removing the Si film, and an exhausting process of removing a by-product generated by the reaction of the Si film and the processing gas. There is provided an etching method in which the step of removing the Si film and the exhausting step are set as one cycle, and the cycle is repeatedly executed.
(付記12)本発明の更に他の一態様によれば、少なくとも表面の一部にSi膜を有する基板を処理室に収容する工程と、前記処理室内の圧力及び前記基板の温度を予め設定された条件(圧力及び温度)にする工程と、前記条件(圧力)で基板に処理ガスを供給し、前記条件(温度)を維持した状態で前記Si膜を除去する工程と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する排気工程と、を少なくとも有し、前記Si膜を除去する工程と前記排気工程を一サイクルとし、該サイクルを繰り返し実行する半導体装置の製造方法が提供される。 (Additional remark 12) According to still another aspect of the present invention, the step of accommodating the substrate having the Si film on at least a part of the surface in the processing chamber, and the pressure in the processing chamber and the temperature of the substrate are preset. A step of setting the conditions (pressure and temperature), supplying a processing gas to the substrate under the conditions (pressure), removing the Si film while maintaining the conditions (temperature), the Si film and the An exhaust process for removing by-products generated by the reaction of the processing gas, and the process for removing the Si film and the exhaust process are defined as one cycle, and the cycle is repeatedly executed. A manufacturing method is provided.
(付記13)本発明の更に他の一態様によれば、少なくとも表面の一部にSi膜が形成された基板を処理する処理室と、前記基板を所定の温度に維持する温度制御部と、前記処理室に処理ガスを供給する処理ガス供給系と、前記処理室から前記Si膜と前記処理ガスが反応することにより生成する副生成物を排気する排気系と、基板上の温度を所定の温度及び前記処理室内を所定の圧力にする処理と、前記所定の圧力で前記処理ガスを供給し、前記所定の温度に維持した状態で前記前記Si膜の除去する処理と、前記副生成物の除去し前記処理室内の圧力を前記所定の圧力にする処理と、を一サイクルとし、該サイクルを繰り返すよう前記温度制御部、前記処理ガス供給系及び前記排気系を制御する制御部と、を有する基板処理装置が提供される。 (Supplementary note 13) According to yet another aspect of the present invention, a processing chamber for processing a substrate having a Si film formed on at least a part of its surface, a temperature control unit for maintaining the substrate at a predetermined temperature, A processing gas supply system for supplying a processing gas to the processing chamber; an exhaust system for exhausting by-products generated by the reaction of the Si film and the processing gas from the processing chamber; A process of setting the temperature and the processing chamber to a predetermined pressure, a process of supplying the processing gas at the predetermined pressure, and removing the Si film while maintaining the predetermined temperature; A process for removing and setting the pressure in the processing chamber to the predetermined pressure, and a controller for controlling the temperature control unit, the processing gas supply system, and the exhaust system so as to repeat the cycle. Substrate processing equipment is provided .
(付記14)本発明の更に他の一態様によれば、少なくとも表面の一部にSi膜が形成された基板を処理する処理室と、前記処理室に処理ガスを供給する処理ガス供給系と、前記処理室から前記Si膜と前記処理ガスが反応することにより生成する副生成物を排気する排気系と、を有する基板処理装置の前記処理室に収容する手順と、前記処理室内の圧力及び前記基板の温度を予め設定された条件(圧力及び温度)にする手順と、前記条件(圧力)で前記基板に前記処理ガスを供給し、前記条件(温度)を維持した状態で前記Si膜を除去する手順と、少なくとも前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去して前記条件(圧力)にする手順と、前記予め設定された条件(圧力及び温度)にする手順、前記Si膜を除去する手順、及び前記条件(圧力)にする手順を一サイクルとし、該サイクルを繰り返す手順と、を有するプログラムを実行させるコンピュータ読取可能な記録媒体が提供される。 (Supplementary Note 14) According to still another aspect of the present invention, a processing chamber for processing a substrate having a Si film formed on at least a part of a surface thereof, a processing gas supply system for supplying a processing gas to the processing chamber, An exhaust system for exhausting by-products generated by the reaction of the Si film and the processing gas from the processing chamber, and a procedure for accommodating the processing chamber in the processing chamber, a pressure in the processing chamber, and A procedure for setting the temperature of the substrate to preset conditions (pressure and temperature), supplying the processing gas to the substrate under the condition (pressure), and maintaining the condition (temperature), the Si film A procedure for removing, a procedure for removing at least a by-product generated by the reaction of the Si film and the processing gas to the condition (pressure), and a condition (pressure and temperature) set in advance. To remove the Si film And a procedure for the condition (pressure) as one cycle, the procedure repeats the cycle computer-readable recording medium for executing a program having a are provided.
 特に、LCDを駆動させるトランジスタや太陽電池等のデバイス、メモリ等の半導体デバイス等に用いられる単結晶シリコン、多結晶シリコン、アモルファスシリコンを加工する基板処理に適用することができる。 In particular, the present invention can be applied to substrate processing for processing single crystal silicon, polycrystalline silicon, and amorphous silicon used in devices for driving LCDs, devices such as solar cells, semiconductor devices such as memories, and the like.
1    基板2    サセプタ5    シャワーヘッド6a   ガス供給ライン(第1ガス供給ライン)6b   ガス供給ライン12   不活性ガス供給ライン(第2ガス供給ライン)14   環状部材50   処理室 1 substrate 2 susceptor 5 showerhead 6a gas supply line (first gas supply line) 6b gas supply line 12 inert gas supply line (second gas supply line) 14 annular member 50 processing chamber

Claims (9)

  1. 少なくとも表面の一部にSi膜を有する基板を処理室に収容する工程と、前記基板に処理ガスを供給し、前記Si膜を除去する工程と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する排気工程と、を少なくとも有し、前記Si膜を除去する工程と前記排気工程を一サイクルとし、該サイクルを繰り返し実行する半導体装置の製造方法。 A step of accommodating a substrate having a Si film on at least a part of its surface in a processing chamber; a step of supplying a processing gas to the substrate to remove the Si film; and a reaction between the Si film and the processing gas. A method of manufacturing a semiconductor device, including at least an exhaust process for removing generated byproducts, wherein the process of removing the Si film and the exhaust process are performed as one cycle, and the cycle is repeatedly executed.
  2. 更に、前記基板の温度を所定温度に維持する工程を有し、前記Si膜を除去する工程は、前記所定温度が、100℃以下に維持されている請求項1記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 1, further comprising a step of maintaining the temperature of the substrate at a predetermined temperature, wherein the step of removing the Si film maintains the predetermined temperature at 100 ° C. or lower.
  3. 前記排気工程では、前記処理ガス及び前記副生成物の分圧が0.1Pa程度になるまで排気されるよう構成されている請求項1記載の半導体装置の製造方法。 2. The method of manufacturing a semiconductor device according to claim 1, wherein in the exhausting step, exhaust is performed until a partial pressure of the processing gas and the by-product reaches about 0.1 Pa. 3.
  4. 前記排気工程は、前記処理ガス及び前記処理ガスによる反応生成物を処理室外に排気するための不活性ガスを供給する第1排気工程と、前記処理ガス及び前記副生成物の分圧が0.1Pa程度まで排気する第2排気工程と、を有する請求項3記載の半導体装置の製造方法。 The exhaust process includes a first exhaust process for supplying an inert gas for exhausting the process gas and a reaction product from the process gas to the outside of the process chamber, and a partial pressure of the process gas and the by-product is 0. The method for manufacturing a semiconductor device according to claim 3, further comprising: a second exhaust process for exhausting to about 1 Pa.
  5. 前記処理ガス及び前記副生成物は、それぞれ分子量が200以上である請求項1記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 1, wherein each of the processing gas and the by-product has a molecular weight of 200 or more.
  6. 前記処理ガス供給工程では、前記処理ガスの分圧が300Paの範囲で供給されるよう構成されている請求項1記載の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 1, wherein the process gas supply step is configured to supply a partial pressure of the process gas in a range of 300 Pa.
  7. 少なくとも表面の一部にSi膜を有する基板に処理ガスを供給し、前記Si膜を除去する工程と、前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する除去工程と、を少なくとも有し、前記Si膜を除去する工程と前記排気工程を一サイクルとし、該サイクルを繰り返すエッチング方法。 Supplying a processing gas to a substrate having a Si film on at least a part of the surface and removing the Si film; and removing a by-product generated by the reaction of the Si film and the processing gas An etching method in which the Si film removal step and the evacuation step are one cycle, and the cycle is repeated.
  8. 少なくとも表面の一部にSi膜が形成された基板を処理する処理室と、前記処理室に処理ガスを供給する処理ガス供給系と、前記処理室から前記Si膜と前記処理ガスが反応することにより生成する副生成物を排気する排気系と、前記Si膜の除去と、副生成物の除去と、を一サイクルとし、該サイクルを繰り返すよう前記処理ガス供給系及び前記排気系を制御する制御部と、を有する基板処理装置。 A processing chamber for processing a substrate having a Si film formed on at least a part of its surface; a processing gas supply system for supplying a processing gas to the processing chamber; and the Si film and the processing gas react from the processing chamber. Control for controlling the processing gas supply system and the exhaust system so that the exhaust system for exhausting the by-product generated by the above, the removal of the Si film, and the removal of the by-product are set as one cycle, and the cycle is repeated. A substrate processing apparatus.
  9. コンピュータに、少なくとも表面の一部にSi膜が形成された基板を処理する処理室と、前記処理室に処理ガスを供給する処理ガス供給系と、前記処理室から前記Si膜と前記処理ガスが反応することにより生成する副生成物を排気する排気系と、を有する基板処理装置の前記処理室に収容する手順と、前記基板に処理ガスを供給し、前記Si膜を除去する手順と、少なくとも前記Si膜と前記処理ガスが反応することにより生成される副生成物を除去する手順と、前記Si膜を除去する手順と前記副生成物を除去する手順を一サイクルとし、該サイクルを繰り返す手順と、を有するプログラムを実行させるコンピュータ読取可能な記録媒体。 A processing chamber for processing a substrate having a Si film formed on at least a part of a surface thereof; a processing gas supply system for supplying a processing gas to the processing chamber; and the Si film and the processing gas from the processing chamber. An exhaust system for exhausting by-products generated by the reaction, a procedure for accommodating the substrate processing apparatus in the processing chamber, a procedure for supplying a processing gas to the substrate and removing the Si film, The procedure for removing the by-product generated by the reaction between the Si film and the processing gas, the procedure for removing the Si film, and the procedure for removing the by-product are defined as one cycle, and the cycle is repeated. And a computer-readable recording medium that causes a program to be executed.
PCT/JP2015/072124 2015-08-04 2015-08-04 Semiconductor device manufacturing method, etching method, substrate processing device and recording medium WO2017022086A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/JP2015/072124 WO2017022086A1 (en) 2015-08-04 2015-08-04 Semiconductor device manufacturing method, etching method, substrate processing device and recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2015/072124 WO2017022086A1 (en) 2015-08-04 2015-08-04 Semiconductor device manufacturing method, etching method, substrate processing device and recording medium

Publications (1)

Publication Number Publication Date
WO2017022086A1 true WO2017022086A1 (en) 2017-02-09

Family

ID=57942658

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/072124 WO2017022086A1 (en) 2015-08-04 2015-08-04 Semiconductor device manufacturing method, etching method, substrate processing device and recording medium

Country Status (1)

Country Link
WO (1) WO2017022086A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019201102A (en) * 2018-05-16 2019-11-21 東京エレクトロン株式会社 Silicon-containing film etching method, computer storage medium, and silicon-containing film etching apparatus
JP2020053507A (en) * 2018-09-26 2020-04-02 株式会社Kokusai Electric Manufacturing method of semiconductor device, substrate processing apparatus, and program
JP2020077862A (en) * 2018-11-05 2020-05-21 東京エレクトロン株式会社 Etching method and plasma processing apparatus
JP2022075996A (en) * 2018-05-16 2022-05-18 東京エレクトロン株式会社 Etching method of silicon-containing film, computer storage medium, and etching device of silicon-containing film
JP7481823B2 (en) 2018-11-05 2024-05-13 東京エレクトロン株式会社 Etching method and plasma processing apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009038A (en) * 2000-06-21 2002-01-11 Asm Japan Kk Treatment method of semiconductor substrate
JP2003340799A (en) * 2002-05-27 2003-12-02 Sumitomo Electric Ind Ltd Method for manufacturing microscopic driving component
JP2006100795A (en) * 2004-09-27 2006-04-13 Idc Llc Method and system for fluorinated xenon etching with improved efficiency
WO2015060069A1 (en) * 2013-10-22 2015-04-30 株式会社日立国際電気 Formation method for micropattern, manufacturing method for semiconductor device, substrate processing device, and recording medium

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009038A (en) * 2000-06-21 2002-01-11 Asm Japan Kk Treatment method of semiconductor substrate
JP2003340799A (en) * 2002-05-27 2003-12-02 Sumitomo Electric Ind Ltd Method for manufacturing microscopic driving component
JP2006100795A (en) * 2004-09-27 2006-04-13 Idc Llc Method and system for fluorinated xenon etching with improved efficiency
WO2015060069A1 (en) * 2013-10-22 2015-04-30 株式会社日立国際電気 Formation method for micropattern, manufacturing method for semiconductor device, substrate processing device, and recording medium

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019201102A (en) * 2018-05-16 2019-11-21 東京エレクトロン株式会社 Silicon-containing film etching method, computer storage medium, and silicon-containing film etching apparatus
JP2022075996A (en) * 2018-05-16 2022-05-18 東京エレクトロン株式会社 Etching method of silicon-containing film, computer storage medium, and etching device of silicon-containing film
JP7072440B2 (en) 2018-05-16 2022-05-20 東京エレクトロン株式会社 Etching method for silicon-containing film, computer storage medium, and etching device for silicon-containing film
JP7174180B2 (en) 2018-05-16 2022-11-17 東京エレクトロン株式会社 Silicon-containing film etching method, computer storage medium, and silicon-containing film etching apparatus
TWI797326B (en) * 2018-05-16 2023-04-01 日商東京威力科創股份有限公司 Etching method for silicon-containing film, computer memory medium, and etching device for silicon-containing film
JP2020053507A (en) * 2018-09-26 2020-04-02 株式会社Kokusai Electric Manufacturing method of semiconductor device, substrate processing apparatus, and program
JP2020077862A (en) * 2018-11-05 2020-05-21 東京エレクトロン株式会社 Etching method and plasma processing apparatus
JP7481823B2 (en) 2018-11-05 2024-05-13 東京エレクトロン株式会社 Etching method and plasma processing apparatus

Similar Documents

Publication Publication Date Title
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
US10943788B2 (en) Abatement and strip process chamber in a load lock configuration
WO2015115002A1 (en) Fine pattern forming method, semiconductor device manufacturing method, substrate processing device, and recording medium
JP6210039B2 (en) Deposit removal method and dry etching method
US6911112B2 (en) Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
US20170229314A1 (en) Atomic layer etching 3d structures: si and sige and ge smoothness on horizontal and vertical surfaces
JP5495847B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and substrate processing method
CN110942985B (en) Etching method, etching device and storage medium
US20160218012A1 (en) Method of forming fine pattern, method of manufacturing semiconductor device, substrate processing apparatus and recording medium
JP5809144B2 (en) Substrate processing method and substrate processing apparatus
US20210143001A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-transitory Computer-readable Recording Medium
US20150111388A1 (en) Substrate processing method and substrate processing apparatus
JP6262333B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
WO2017022086A1 (en) Semiconductor device manufacturing method, etching method, substrate processing device and recording medium
KR102443968B1 (en) Cleaning method and film forming method
US11557486B2 (en) Etching method, damage layer removal method, and storage medium
TWI588297B (en) Attachment removal method and dry etching method
JP2017157660A (en) Method for manufacturing semiconductor device, and substrate processing device
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
WO2016157317A1 (en) Substrate processing device, semiconductor device production method, and recording medium
WO2017026001A1 (en) Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR20230049800A (en) Atomic layer etching method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15900397

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: JP

122 Ep: pct application non-entry in european phase

Ref document number: 15900397

Country of ref document: EP

Kind code of ref document: A1