JPS6171626A - グロー放電による硬質の炭素質膜の堆積方法及び該方法により堆積された膜を有する半導体デバイス - Google Patents

グロー放電による硬質の炭素質膜の堆積方法及び該方法により堆積された膜を有する半導体デバイス

Info

Publication number
JPS6171626A
JPS6171626A JP60200402A JP20040285A JPS6171626A JP S6171626 A JPS6171626 A JP S6171626A JP 60200402 A JP60200402 A JP 60200402A JP 20040285 A JP20040285 A JP 20040285A JP S6171626 A JPS6171626 A JP S6171626A
Authority
JP
Japan
Prior art keywords
film
carbon
substrate
deposited
solid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP60200402A
Other languages
English (en)
Inventor
スタンフオード・アール・オヴシンスキー
ジエームズ・フラスツク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Energy Conversion Devices Inc
Original Assignee
Energy Conversion Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Energy Conversion Devices Inc filed Critical Energy Conversion Devices Inc
Publication of JPS6171626A publication Critical patent/JPS6171626A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • AHUMAN NECESSITIES
    • A44HABERDASHERY; JEWELLERY
    • A44CPERSONAL ADORNMENTS, e.g. JEWELLERY; COINS
    • A44C27/00Making jewellery or other personal adornments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 発明の分野 本発明は、炭素コーティング及び炭素コーティングをグ
ロー放電堆積によって形成する方法に係る。
発明の背景 特定の所望の特性を有する炭素含有コーチインク、即ち
場合によってはガラス質ダイアモンドコーティング、ア
モルファスダイアモンドコーティング及び無秩序性ダイ
アモンドコーティングとして特徴づけられている合成ダ
イアモンドコーティングを開発すべく多大の努力が払わ
れている。これらのコーティングは、独特のフォトルミ
ネセンス、エレクトロルミネセンス、光学的、耐腐食及
び究極特性を有することを特徴とする。しかしながら、
このような「ダイアモンド型」特性に到達するには種々
の問題が伴う。これらの問題は、微細空隙、未結合手、
多数の炭素−水素結合及び炭素−炭素二重結合に関係が
あると考えられている。
これらの問題を回避し且つ四面体配位に関連づけられる
特性を得るための試みとして、明確に異なる2種類の炭
素コーティング堆積法乃至炭素被膜形成法が文献に報告
されている。ひとつの方法は、例えばアイゼンバーブ(
Aisenberg)及びツヤホー(Chabot)に
よって「ツヤ−ナル・オブ・アプライド・フィックスj
(Journal orApplied Phy−s 
1cs)第42巻(1971)、2953頁に記載され
ており、アルゴンプラズマ中て炭素イオンピーノ1、を
発生さH゛た後、低真空漕に導入してダイアモンド型の
膜を堆積4”る。こうして作成される膜及びコーチイン
クの硬度、電気抵抗及び化学的不活性は十分であると報
告されているが、該方法の収率は低いと報告さ11でい
た。
次に、ホイソトメル(Whitmell)及びウィリア
ム′ツノ(Williamson)は、直流クロー放電
中で気体線状炭化水素を熱分解することにより膜を含む
硬質炭素を得る方法を発表した。ディー・ニス・ホイソ
トメル(D、S、 Whitmell)及びアール・ウ
ィリアムソ:/ (R,YIillamson)r薄膜
固体J(Thin Film 5olids)第35@
(1976)255頁に記載されたホイットメル及びウ
ィリアムソンの方法によると、負にバイアスされたター
ゲット電極上に基板を配置し、直流プラズマから堰板に
炭化水素ガス分解プラズマを照射する。
続いてホランド(Ilo l 1and)及びオシ−?
 (Ojha)は、「薄膜固体」第38巻(1976)
L−17頁、「薄膜固体」第40巻(1977)L−3
1頁及び「薄膜固体」第48巻(1978]、−21頁
に開示されている方法を開発した。ホランド及びオジャ
の方法では、r、f、(高周波)グロー放電中で気体線
状炭化水素をクランキングすることにより、炭素を含む
硬い膜が得られる。ホランド及びオジャの方法では、負
にバイアスされたターゲット電極上に基板を配置し、高
周波により励起されたプラズマから基板に陽イオンと電
子とを交互に照射する。こうして、絶縁堆積物の上に正
味の電荷が蓄積するのを阻止する。
ホランド及びオジャの方法により作成されfこ膜につい
て報告されている硬度、電気抵抗、光吸収、化学的不活
性及び屈折率は、池の点ではグラファイト構造でありな
がら炭素−水素結合を実質的に含まず且つ仮定される少
数の四面体炭素−炭素四配位結合を含むことを特徴とす
る無秩序構造であることを示している。
発明の要約 本発明によると、長距離秩序性を有さないことを特徴と
する硬い炭素質膜を得る方法か提供され、(ツられる長
距離無秩序性は、特にグラファイト面の交差を含んでお
り、短距離秩序性として、例えばクラファイト面の交差
(intersections)及び/又はひた(pu
ckering)部位にグラファイト三配位及び四面体
形四配位結合を含んでいる。硬い炭素質膜の特性は、従
来四面体の炭素−炭素四配位結合を何ずろ結晶質炭素に
関連付けられていた特性に近銀する。このような膜は「
四面体型」及び[ダイアモンド型jと称され、透明度、
硬度及び、特にb′を来四面体配位結合に関連付けられ
ていた低導電性、透過性及び光学バンドギャップを組合
わせて備えろことを特徴とする。
低温法は、長距離無秩序性及び短距離三角形及び四面体
配位を打する固体膜の堆積か形成されろ条件下で気体炭
化水素を分解する工程から構成される。該条件は以下の
条件、即ち(1)炭素−炭素結合を介して最近接の炭素
に四面体形の配位て結合された炭素原子を有する炭化水
素化合物を含有する反応ガスを分解すること、(2)反
応ガス中にフッ素含有化合物を配合すること、(3)カ
ソード的にバイアスされた基板上に膜を堆積すること、
及び(4)炭素原子中の結合レベルを上昇させるべくエ
ネルギを加えること、即ち直流、高周波又はイオンビー
ムの自己バイアス手段を基板に与えることにより平常使
用可能な結合軌道を拡大すること、のうちの1つ以上の
条件を含んでいる。反応ガスは、高周波により維持され
たプラズマ、即ちグロー放電プラズマ中で分解され、そ
のプラズマ分解生成物は基板上に堆積される。
場合によってはフッ素の存在下で気体炭化水素、特に炭
素原子の四面体配位の結合を有する炭化水素をグロー放
電によって分解すること及び、その後、基板、好ましく
はカソード的にバイアスされた基板上に炭化水素を堆積
することにより形成される本発明のコーティングは、接
着性であり、硬質で四面体型の不活性コーティングを形
成する。
先駆物質である炭化水素ガス中における炭素原子の四面
体配位の結合の存在は、堆積膜中の炭素−炭素四配位結
合の反復の鋳型として機能すると考えられる。
本発明の原理は、例えば厚さか数十オノダスト〔l−ム
より大、或いは厚い膜では数十ミクロノより大てめろこ
とを特徴とする種々の型の無秩序性成、+′:質膜に適
用され得、更に、耐摩耗性コーティング、耐腐食性コー
ティング又は半導体デバイス用の広バンドギャップコー
ティング、膜らしくは層を含むコーティング等、所望の
用途の膜に適用され得る。
本発明のコーティングは、プラスチック上に形成される
耐摩耗性コーティングとして有用であり、例えばジェネ
ラル・エレクトリック(GeneralElectri
c)社の[レキサン(LIEX八N)へのようなポリカ
−ボネート、ストレソヂトポリアクリレートのようなポ
リアクリレート、及び光学及び眼科用に有用なボリノエ
チレングリコールビスアリルカーホネートのようなポリ
アリルカーボネート上に形成された硬質、透過性又は黄
色に対して透過性の接着性コーティングである。
コートされたポリカーボネート製品は風防ガラス、例え
ば自動車の風防ガラスとして使用され得、他方、コート
された例えばストレッチトポリアクリレート基板のよう
なポリアクリレート基板は航空機用窓に使用され得る。
本発明の無秩序性炭素のコーティングは、更に電子デバ
イスに組込んで使用され得る。
本発明の堆積無秩序性炭素のコーティングは、エレクト
ロルミネセンス及びフォトルミネセンスデバイスで使用
され得る。
本発明のコーティングは、更に例えば腐食性の基板上の
耐腐食性コーチイックとして使用され得る。本発明のコ
ーティングは、フッ化水素酸、硫酸、硝酸、酢酸、塩酸
と硝酸との混合物、フッ化水素酸と硝酸との混合物、過
酸化水素、過酸化水素とMf仕ソーダとの混合物、硫酸
と過酸化水素との混合物、ンアン化物等に対して耐性で
あった。
本発明のコーティングは、耐腐食性コーティングとして
使用する場合には実質的にピンホールを含むへ、きてな
い。
本発明のコーティングは、工具、回転表面、支持表面等
の耐摩耗性コーティングとして使用され得る。
本発明のコーチイックは、更に静電写真用ドラム」−の
コーティングとしてら使用され得る。
発明の詳細な説明 本発明は、硬い炭讃質四面体型膜の形成方法及び1該方
法により作成されろ硬質膜を包含する。膜は、長距離秩
序を有さないことを特徴とする。無秩序性膜は、結晶炭
素質膜と実質的に同等であり、折曲がり、交わり、ひだ
のよった三配位のグラファイト層の長距離無秩序構造を
基板上に形成しており、例えばグラファイト而の交わり
に四面体炭素−炭素四配位結合を含む短距離秩序性を有
しており、低濃度C−H結合を有しており、且つ実質的
に線状ポリマを含んでいない。硬い炭素質膜は、高周波
により維持されたプラズマ、即ちグロー放電中で少なく
とも気体炭化水素を自存する反応カスを分解し、分解生
成物を基板上に堆積する工程により形成された炭素−水
素合金である。基板は冷却基板であり得、従って外部か
ら加熱する必要かない。
好適な気体炭化水素は、炭素−炭素結合を介して最近接
の炭素原子に四面体形の配位て結合された炭素原子を有
することを特徴とする。先駆物質ガス中の炭素−炭素単
結合による最近接の原子との炭素−炭素四面体配位結合
は、堆積膜中の炭素−炭素四配位結合の反復の鋳型とし
て機能すると考えられる。気体炭化水素は例えば式 %式% (ここで、Cは四配位結合された中心炭素原子てあり、
CI C1,C■及びCHはメチル基、アルキル拮及び
それらの混合物である。)で表されるX、X−ノアルキ
ルである。特に好適な化合物は、式。
CH3 CH3−C−C113 L (ここで、C5C[1,C11I及びCHはいずれらメ
チル基てめろ。)で表される21)2−ツメチルプロパ
ノである。四面体形の配位で結合された中心炭素原子を
何4−ろ他の炭化水素として、2,2−ツメチルブタン
、2.2.3.3−テトラメチルブタン及びそれらのよ
り高級な同族体が挙げられる。
反応ガス、例えば炭化水素ガス中のノλロゲン化合物、
特にフッ素化合物の存在は、炭化水素ガスが他の炭素原
子と四配位結合された炭素原子を含んでいるか否かにか
かわらず、炭素の四面体配位の結合及び膜中の有効量の
水素の存在を増長さ仕る。更に、水素の存在により、フ
ッ素か堆積物をエッチする傾向が減少する。ノ10ゲン
か7・ン素の時、好適なフッ素化合物は例えば四フッ化
炭素のようにC1Ftn+2(nは1から約8)で表さ
れる過フ・フ化炭素、例えばフルオロメタン及びフルオ
ロエタンのようにCnFtni、−++Hm(nは1か
ら約8、mは2n+2より小)で表されるフッ化炭化水
素、並びに11Fである。
本発明のグロー放電法によると、気体炭化水素(場合に
よってはハロゲン、例えばフッ化炭素を含む)は不活性
ガスとの気体混合物形態である。
不活性ガスは、ヘリウム、アルゴン、ネオン、キ七ノン
又はそれらの混合物であり得る。好ましくは、不活性カ
スはアルゴンである。気体炭化水素とキャリアガスとの
原子比は、堆積する炭素質膜に萌望の特性に従い、約0
110から約10.0:1.0である。第2図に示すよ
うに、硬度は炭化水素とアルゴンとの比に箸しく依仔す
る。即ち、炭化水素対アルゴンの原子比又は体積比か約
10・lの場合、比較的快い炭素質膜か得られる。炭化
水素対アルゴンの体積比か約1071より小ざい時、比
較的硬い炭素質膜か得られる。比較的硬い炭素質膜を得
ようとする場合には、炭化水素対アルゴンの体積比は約
011から約1.1である。
第1図は、クロー放電堆積により無秩序性炭素をQ f
aずろための方法及び装置を示している。該装置は、真
空チャンバ11と入口チャンバ12と出ロヂャノハ13
とを(−1゛するハウノンク10を含んでいる。
カソード倶面部+A’ l 4は、@!!、縁体15を
介して真空チャツバ11内に配置されており、絶縁スリ
ーブ16と暗空間ンールド17とを備えている。基板1
8、即ちカソードバイアスされた基板は、堰板ホルダ1
9に電気接触するカソード裏面部材14に連結され得る
該ホルダにより、カソード裏面部114の内端部に固定
されている。
カソード裏面部材14は、カソード裏面部材14を加熱
するための電気ヒータを受容するくぼみを備え得る。し
かしながら、無秩序性炭素質膜は本発明の低温法により
室温て堆積され得るので、くぼみは必ずしも必要ない。
カソード裏面部材14か電気加熱素子20を受容するた
めのくぼみを何している時、カソード裏面部材14は更
に温度感知プローブ21、例えばカソード裏面部材の温
度を測定するための熱電対を有し得る。
グロー放電分解装置は、真空チャンバ11内に固定され
ており且つカソード裏面部(オ14から離間して配置さ
れた電極23を含んでいる。電極23はノールド24を
備えている。
カソード裏面部材14と電極23との間の真空チャンバ
11内の空間は、これらの間のグロー放電の条件のfこ
めの空間を形成する。こうして、カソード裏面部材14
と電極との間の真空チャンバ11内にプラズマか生成さ
れる。本発明によると、カソードは扉1節可能な高周波
電力源に電気的に接続されている。電極23はこれらの
間に所望のグロー放電を生ぜしむるべく接地されている
C↓空チャツバ11は、真空ポンプ30と粒子トラップ
:)1とにより抽気され得、圧力計32が真空チャンバ
内り) 、+’(空11.力を示す。
ハウシング10の入口チャンバ12は、該ハウジング1
0にJ、1月を導入するための複数の導管を備え得ろ。
例えば、炭化水素カス以外に、実質的に未結白下−を除
去し11つ炭素−フッ素又は炭素−フッ素水素合金を形
成するために堆積コーティング中にフッ素を配合4′べ
く、フッ素化炭化水素ガス又はフッ化炭讃ガスか供給さ
れ得ろ。
入口チャンバ12は、ガスがハウジングの真空チャンバ
11内に供給される以前に予め混合されるように真空チ
ャンバ11から離間して配置され得る。従って、炭化水
素ガス及びフン化炭素ガスのようなガスは、フィルタ又
は精製装置35から好適な制御手段36を通って導管3
4に供給され得る。制御手段は、真空チャンバ11への
材料の導入率を制御する。導管34を介して供給され且
つ入口チャンバ12内で混合された材料は、真空チャン
バll内のカソード14と電極23との間でグロー放電
分解される。こうして、所望のグロー放電分解か得られ
、場合によっては水素及びフッ素のような所望の変質又
は修飾成分を配合することにより、基板18上に無秩序
性ダイアモンド型炭素か形成される。
本発明の方法は、基板が移動する連続ウェブてあり、堆
積が実質的に連続的な場合にも適用され得る。例えば、
工程は鉛直方向に配列された構造を形成するべく複数チ
ャンバ堆積を実施する堆積手段を使用して実施され得る
本発明の方法によると、システムは堆積前に約5xlO
8t−ルより低い圧力に抽気される。その後、場合によ
ってはヘリウム、アルゴン、ネオン又はそれらの混合物
のような不活性カスのキャリア、及び場合によってはテ
トラフルオロメタン、(四〕I化炭素)ノフルオロメタ
ン等のフッ化炭素ガスと31、に、”;”i’F 34
の1個を介して入口チャンバ12に炭化水素カスを(J
(オろ。カス混合物は、カス全体か約1001票”Ac
m3/分の一定速度で真空チャンバ11内にfJ’給さ
れる。真空チャンバは全圧的50から約500ミリトル
、好ましくは約10(1−300ミリトルにイイIF1
される。
真空チャツバ11内に導入されるカスの分圧は、1文化
水素及びフン化炭素カス並びにキャリアカス、例えはア
ルゴンを含打゛4゛る反応雰囲気を形成する。
プラズマは、約1ギガヘルツより大、一般には操作1−
の便宜のために約13.56メカヘルツの高周波で作動
する少なくとも50ワツト、好ましくは500ワツトま
での高周波電力を使用して電極及びカソード間の雰囲気
中に発生される。
本文中でrr、f、jとも称する「高周波」とは、電磁
波スペクトルの可聴部分と電磁波スペクトルの遠赤外部
分との間の周波数、即ち01メガヘルツから約100ギ
ガヘルツの周波数と、これに対応する波長、即ち約3X
IO3メートル(0,1メガヘルツの輻射の場合)から
3XIO−3メートル(100ギガヘルツの輻射の場合
)までの波長とを有する電磁波スペクトル部分であって
、1マイクロ波」輻射を含む部分の意である。
「マイクロ波」とは、ギガヘルツ範囲、即ち約1ギガヘ
ルツより高い周波数(r l EEEスタンダ−1・・
ディクノヨナリ・オブ・エレクトリカル・アンド・エレ
クトロニクスタームズ(11EEE 5tandard
Dictionary  of  Electrica
l  and  ElecしronicsTerms)
 」エフ ・ノエイ(F、 Jay)m集、電気電子工
学学会(I l’:EE)、ニューヨーク、エヌ・ワイ
(1977)416買に記載されたー・般に認められて
いる定義)と、この周波数に対応4−る約3XIO’メ
ートル(1ギガt\ルツの輻射の場合)より小さい波長
とを有する(’+’4周波輻射部分の・ニーζtつろ。
マイク(1波輻射の上部・範囲は電@波スペクトルの[
遠赤外1部分の周波改綬び、成長よりら小さい。
1、稈中、アルゴノイオンはカソードに引付けられて炭
素−水素結合を破壊4゛ろ。次の炭素)皇子か水、+′
、に置換するべく堰板に到達ずろ。フッ素と水、+−と
の反応は、フッ素によるエツチングを回避しt父から四
面体炭素−炭素四配位結合を促すと考°えi;+41ろ
1゜ 史に、炭素原子中の結合レベルを向上さU−るため、叩
も一般に何効な結合軌道を拡大するためにバイアスエネ
ルギを印加すると、コーティングの四面体彩化と膜成長
速度とが増長される。エネルギは、堆積電極に直流電界
又はバイアスを印加すること、堆積電極にr、[電界を
印加すること、或いは膜を堆積ずへくイオンビームを照
射することにより加えられ得る。こうして、堆積中にイ
オンボンバードを得るべく、膜堆積中に基板及び/又は
成長膜上に外部イオンビームか導かれ得る。
工程は、厚さ約100オンゲストロー11から約100
ミクロン、好ましくは厚さ約200オングストロームか
ら約20ミクロンのコーティングを形成するへ〈実施さ
れる。コーティングがこのように形成されると、無秩序
性炭素コーティングは舖又は炭化物スクライブで削り取
ることかできない。
形成された堆積コーティングをラマノ分光及び電子回折
顕微鏡検査にかけに処、無秩序溝造か認められた。赤外
顕微鏡検査の結果、低レベルのC−11結合、即ち赤外
分光で検出可能で且つ水素濃度が約1原子パーセントま
でのC−11結合か認められた。
プラズマに加えられる電力を増加、即ち約50ワツト未
満から500ワット以上に増加すると、堆積物中の水讃
は減少し、堆積物はより暗くより映くなろ。同様に、炭
化水素ガスとアルゴンとの比が減少すると、硬度は増加
する。
堆積物は低温、即し約20°から100aの基板を快1
1I して堆積されろが、有毒作用かなければ高温を使
用してらよい。
本発明の方法は、ポリマの11(仮ヒに硬い炭素質膜を
1[ヨ成オろ丸めに(り用され得ろ。好適なポリマの堰
板16、フェネテル・エレクトリック社[レキザノ、の
ようなポリカーホ不一ト、航空機の窓に使用されている
ストレッチトポリアクリレートのようなポリアクリレー
ト、及び眼科用及び光学レンズで(史用されているよう
なポリアリルカーボネートを含む。
本発明の方法は、半導体デバイス上に、例えばバンドギ
ャップのような半導体特性を有ずろ映い炭素質膜を形成
するためにも使用され得る。硬質コーチインクは、グラ
ファイトとダイアモンドとの中間の光学バンドギャップ
、例えば約O1から約35電子ボルト、好ましくは堆積
パラメータ及び堆積ガス濃度に依存して約0.7からは
29電子ホルトの光学バンドギャップを有する。こうし
て、該コーティングは感光性半導体デバイス上の反射防
止コーティング及び耐摩耗コーティングとして使用され
得る。特に、約13から2.5iX子ホルトの光学バン
ドギャップを何4′る堆積物か奸よしい。
本発明の方法は更に、金属基板上に硬い炭素質膜を形成
するため、例えば静電像形成表面を形成するべく導電プ
レート上に実質的にピンホールを含まない耐腐食性表面
又は半導体膜を形成するためにし使用され得る。
以下、本発明の実施例について記、戟4−ろ。
実施例1 メタンのグロー放電堆積を2.2−ツメチルプロパンの
クロー放電堆積と比較するために、一連の試験を実施し
た。いずれの場合ら、堆積チャンバを圧ノ:15xlO
8トル未l14に抽気し、気体炭化水素とアルボ/との
反応ガスをし成し、夫々以下に示す電力でカソード上に
試料を配置し、真空チャンバに気体炭化水素を通すこと
により堆積物を形成しfこ。前周波は1356メガヘル
ツとした。
第一の試験では、メタンとアルゴンとの比を約471と
してメタンを堆積チャンバに通した。約50ワツトから
の電力で堆積を実施した。堆積物の27°Cに4;(J
ろ電気抵抗は約6X 10”(Ω−am)、光学・ バ
ンドギャップは2.2電子ボルトであった。
心力を約50ワツト、メタンとアルゴンとの比を約1/
1とし、1’+ii記実施例全実施行った。得られた1
原は黄色に対して透過性の硬い膜であり、27℃にお(
jろlli気抵抗抵抗IX 10”(Ω・am)、光学
)<)トギャノプか1.4電子ボルトであった。
ミノJを約400ワツト、メタンとアルゴンとの比を約
1/[とし、前記実施例を繰り返した。得られた膜は黒
色で且つ実質的に不透明であり、電気抵抗か約4XIQ
6(Ω・am)、光学バンドギャップが約0.73電子
ボルトであった。
実施例■ 次に、2.2−ツメチルプロパンを使用し、炭化水素と
アルゴンとの比をl/L電力約50ワットとして実施例
を繰り返しlコ。得られた表面は「軟質」で非常に透明
であり、電気抵抗が約IQ12(Ω・c+n)、光学バ
ンドギャップが約29電子ボルトであった。
電力を約200ワツト、2.2−ツメチルプロパンとア
ルゴンとの比を約0.1/lとして、工程を繰り返した
。得られた表面は、厚さ9000オングストロームにて
吸光率が約40パーセント、電気抵抗か約1010(Ω
・am)、光学バンドギャップか約13電子ボルト、及
び屈折率か2.3から24である硬質表面であった。
2.2−ジメチルプロパン対アルゴンの比を約0.25
/I、高周波電力を約400ワツトとし、前記工程を更
に繰り返した。得られた膜は、厚さ1ミクロンにて光透
過率が約0、電気抵抗が約3x 108(Ω・cm)、
及び光学ハツトギャップか約0.7電子ボルトであっt
ニ。
実施例■ 体積比9:10:10てメタノルフッ化炭素−アルゴン
の反応カスを使用して実施例[を繰り返した。
電力50ワツトて堆積を実施した。得られた膜の光′;
−ハノl−ギヤノブは20電子ボルトであった。
次に、メタン−四フッ化炭素−アルゴンの比が1.12
の反応ガスを使用して117i記工程を繰り返した。電
力50ワツトで堆積を実施した。得られた膜の)し学ハ
ントキャップは2.4から3,3N子ボルトであ つ 
を二。
データのグラフ化 実施例1及び11の品質硬度データを第2図に再現した
M lllb例1.11及びIllの光学バットギャッ
プデータを第:3図に再現した。
以上、数種類の好適具体例及び実施例に関して本発明を
説明したが、本発明の保護範囲はこれらに限定されるも
のではなく、これに付されf二特許請求の範囲によって
のみ限定される。
【図面の簡単な説明】
第1図は、気体炭化水素を自存する反応ガスをグロー放
電分解し、得られたグロー放電分解生成物をカソード的
にバイアスされた基板上に堆積するための装置を示す説
明図、第2図は本発明方法により生成されたコーチイン
クの÷目射硬度と炭化水素対キャリアの比との関係を示
すグラフ、第3図はいずれもグロー放電堆積により生成
された炭素及びノリコンの光学ハンドギャップを示すグ
ラフである。 10・・・・ハウソング、[[・・・・真空チャツバ、
12  ・・入口チャンバ、13−・出口チャンバ、■
・・カソード裏面部材、15  ・・絶縁体、16・・
絶縁スリーブ、17  ・・暗空間ノールト、18・ 
基板、19・基板ホルダ、20・・・・・電気加熱素子
、21・・・・・・温度感知プローブ、23・・・電極
、24・・・・・ノールド、30・・真空ポンプ、31
・・・・・・粒子トラップ、34・・・・導管、36・
・制御手段。 FIG、 1 FIG:) FIG、 3

Claims (21)

    【特許請求の範囲】
  1. (1)固体基板上に硬い炭素質膜を堆積する方法であっ
    て、炭素−炭素単結合を介して最近接の炭素原子と四面
    体形の配位で結合された少なくとも1個の炭素原子を有
    する少なくとも1種の気体炭化水素化合物を含有する反
    応ガスのグロー放電による分解によって、固体基板上に
    膜を堆積することを特徴とする方法。
  2. (2)前記固体膜が、長距離無秩序性を有するアモルフ
    ァス材料から構成される特許請求の範囲第1項に記載の
    方法。
  3. (3)前記固体膜中の炭素原子間相互の局所的秩序性が
    、四面体形四配位結合を含んでいる特許請求の範囲第2
    項に記載の方法。
  4. (4)前記アモルファス材料が広バンドギャップ材料で
    ある特許請求の範囲第2項に記載の方法。
  5. (5)前記広バンドギャップ材料が少なくとも約1.3
    電子ボルトの光学バンドギャップを有している特許請求
    の範囲第4項に記載の方法。
  6. (6)前記気体炭化水素がx、x−ジアルキル炭化水素
    である特許請求の範囲第1項に記載の方法。
  7. (7)前記x、x−ジアルキル炭化水素が2.2−ジメ
    チルプロパンである特許請求の範囲第6項に記載の方法
  8. (8)前記反応ガスが更にハロゲン化合物を含有してい
    る特許請求の範囲第2項に記載の方法。
  9. (9)前記ハロゲン化合物がフッ素化合物である特許請
    求の範囲第8項に記載の方法。
  10. (10)前記フッ素化合物が、フッ化炭素及びフッ素化
    炭化水素からなる群より選択される特許請求の範囲第9
    項に記載の方法。
  11. (11)前記反応ガス混合物が更に不活性ガスを含有し
    ている特許請求の範囲第2項に記載の方法。
  12. (12)前記不活性ガスがアルゴンであり、比較的硬い
    炭素質膜を得るべく炭化水素のアルゴンに対する体積比
    を約1:1未満に維持する特許請求の範囲第11項に記
    載の方法。
  13. (13)ポリカーボネート、ポリアクリレート及びポリ
    アリルカーボネートからなる群より選択されたポリマの
    基板上に固体膜を堆積する特許請求の範囲第2項に記載
    の方法。
  14. (14)金属性基板上に前記固体膜を堆積する特許請求
    の範囲第2項に記載の方法。
  15. (15)移動している連続的なウェブ状の基板上に前記
    固体膜を堆積する特許請求の範囲第1項に記載の方法。
  16. (16)移動している連続的なウェブ状の基板上に前記
    固体膜を実質的に連続的に堆積する特許請求の範囲第1
    5項に記載の方法。
  17. (17)多重堆積チャンバ堆積手段内で移動しているウ
    ェブ状の基板上に前記固体膜を実質的に連続的に堆積す
    る特許請求の範囲第16項に記載の方法。
  18. (18)半導体基板本体と、該基板上に形成されており
    炭素及び水素の合金から成る膜とを有する半導体デバイ
    スであって、該膜が、炭素−炭素単結合を介して四面体
    形に四配位結合された炭素を含んでおり且つ特許請求の
    範囲第1項に記載の方法により堆積されている半導体デ
    バイス。
  19. (19)少なくとも気体炭化水素化合物とフッ素化合物
    とを含有する反応ガスのグロー放電による分解によって
    前記基板上に前記膜を堆積する方法であって、固体四面
    体型の膜を形成すべく該膜の堆積工程中に該固体にフッ
    素を混合する特許請求の範囲第1項に記載の方法。
  20. (20)前記固体四面体型の膜を形成すべく、炭素−炭
    素単結合を介して最近接の炭素原子と四面体形の配位で
    結合された少なくとも1個の炭素原子を有する少なくと
    も1種の気体炭化水素化合物を含有する反応ガスのマイ
    クロ波グロー放電による堆積によって前記基板上に前記
    膜を堆積する特許請求の範囲第1項に記載の方法。
  21. (21)特許請求の範囲第1項に記載の方法により作成
    され、基板と、該基板上に形成された炭素及び水素の四
    面体型の合金を含む膜とから構成されているコート物品
    であって、該膜が、炭素−炭素単結合を介して四面体形
    に四配位結合された炭素を含んでいるコート物品。
JP60200402A 1984-09-10 1985-09-10 グロー放電による硬質の炭素質膜の堆積方法及び該方法により堆積された膜を有する半導体デバイス Pending JPS6171626A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/649,324 US4663183A (en) 1984-09-10 1984-09-10 Glow discharge method of applying a carbon coating onto a substrate
US649324 1984-09-10

Publications (1)

Publication Number Publication Date
JPS6171626A true JPS6171626A (ja) 1986-04-12

Family

ID=24604312

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60200402A Pending JPS6171626A (ja) 1984-09-10 1985-09-10 グロー放電による硬質の炭素質膜の堆積方法及び該方法により堆積された膜を有する半導体デバイス

Country Status (7)

Country Link
US (1) US4663183A (ja)
EP (1) EP0175980A3 (ja)
JP (1) JPS6171626A (ja)
KR (1) KR860002249A (ja)
AU (1) AU567660B2 (ja)
IL (1) IL76336A0 (ja)
PH (1) PH22103A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61238961A (ja) * 1985-04-12 1986-10-24 Sachiko Okazaki 基材表面の改質方法
WO1999027575A1 (fr) * 1997-11-20 1999-06-03 Tokyo Electron Limited Procede de formation d'un film par plasma

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5462772A (en) * 1957-06-27 1995-10-31 Lemelson; Jerome H. Methods for forming artificial diamond
US5364256A (en) 1986-01-28 1994-11-15 Ophthalmic Research Group International, Inc. Apparatus for the production of plastic lenses
US6730244B1 (en) 1986-01-28 2004-05-04 Q2100, Inc. Plastic lens and method for the production thereof
US5529728A (en) 1986-01-28 1996-06-25 Q2100, Inc. Process for lens curing and coating
US5415816A (en) 1986-01-28 1995-05-16 Q2100, Inc. Method for the production of plastic lenses
US6201037B1 (en) 1986-01-28 2001-03-13 Ophthalmic Research Group International, Inc. Plastic lens composition and method for the production thereof
EP0244874A3 (en) * 1986-05-08 1988-10-12 Kabushiki Kaisha Meidensha Luminescent material, process for producing it and luminescent semiconductor device using it
JPS63140083A (ja) * 1986-05-29 1988-06-11 Nippon Steel Corp 黒色透明外観のステンレス鋼およびその製造方法
DE3630419A1 (de) * 1986-09-06 1988-03-10 Kernforschungsanlage Juelich Verfahren zur beschichtung von hoher waermebelastung ausgesetzten bauelementen mit einer amorphen wasserstoffhaltigen kohlenstoffschicht
JPS63107898A (ja) * 1986-10-23 1988-05-12 Natl Inst For Res In Inorg Mater プラズマを用いるダイヤモンドの合成法
US4777090A (en) * 1986-11-03 1988-10-11 Ovonic Synthetic Materials Company Coated article and method of manufacturing the article
DE8714849U1 (de) * 1986-12-23 1987-12-23 Jenoptik Jena Gmbh, Ddr 6900 Jena Geregelter CMOS-Substratspannungsgenerator
JPH0676666B2 (ja) * 1987-02-10 1994-09-28 株式会社半導体エネルギ−研究所 炭素膜作製方法
US4849199A (en) * 1987-03-30 1989-07-18 Crystallume Method for suppressing growth of graphite and other non-diamond carbon species during formation of synthetic diamond
US4859493A (en) * 1987-03-31 1989-08-22 Lemelson Jerome H Methods of forming synthetic diamond coatings on particles using microwaves
US6083570A (en) * 1987-03-31 2000-07-04 Lemelson; Jerome H. Synthetic diamond coatings with intermediate amorphous metal bonding layers and methods of applying such coatings
US4960643A (en) * 1987-03-31 1990-10-02 Lemelson Jerome H Composite synthetic materials
US5096352A (en) * 1987-03-31 1992-03-17 Lemelson Jerome H Diamond coated fasteners
US5332348A (en) * 1987-03-31 1994-07-26 Lemelson Jerome H Fastening devices
US4926791A (en) * 1987-04-27 1990-05-22 Semiconductor Energy Laboratory Co., Ltd. Microwave plasma apparatus employing helmholtz coils and ioffe bars
US5230931A (en) * 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US5145711A (en) * 1987-08-10 1992-09-08 Semiconductor Energy Laboratory Co., Ltd. Cyclotron resonance chemical vapor deposition method of forming a halogen-containing diamond on a substrate
CN1020477C (zh) * 1987-08-10 1993-05-05 株式会社半导体能源研究所 含卤素的碳材料淀积方法
FR2622337B1 (fr) * 1987-10-27 1995-06-16 Thomson Csf Tete d'enregistrement/lecture video, procede de realisation et appareil mettant en oeuvre ce procede
US5190824A (en) 1988-03-07 1993-03-02 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating
US6224952B1 (en) * 1988-03-07 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
US5866195A (en) * 1988-03-31 1999-02-02 Lemelson; Jerome H. Methods for forming diamond-coated superconductor wire
US4964694A (en) * 1988-07-26 1990-10-23 Fujikura Ltd. Optical fiber and apparatus for producing same
GB2221404A (en) * 1988-08-04 1990-02-07 Ion Tech Ltd Filter for proteinaceous materials
US5185179A (en) * 1988-10-11 1993-02-09 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and products thereof
US4981717A (en) * 1989-02-24 1991-01-01 Mcdonnell Douglas Corporation Diamond like coating and method of forming
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
DE69005938T2 (de) * 1989-07-31 1994-05-19 Matsushita Electric Ind Co Ltd Vorrichtung zur Herstellung von einer dünnen diamantartigen Kohlenstoffschicht.
EP0423498B1 (en) * 1989-09-20 1995-08-23 Sumitomo Electric Industries, Ltd. Method of and apparatus for synthesizing hard material
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JPH07109034B2 (ja) * 1991-04-08 1995-11-22 ワイケイケイ株式会社 硬質多層膜形成体およびその製造方法
EP0517627A1 (en) * 1991-06-07 1992-12-09 Eastman Kodak Company Deposited carbon mask for dry etch processing of Si
US5605759A (en) * 1992-01-14 1997-02-25 Prince; Robert Physical vapor deposition of diamond-like carbon films
US5290610A (en) * 1992-02-13 1994-03-01 Motorola, Inc. Forming a diamond material layer on an electron emitter using hydrocarbon reactant gases ionized by emitted electrons
US6500488B1 (en) * 1992-08-04 2002-12-31 Northwestern Univ. Method of forming fluorine-bearing diamond layer on substrates, including tool substrates
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5740941A (en) * 1993-08-16 1998-04-21 Lemelson; Jerome Sheet material with coating
US5514214A (en) 1993-09-20 1996-05-07 Q2100, Inc. Eyeglass lens and mold spin coater
US5688556A (en) * 1994-04-01 1997-11-18 Mobil Oil Corporation Barrier films having vapor coated EVOH surfaces
US5464667A (en) * 1994-08-16 1995-11-07 Minnesota Mining And Manufacturing Company Jet plasma process and apparatus
DE69609244T2 (de) * 1995-03-31 2001-03-08 Ceramoptec Gmbh Verfahren zur Herstellung diamantartiger Beschichtungen
US5688557A (en) * 1995-06-07 1997-11-18 Lemelson; Jerome H. Method of depositing synthetic diamond coatings with intermediates bonding layers
US5616372A (en) * 1995-06-07 1997-04-01 Syndia Corporation Method of applying a wear-resistant diamond coating to a substrate
US5714202A (en) * 1995-06-07 1998-02-03 Lemelson; Jerome H. Synthetic diamond overlays for gas turbine engine parts having thermal barrier coatings
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6022498A (en) 1996-04-19 2000-02-08 Q2100, Inc. Methods for eyeglass lens curing using ultraviolet light
US6280171B1 (en) 1996-06-14 2001-08-28 Q2100, Inc. El apparatus for eyeglass lens curing using ultraviolet light
US6203898B1 (en) 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
JP2000064047A (ja) * 1998-06-26 2000-02-29 James A Mclaughlin ダイヤモンド様炭素(dlc)又は他の真空蒸着被膜を基体に被覆する装置及び方法
US6287711B1 (en) 1998-07-01 2001-09-11 Front Edge Technology, Inc. Wear-resistant coating and component
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6795636B1 (en) 2000-03-05 2004-09-21 3M Innovative Properties Company Radiation-transmissive films on glass articles
US6749813B1 (en) 2000-03-05 2004-06-15 3M Innovative Properties Company Fluid handling devices with diamond-like films
EP1158088A3 (de) * 2000-05-26 2003-01-22 Voith Paper Patent GmbH Verfahren und Vorrichtung zur Behandlung einer Faserstoffsuspension
DE10111150A1 (de) * 2001-02-15 2002-09-05 Konrad Damasko Uhrwerk
US6755566B2 (en) 2001-02-15 2004-06-29 Konrad Damasko Clockwork
US7106939B2 (en) * 2001-09-19 2006-09-12 3M Innovative Properties Company Optical and optoelectronic articles
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US6904935B2 (en) * 2002-12-18 2005-06-14 Masco Corporation Of Indiana Valve component with multiple surface layers
US8679674B2 (en) * 2005-03-25 2014-03-25 Front Edge Technology, Inc. Battery with protective packaging
US7846579B2 (en) * 2005-03-25 2010-12-07 Victor Krasnov Thin film battery with protective packaging
US20070026205A1 (en) 2005-08-01 2007-02-01 Vapor Technologies Inc. Article having patterned decorative coating
US7862927B2 (en) * 2007-03-02 2011-01-04 Front Edge Technology Thin film battery and manufacturing method
US20080260968A1 (en) * 2007-04-23 2008-10-23 Atto Co., Ltd. Method of forming amorphous carbon layer using cross type hydrocarbon compound and method of forming low-k dielectric layer using the same
US8870974B2 (en) * 2008-02-18 2014-10-28 Front Edge Technology, Inc. Thin film battery fabrication using laser shaping
US7862627B2 (en) 2007-04-27 2011-01-04 Front Edge Technology, Inc. Thin film battery substrate cutting and fabrication process
US8628645B2 (en) * 2007-09-04 2014-01-14 Front Edge Technology, Inc. Manufacturing method for thin film battery
US20090136839A1 (en) * 2007-11-28 2009-05-28 Front Edge Technology, Inc. Thin film battery comprising stacked battery cells and method
US8502494B2 (en) * 2009-08-28 2013-08-06 Front Edge Technology, Inc. Battery charging apparatus and method
US8865340B2 (en) 2011-10-20 2014-10-21 Front Edge Technology Inc. Thin film battery packaging formed by localized heating
US9887429B2 (en) 2011-12-21 2018-02-06 Front Edge Technology Inc. Laminated lithium battery
US8864954B2 (en) 2011-12-23 2014-10-21 Front Edge Technology Inc. Sputtering lithium-containing material with multiple targets
US9077000B2 (en) 2012-03-29 2015-07-07 Front Edge Technology, Inc. Thin film battery and localized heat treatment
US9257695B2 (en) 2012-03-29 2016-02-09 Front Edge Technology, Inc. Localized heat treatment of battery component films
US9159964B2 (en) 2012-09-25 2015-10-13 Front Edge Technology, Inc. Solid state battery having mismatched battery cells
US8753724B2 (en) 2012-09-26 2014-06-17 Front Edge Technology Inc. Plasma deposition on a partially formed battery through a mesh screen
US9356320B2 (en) 2012-10-15 2016-05-31 Front Edge Technology Inc. Lithium battery having low leakage anode
US10008739B2 (en) 2015-02-23 2018-06-26 Front Edge Technology, Inc. Solid-state lithium battery with electrolyte

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1582231A (en) * 1976-08-13 1981-01-07 Nat Res Dev Application of a layer of carbonaceous material to a surface
DE3175345D1 (en) * 1980-08-21 1986-10-23 Nat Res Dev Coating insulating materials by glow discharge
US4522663A (en) * 1980-09-09 1985-06-11 Sovonics Solar Systems Method for optimizing photoresponsive amorphous alloys and devices
US4402993A (en) * 1981-03-20 1983-09-06 Gulf & Western Manufacturing Company Process for coating optical fibers
JPS57205312A (en) * 1981-05-12 1982-12-16 Meidensha Electric Mfg Co Ltd Silicon substance containing hydrogen, its manufacture and use
US4434188A (en) * 1981-12-17 1984-02-28 National Institute For Researches In Inorganic Materials Method for synthesizing diamond
DE3205345A1 (de) * 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
JPS5930709A (ja) * 1982-08-13 1984-02-18 Toa Nenryo Kogyo Kk 炭素膜及び/又は炭素粒子の製造方法
US4517223A (en) * 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61238961A (ja) * 1985-04-12 1986-10-24 Sachiko Okazaki 基材表面の改質方法
JPH0362792B2 (ja) * 1985-04-12 1991-09-27 Sachiko Okazaki
WO1999027575A1 (fr) * 1997-11-20 1999-06-03 Tokyo Electron Limited Procede de formation d'un film par plasma
US6770332B2 (en) 1997-11-20 2004-08-03 Tokyo Electron Limited Method for forming film by plasma

Also Published As

Publication number Publication date
IL76336A0 (en) 1986-01-31
AU4707685A (en) 1986-03-27
AU567660B2 (en) 1987-11-26
US4663183A (en) 1987-05-05
EP0175980A2 (en) 1986-04-02
KR860002249A (ko) 1986-04-24
PH22103A (en) 1988-05-20
EP0175980A3 (en) 1987-04-01

Similar Documents

Publication Publication Date Title
JPS6171626A (ja) グロー放電による硬質の炭素質膜の堆積方法及び該方法により堆積された膜を有する半導体デバイス
US4770940A (en) Glow discharge method of applying a carbon coating onto a substrate and coating applied thereby
US6572935B1 (en) Optically transparent, scratch-resistant, diamond-like carbon coatings
Shi Recent advances in polymer thin films prepared by plasma polymerization synthesis, structural characterization, properties and applications
US4490229A (en) Deposition of diamondlike carbon films
Biederman et al. Plasma polymer films and their future prospects
US4436797A (en) X-Ray mask
Vizireanu et al. Post‐synthesis carbon nanowalls transformation under hydrogen, oxygen, nitrogen, tetrafluoroethane and sulfur hexafluoride plasma treatments
Bubenzer et al. Optical properties of hydrogenated hard carbon thin films
Kim et al. Dependence of the bonding structure of DLC thin films on the deposition conditions of PECVD method
Loh et al. Surface modifications of polymers with fluorine‐containing plasmas: Deposition versus replacement reactions
Saito et al. Diamond-like carbon films prepared from CH 4-H 2-H 2 O mixed gas using a microwave plasma
Poll et al. Optical properties of plasma polymer films
DE69204400T2 (de) Dünne Filmbeschichtungen, hergestellt unter Verwendung von plasmaaktivierter chemischer Dampfphasen-Abscheidung von fluorierten Cyclosiloxanen.
Astell-Burt et al. A study of the deposition of polymeric material onto surfaces from fluorocarbon RF plasmas
Shimada et al. Analysis of diamond phase in hydrogenated hard carbon film using radio frequency plasma etching
EP0949200A1 (en) Method for forming conformal diamond-type carbon coatings, hard diamond-type carbon coating and porous filtration element using the same
Gilliam et al. Plasma Polymerization Behavior of Fluorocarbon Monomers in Low‐Pressure AF and RF Discharges
Koidl et al. Amorphous, hydrogenated carbon films and related materials: plasma deposition and film properties
Guo et al. Evaluation of carbon films electrodeposited on different substrates from different organic solvents
Liu et al. Plasma deposition of fluorocarbon thin films using pulsed/continuous and downstream radio frequency plasmas
Sreenivas et al. Effects of nitrogen doping on the growth and properties of plasma-enhanced chemical-vapor-deposited diamond-like-carbon films
Mahtani Optical and structural characterization of amorphous carbon films
Okuzono et al. Photochemical surface modification of amorphous carbon thin film to transparency in atmosphere of excited singlet oxygen atoms O (1D) under 157 nm F2 laser irradiation
Huck et al. Mass-separated ion beam deposition of diamond-like thin films