JPH09260371A - プラズマ励起cvd膜の界面品質改良のための方法及び装置 - Google Patents

プラズマ励起cvd膜の界面品質改良のための方法及び装置

Info

Publication number
JPH09260371A
JPH09260371A JP9026908A JP2690897A JPH09260371A JP H09260371 A JPH09260371 A JP H09260371A JP 9026908 A JP9026908 A JP 9026908A JP 2690897 A JP2690897 A JP 2690897A JP H09260371 A JPH09260371 A JP H09260371A
Authority
JP
Japan
Prior art keywords
gas
chamber
power
processing system
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9026908A
Other languages
English (en)
Other versions
JP4044637B2 (ja
Inventor
Gupta Anand
グプタ アナンド
V S Rana Birendora
ヴィー. エス. ラナ ヴィレンドラ
Varma Amrita
ヴァーマ アムリタ
K Barn Morin
ケー. バーン モリン
Subramanyam Sudohakah
スブラマンヤム スドゥハカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09260371A publication Critical patent/JPH09260371A/ja
Application granted granted Critical
Publication of JP4044637B2 publication Critical patent/JP4044637B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 堆積膜の界面を向上させるための方法及び装
置。 【解決手段】 本発明の方法は、不活性ガスを処理チャ
ンバに導入するステップと、RF電力を選択した上昇速
度で印加し不活性ガスからプラズマを発生させるステッ
プとを有している。印加RF電力が実質的にフルパワー
まで致った後、反応物ガスを備えたプロセスガスをプロ
セスチャンバに導入して層を堆積させる。好ましい具体
例では、反応物ガスはTEOSである。別の具体例で
は、プロセスガスには更に弗素が含まれる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ウエハ処理中にお
ける薄膜の堆積に関する。より具体的に本発明は、膜を
プラズマ励起化学気相堆積法により堆積した場合に膜の
界面を改善するための方法及び装置に関する。
【0002】
【従来の技術】現在の半導体デバイス製造工程における
基本的なステップの1つに、気体の化学反応による半導
体基板上への膜の形成が挙げられる。この堆積プロセス
は、化学気相堆積、あるいは「CVD」と称される。従
来からの熱CVDプロセスでは、基板表面に反応性ガス
を供給し、そこで化学反応を生じさせ所望の膜を堆積す
る。熱CVDによっては操作する温度が高く、これがメ
タル層を有する基板にダメージを与え得る。他方、プラ
ズマ励起CVD(PECVD)プロセスでは、基板表面
近くの反応領域に高周波(RF)エネルギーを印加する
ことにより反応性ガスの励起や分解を促し、反応性の高
いイオン種のプラズマを生成する。放出される種の反応
性が高いため、化学反応に要するエネルギーが低減さ
れ、係るCVDプロセスに要する温度を低下する。PE
CVDプロセスの温度は比較的低いため、堆積したメタ
ル層の上に絶縁層を形成する場合や、その他の絶縁層の
形成の場合に対して、このプロセスは理想的である。
【0003】半導体デバイスの幾何は、最初に半導体デ
バイスが表れた数十年前から、急速に小さくなってき
た。それ以来、集積回路は一般に、「サイズが半減する
のに2年」のルール(しばしば、Mooreの法則と称され
る)に従ってきており、このことは、1つのチップに入
るデバイスの数が、2年毎に2倍になってきたことを意
味する。今日のウエハ加工のプラントは、0.5ミクロ
ンの表面形状サイズのデバイス、更には0.35ミクロ
ンのデバイスをルーティン的に製造し、明日のプラント
では、これよりも更に小さなサイズの幾何を有するデバ
イスを製造しているだろう。
【0004】
【発明が解決しようとする課題】デバイスのサイズが小
さくなり集積密度が高くなるにつれて、以前は産業上重
要だとは考えられていなかった事項が問題となる。この
ような問題の1つとして、アウトガス(ないしガス放
出)等の現象により発生し得るクラック、ボイド形成等
の欠陥に対する、堆積膜の耐性が挙げられる。アウトガ
ス分子の顕著な発生源の1つに、膜が最初に堆積した界
面がある。一般に、膜の界面には膜のバルク層に比べて
多くの不純物及び欠陥が存在し、このため膜のバルクに
比べて更に多孔質である。このように膜界面が多孔性で
あるため、シリコンの格子構造の中で原子がしっかりと
結合しない不安定な状態となる。このように、膜界面は
アウトガス分子又は原子の発生源となり得る。この多孔
質な界面は、湿気を収集する源にもなり得る。
【0005】従来技術のデバイスでは、膜界面の不純物
源の1つに、RF電力がフルパワーまで上昇したときプ
ラズマ中で発生する不完全反応がある。例えば、シリコ
ン酸化物層の堆積工程では、RF電力をチャンバに印加
し、処理チャンバ内に放出されたプロセスガスからプラ
ズマを形成する。既知の従来技術では、チャンバ内にプ
ロセスガスを導入してからRF電力を印加する。一般
に、RF電力をオフの状態からフルパワーまで上げるた
めには数秒かかり、このように電力が部分的である期間
中に生じる反応は不完全になり易く、このため、フルパ
ワーの条件下で堆積する膜に比べれば、不純物のレベル
が比較的高い膜が堆積する。
【0006】上記から、新生の技術のペースを守るため
にも、界面の膜品質を改善した膜が必要であることが理
解されよう。また、シリコン酸化物及びその他の膜を安
定化させ、膜の湿気の吸収を防止しアウトガス発生を防
止する方法が必要であることが理解されよう。
【0007】
【課題を解決するための手段】本発明は、向上した膜品
質を界面に有する絶縁層を与えることにより、従来技術
の上述の問題を解決する。また、本発明は、このような
絶縁層を形成するための方法及び装置を提供する。
【0008】特に、本発明では、プラズマがフルパワー
に致った後まで反応性ガスの導入を遅らせることによ
り、上述のような不完全な反応を低減する方法を提供す
る。具体的には、本発明の方法の具体例の1つでは、処
理チャンバに導入した不活性ガスからプラズマを形成す
る。プラズマ形成に用いるRF電力は、チャンバ内に不
活性ガスを伴って、オフの状態からフルパワーの状態に
される。RF電力がフルパワーの状態に達してから初め
て、反応性ガスが導入される。
【0009】本発明の好ましい具体例では、RF電力が
フルパワーになるまでは、それ自身では堆積の源として
不十分である第1の反応性ガスが不活性ガスを伴って導
入される。フルパワーに達した後、残りのその他の堆積
ガスを導入して、プラズマ堆積を行わせる。
【0010】本発明の別の具体例では、RF電力がフル
パワーになるまでのチャンバに導入するガスの全流量
は、バルク膜層を堆積するためにチャンバに導入するガ
スの全流量と実質的に等しい。
【0011】本発明の具体例を利点及び特徴と共に、以
下の説明及び添付の図面により詳細に説明することにす
る。
【0012】
【発明の実施の形態】
(1.代表的なCVDリアクタチャンバ)図1は、本発
明に従った誘電層をを堆積できる真空チャンバ15を有
する平行平板プラズマ励起化学気相堆積(PECVD)
システム10を例示する。リアクタ10は、堆積のため
のガスを、サセプタ12に置かれるウエハ(図示せず)
に散布するためのガス散布マニホールド11を有してい
る。サセプタ12は、熱応答性が非常に高い。また、サ
セプタ12は支持フィンガ13上に載置され、サセプタ
12(及びサセプタ12の上面で支持されるウエハ)
が、下方の搬入出のポジションと、マニホールド11に
近接した上方の処理のポジション14の間で、制御によ
り移動できるようになっている。
【0013】サセプタ12とウエハが処理のポジション
14にあるときは、これらは、間隔をおいて配置される
複数の穴ないしポート23を有するバッフル板によって
包囲される。この穴23から、環状の真空マニホールド
24の中へと排気される。堆積ガスとキャリアガスが、
ガスライン18を通じてミキシングチャンバ19内に供
給され、そこで、これらは混合されマニホールド11へ
と送られる。処理中に、マニホールド11に供給された
ガスは、矢印21に示されるように、ウエハ表面全体に
放射状に、均一に散布される。そして、ガスは真空ポン
プシステム(図示せず)により、ポート23を介して円
形の真空マニホールド24内へと排気され、更に、排気
ライン31へと出て行く。排気ライン31を通じてガス
が放出される速度は、スロットルバルブ32によって制
御される。
【0014】RF電源25からマニホールド11に印加
されるRFエネルギーにより、プラズマがウエハに近隣
に形成され制御される。ここでガス散布マニホールドは
RF電極であり、サセプタ12は接地されている。RF
電源は、チャンバ15内に導入される反応性の化学種の
分解を促進するために、単一の周波数のRF電力又は混
合周波数のRF電力(又はその他の変形)をマニホール
ド11に供給することができる。
【0015】円形の外部ランプモジュール26により、
クオーツウィンドウ28を介しサセプタ12の環状外縁
部分の上に、光を、コリメートされた環状のパターン2
7で与える。この熱分布により、サセプタの自然の熱損
失のパターンを補償し、且つ、堆積のを行うためにサセ
プタ及びウエハを迅速且つ均一に加熱する。
【0016】モータ(図示せず)により、サセプタ12
が処理のポジション14と下側のウエハ搬入のポジショ
ンとの間で昇降する。モータと、ガスライン18に接続
するガス供給バルブと、スロットルバルブと、RF電源
25とが、制御ライン36を介してプロセッサ34によ
って制御される。制御ライン36は、一部のみ図示され
る。プロセッサ34は、メモリ38に格納されたコンピ
ュータプログラムによる制御を受けて動作する。ここで
のコンピュータプログラムは、特定のプロセスに対して
タイミング、ガスの混合、チャンバ圧力、チャンバ温
度、RF電力レベル、サセプタのポジションその他のパ
ラメータの命令を行う。
【0017】典型的には、チャンバライニング、ガス流
入マニホールドフェース板、支持フィンガ13その他の
リアクタのハードウェアの一部又は全部が、アルミニウ
ムや陽極酸化アルミニウム(アノーダイズドアルミニウ
ム)等の材料でできている。このようなPECVDの装
置の例が、標題 "Thermal CVD/PECVD Reactor and Use
for Thermal Chemical Vapor Deposition of Silicon D
ioxide and In-situ Multistep Planarized Process"
(二酸化珪素の熱CVD及びインシチュウマルチステッ
プ平坦化プロセスのための熱CVD/PECVDリアク
タ及びその使用法)である米国特許第5,000,113
号に記載されている。
【0018】上述のリアクタの説明は主に例示のための
ものであり、本発明には、電子サイクロトロン共鳴(E
CR:electron cyclotron reasonance )プラズマCV
D装置や、誘導結合RF高密度プラズマCVD装置等、
その他のCVD装置を用いてもよい。上述のシステムの
変形、例えばサセプタデザイン、ヒーターデザイン、R
F電力接続部の配置その他に関する変形も可能である。
例えば、抵抗加熱サセプタによりウエハを支持し加熱し
てもよい。本発明の誘電層やこの誘電層を形成する方法
は、特定の装置や特定のプラズマ励起法に限定されるも
のではない。
【0019】(2.プラズマ励起CVD法により堆積し
た膜の膜品質の向上)本発明を用いて、プラズマ励起C
VD法により堆積した膜の界面における膜品質を向上す
ることができる。具体的には、プラズマが選ばれたフル
パワーレベルに移行した後反応性ガスを導入する新規な
手法により、膜界面での品質の向上が実現される。完全
なプラズマに達するまで反応性ガスの導入を遅らせるこ
とにより、不完全反応が生じる量が減り、膜界面を向上
させる。
【0020】本発明の方法の1つの具体例では、 Si
4-FSG 膜の界面の膜質が向上する。この具体例に
従い、真空ロックドアを介してウエハを真空チャンバ1
5へ搬入し、サセプタ12上に置く(図2、ステップ2
00)。次いで、サセプタを処理のポジション14へと
移動させる(図205)処理のポジション14では、ウ
エハはガス散布マニホールド11から約200〜600
mil(1mil=約25.4μm)に配置される。
【0021】ウエハを適正に配置した後、ウエハとサセ
プタを200〜500℃の温度まで加熱し(ステップ2
10)、不活性ガスをガス散布マニホールドからリアク
タチャンバに導入する(ステップ215)。ステップ2
15で導入するガスは、非反応性のガスであればよい
が、好ましい具体例ではヘリウムを導入している。原子
量に鑑みれば、ヘリウムは、これよりも重いアルゴン等
の不活性ガスに比べて、ウエハへのスパッタ効果が小さ
くなるだろう。不活性ガスを導入した後は、スロットル
バルブ32を真空ポンプシステムと協働し且つ不活性ガ
スを導入して、チャンバに選択した圧力(約1〜100
0トール)を設定しこれを維持する(ステップ22
0)。
【0022】そして、単一周波数又は混合周波数のRF
電源を用いて、RF電力を供給しプラズマを発生させる
(ステップ225)。一般に、RF電力がオフの状態か
らフルパワー(ステップ230でRF電源を駆動する電
力レベル)に致るまで数秒以上かかる。無論、フルパワ
ーに致るまでの実際の時間は、RF上昇速度及び採用す
るフルパワーレベルに依拠する。RF電力は50ワット
/秒〜900ワット/秒の速度で上昇してもよい。RF
電力を増加させる速度は、上昇の期間中一定であっても
よく、あるいは、この期間中変化させてもよい。好まし
くは、RF電力上昇速度は50ワット/秒〜600ワッ
ト/秒であり、最も好ましくは約200ワット/秒以下
又は未満である。RF電力の上昇が低い速度で行われた
場合は、粒子の発生が少なく、その結果、強い界面にな
る。混合周波数の電源を用いた場合は、電源は13.5
6MHzの高い周波数では0〜1200ワット、約35
0kHzの低い周波数では約0〜500ワットで駆動さ
れる。
【0023】プラズマが完全な強度まで達した後、反応
性ガスを含有するプロセスガスをチャンバに導入し且つ
不活性ガスの流入を停止して(ステップ230)、FS
G膜を堆積させる。この具体例でのプロセスガスは、弗
素ソースとしてSiF4 と、珪素ソースとしてTEOS
(テトラエトキシシラン)と、1つ以上の酸素ソースと
を備える混合ガスを有している。TEOSは室温では液
状であり、液体インジェクションバルブにより気化され
た後、プロセスガスと混合する前にヘリウム等の不活性
ガスと混合される。気化したTEOSと混合する不活性
ガスは、ステップ215で導入するガスと同じラインに
よる同じガスであってもよく、あるいは別のガスライン
からであってもよい。
【0024】プロセスガス中に種々の前駆体ガスを導入
する流量は、所望の膜の性質、チャンバ容積その他の因
子に依拠する。200mmウエハに適する上述の代表的
なCVDシステムを用いる具体例の1つでは、インジェ
クションバルブへのTEOSの流入量は約400〜15
00mgmである。SiF4 が100〜2500scc
mの流量で導入され、酸素はO2 の形態又は同様のソー
スにより、流量約300〜3000sccmで導入され
る。ガス混合チャンバ内のガス及びガス散布マニホール
ドを通るガスの全流量は、約1000〜5000scc
mである。
【0025】上記の具体例の好ましい態様では、ステッ
プ215においてO2 の形態での酸素が、50〜700
sccm(あるいは酸素:ヘリウムの比が0.015〜
1:1)等の所定の量で、不活性ガスに同伴してチャン
バに導入される。次いで、ステップ230では、特定の
プロセスに対して適切なレベルまで酸素の量を増加させ
る。ステップ215からステップ230まで少なくとも
1つのガスの流入を継続させることにより、不活性ガス
が停止したときでもプラズマは消失しないことが確保さ
れ、且つ、更に安定なプラズマを生成する。無論、採用
するプロセスによって、これら2つのステップに共通の
ガスは、O2 以外の酸素ソース、例えばN2O 、オゾン
又は同様のガスの如きであってもよく、あるいは、全く
別のガスを用いてもよい。しかし、ステップ215で導
入するガスが基板上の堆積材料と反応しないことが重要
である。従って、例えば、TEOSは、珪素と酸素の両
方を含んでおりこれらは共にプラズマ環境下で反応を生
じ得るため、ステップ215では不活性ガスと共に導入
すべきでない。
【0026】別の具体例では、ステップ215での不活
性ガスの導入流量又は不活性ガスと酸素その他のガスの
導入混合流量は、ステップ230でのプロセスガスの導
入流量と実質的に等しい。例えば、特定のプロセスでS
iF4 を流量800sccmでチャンバに導入する場合
は、導入しようとするTEOS流量を600mgmと
し、導入しようとする酸素の流量を700sccmと
し、次いで、ステップ220で不活性ガスの導入流量
(あるいは不活性ガスと酸素その他のガスの導入混合流
量)を約2100sccmとすべきである。ステップ2
20とステップ230の間でこのような均一なガスの流
れを維持することにより、堆積が更に均一になる。
【0027】また別の具体例では、弗素その他をドープ
したシリコン酸化物膜を堆積するが、ここではステップ
230を別々のステップに分割し、それらのステップで
は、珪素源の後、弗素その他のドーパント源を含有する
前駆体ガスを導入する。即ち、上記の SiH4-FSG
の例では、SiF4 を導入する約1〜10秒前、ステッ
プ230でTEOSをチャンバに導入する。このような
シーケンスにより、界面にシリコン酸化物の非常に薄い
層を堆積し、この界面で弗素の結合が緩まないことを確
保する。
【0028】無論、本発明の方法は、上記の具体例に限
定されるものではない。例えば、上記の具体例ではSi
4 を弗素源として用いているが、C26やTEFS等
の他の弗素源を用いてもよい。また、O2 以外の酸素源
及びTEOS以外の珪素源を用いてもよい。別の具体例
では、SiH4 やその他の珪素源を用いることができ、
また、N2O 、O3 又は同様の酸素源を用いてもよい。
【0029】更に、上記で弗素ドープシリコン酸化物膜
に関して本発明の方法を例示してきたが、本発明は、プ
ラズマ環境下で形成したその他のシリコン酸化物膜、例
えばノンドープシリケートガラス(USG)、リンシリ
ケートガラス(PSG)やホウ素リンシリケートガラス
(BPSG)その他のシリコン酸化物膜の膜質を向上さ
せるために用いてもよい。これらの具体例では例えば、
弗素源ではなくリン源を用いてPSG膜を堆積し、ある
いは、プロセスガスに珪素と酸素を含有させるが弗素を
含有させないでUSGを堆積してもよい。また、本発明
はシリコンナイトライドや、シリコンオキシナイトライ
ドその他の、プラズマ励起堆積層のプラズマ堆積に用い
ることもできる。
【0030】従って、上記のプロセスで挙げたパラメー
タは、ここに記載した特許請求の範囲を制限するべきで
はないことが理解されよう。当業者には、その他の化学
品、処理パラメータ、処理条件を用いて本発明を行うこ
とが可能である。
【0031】(3.代表的な構造体)図3は、本発明に
従った集積回路300の簡略化いた断面を例示する。図
示の如く、集積回路300は、NMOSトランジスタ3
03とPMOSトランジスタ306を有しており、これ
らは別々に離れておりフィールド酸化物領域320によ
り相互に電気的に絶縁されている。トランジスタ303
及び306のそれぞれは、ソース領域312と、ドレイ
ン領域315と、ゲート領域318とを有している。
【0032】プレメタル誘電層321により、トランジ
スタ303及び306はメタル層M1から隔てられつつ
も、メタル層M1とこれらトランジスタの間の接続はコ
ンタクト324によりなされている。メタル層M1は、
集積回路300内に含まれる4つのメタル層M1〜M4
の中の1つである。メタル層M1〜M4のそれぞれは隣
接し合うメタル層とは、それぞれのメタル間誘電層32
7(IMD1、IMD2及びIMD3)によって隔てら
れている。隣接し合うメタル層は、バイア326によ
り、選択された開口のところで接続されている。メタル
層M4の上には、平坦化されたパッシベーション層33
0が堆積している。
【0033】本発明の層は、集積回路300に示される
誘電層のそれぞれに用いられることが見出されてもよ
い。本発明の層はまた、集積回路によっては含まれるこ
とのあるダマシーン層(damascene layer) に用いられて
もよい。ダマシーン層では、ブランケットを基板上に堆
積し、これを基板に到達するまでエッチングし、次い
で、メタルを充填し、そして、これをエッチバック又は
研磨して、M1のようなメタルコンタクトを形成する。
メタル層を堆積した後、第2のブランケットを堆積して
これを選択的にエッチングする。エッチングした領域を
その後メタルで充填し、エッチバック又は研磨してバイ
ア326を形成する。
【0034】この単純化した集積回路300は例示の目
的のみのものであることが理解されよう。当業者には、
マイクロプロセッサや特定用途集積回路(ASIC
S)、メモリーデバイス等の他の集積回路の製造のため
に本発明を実施することができるだろう。
【0035】
【実施例】
(4.試験結果及び測定)本発明の有効性を立証するた
め、本発明の方法の利益を有しない場合の弗素ドープシ
リコン酸化物膜の堆積と、本発明の方法に従った場合の
弗素ドープシリコン酸化物膜の堆積とを行った。これら
実験は、アプライドマテリアルズ社の200mmチャン
バ用の、ランプ加熱式のDCVDプレシジョン5000
処理チャンバにおいて行った。それぞれの実験では、低
抵抗シリコンウエハの上に、フルオロシリケートガラス
(FSG)層を堆積した。
【0036】第1の実験では、本発明の方法の利益を有
しないでSiF4-FSG膜を堆積した。具体的には、ウ
エハを処理チャンバに搬入しガス散布マニホールドから
250milの処理のポジションまで移動させた。ウエ
ハを適正に配置した後、400℃まで加熱した。そし
て、SiF4 、TEOS及びO2 を含むプロセスガスを
チャンバに導入した。TEOSの導入流量は915mg
m、酸素(O2 )の導入流量は700sccm、SiF
4 の導入流量は725sccmであった。チャンバ内の
圧力を5トールに設定しこれを維持し、110ワット、
13.56MHzの高周波数と340ワット350kH
zの低周波数を用いてプラズマを形成した。FSG層が
2000オングストローム堆積するまでプラズマを維持
した。
【0037】上記のプロセス条件により得られたFSG
膜は、フーリエ変換赤外(FTIR)分光分析によるS
iF結合と(SiF+SiO)結合のピーク比の測定
で、SiFを2.5%有していた。堆積膜の安定性を評
価するため、熱吸着データ(TDS)測定を従来技術で
知られると同様に行った。図4は、上述のように堆積し
たSiF4-FSG膜から放出されるH2O とH2 を示す
グラフである。このグラフでは、約380℃で膜の中か
らH2 がアウトガスされることが示される。このH2
源は膜界面であると考えられる。
【0038】第2の実験では、本発明に従ってSiF4-
FSG膜を堆積した。この堆積プロセスでは、ウエハを
処理チャンバに搬入しガス散布マニホールドから250
milの処理のポジションまで移動させた。ウエハを適
正に配置した後、400℃まで加熱した。そして、ヘリ
ウムを含む不活性ガスと酸素をチャンバに導入した。ガ
スの導入流量は1400sccm、そのうち流量700
sccmはO2 、流量700sccmはヘリウムであっ
た。
【0039】チャンバ内の圧力を5トールに設定しこれ
を維持し、110ワット、13.56MHzの高周波数
と340ワット350kHzの低周波数を用いてプラズ
マを形成した。プラズマの形成にあたり、RF電力を、
100ワット/秒の速度で印加した。RF電力がフルパ
ワーに達した(約3.5秒)後、酸素の流量を700s
ccmに上げ、TEOSを流量915mgmで導入し、
SiF4 を流量725sccmで導入し、ヘリウムを停
止した。FSG層が2458オングストローム堆積する
までプラズマを維持した。
【0040】上記のプロセスにより、SiFを2.5%
有するFSG膜が堆積した。上述のように堆積したSi
4-FSG膜から放出されるH2O とH2 を示す熱吸着
グラフである図5に示されるように、この膜からアウト
ガスにより放出されるH2 は、第1の実験の膜に比べて
少なかった。
【0041】他の一組の実験では、本発明の利益を有す
る場合と有しない場合とで、ガスクロマトグラフィー質
量分析(GCMS)測定を行った。これらの実験の結果
は図6(a)と図6(b)に示され、これらの図は、S
iF3 イオンのGCMSにより測定したSiF4 のアウ
トガスを例示する。
【0042】図6(a)の試験結果では、低抵抗シリコ
ンウエハの上に、SiF4-FSG膜を堆積した。ウエハ
を処理チャンバに搬入しガス散布マニホールドから25
0milの処理のポジションに配置し、400℃まで加
熱した。SiF4 、TEOS及びO2 を有するプロセス
ガスをチャンバに導入した。TEOSの導入流量は91
5mgm、酸素(O2 )の導入流量は700sccm、
SiF4 の導入流量は1700sccmであった。チャ
ンバ内の圧力を5トールに設定しこれを維持し、110
ワット、13.56MHzの高周波数と340ワット3
50kHzの低周波数を用いてプラズマを形成し、50
00オングストロームのFSG層を堆積した。
【0043】図6(b)の試験結果では、プラズマを最
初はヘリウムと酸素から形成してRFフルパワーに移行
させる点を除いて、同じプロセスを用いた。RFフルパ
ワーに致った(約3.5秒)後、TEOSとSiF4
プロセスガスに導入し、プラズマを維持して、5000
オングストロームのFSG層を堆積した。
【0044】上記のプロセスにより、SiFを4.5%
有するFSG膜が堆積した。この一組の実験では、膜を
堆積したウエハは摂氏400度に30分間加熱された。
この30分の間にGCMS測定を行い、膜からアウトガ
スにより放出されるSiF4の量を測定した。このアウ
トガスによる放出分子の量は任意の単位で測定されてい
るが、この測定結果は、図6(b)の膜中のアウトガス
SiF4 分子の量と比較した図6(a)の膜中のアウト
ガスSiF4 分子の量を例示している。このように、図
6(a)と図6(b)に示されるように、従来技術の方
法に従って堆積した膜からは、本発明の方法に従って堆
積した膜からよりも多くの量のアウトガスが検出され
た。試験結果を図6(b)で示した、ウエハ上に堆積し
た膜が良好な品質を有していたのは、膜の界面がより強
かったからであると考えられる。
【0045】本発明の具体例をいくつか詳細に説明して
きたが、本発明に従って低誘電定数の酸化物層を堆積す
る別の等価又は代替的な方法が当業者には可能であろ
う。例えば、ここい例示した具体例は、TEOSを珪素
源としO2 を酸素源として用いるプロセスに関するもの
であるが、シラン等の別の珪素源や、N2O 、CO等の
別の酸素源を用いることも可能である。このような変形
物や同等物は本発明の範囲に含まれると考えられる。
【0046】
【発明の効果】以上詳細に説明したように、本発明によ
れば、シリコン酸化物及びその他の膜を安定化させアウ
トガス発生を防止する方法及び装置が提供される。
【図面の簡単な説明】
【図1】本発明に従った化学気相堆積装置の単純化した
具体例の断面図である。
【図2】本発明の方法の1つの具体例を例示するフロー
チャートである。
【図3】本発明の方法に従って製造した半導体デバイス
の断面図である。
【図4】本発明の方法に従って堆積しなかった2.5%
SiF4-FSG 膜のH2O 及びHe放出を示すグラフ
である。
【図5】本発明の方法に従って堆積した2.5% Si
4-FSG 膜のH2O 及びHe放出を示すグラフであ
る。
【図6】(a)及び(b)はそれぞれ、本発明の利益を
有して堆積した場合と有しないで堆積した場合での、膜
のSiF4 放出を示すグラフである。
【符号の説明】
10…化学気相堆積システム、11…ガス散布マニホー
ルド、12…サセプタ、13…支持フィンガ、14…処
理のポジション、15…真空チャンバ、21…矢印、2
3…ポート、24…真空マニホールド、25…RF電
源、26…ランプモジュール、31…排気ライン、32
…スロットルバルブ、34…プロセッサ、36…制御ラ
イン、38…メモリ、300…集積回路、303…NM
OSトランジスタ、306…PMOSトランジスタ、3
12…ソース領域、315…ドレイン領域、318…ゲ
ート領域、320…フィールド酸化物領域、324…コ
ンタクト、326…ビア、330…パッシベーション
層。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヴィレンドラ ヴィー. エス. ラナ アメリカ合衆国, カリフォルニア州, ロス ガトス, アンドレ コート 101 (72)発明者 アムリタ ヴァーマ アメリカ合衆国, カリフォルニア州, サンタ クララ, ドライ ベッド コー ト 4286 (72)発明者 モリン ケー. バーン アメリカ合衆国, カリフォルニア州, サニーヴェール, モース アヴェニュー 1063, アパートメント ナンバー13− 302 (72)発明者 スドゥハカー スブラマンヤム アメリカ合衆国, カリフォルニア州, サニーヴェール, ワイルドウッド アヴ ェニュー 1235, アパートメント ナン バー168

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバ内に配置した基板の上に堆
    積した層の界面における膜品質を向上させる方法であっ
    て、 (a)不活性ガスを備えた第1のガスを前記処理チャン
    バに導入するステップと、 (b)RF電力を印加し、選択した上昇速度以下でフル
    パワーまで前記RF電力を上昇させることにより前記第
    1のガスからプラズマを発生させるステップと、 (c)前記印加RF電力が実質的にフルパワーまで致っ
    た後、反応物ガスを備えたプロセスガスを前記プロセス
    チャンバに導入して、前記層を堆積するステップとを有
    する方法。
  2. 【請求項2】 更に、 前記印加RF電力がフルパワーに実質的に致った後、前
    記チャンバに前記第1のガスを導入する速度を低下させ
    るステップを有する請求項1に記載の方法。
  3. 【請求項3】 前記第1のガスが更に第2のガス源を備
    え、前記プロセスガスも前記第2のガス源を更に備える
    請求項1に記載の方法。
  4. 【請求項4】 前記第2のガス源が酸素を備える請求項
    3に記載の方法。
  5. 【請求項5】 前記反応物ガスがテトラエトキシシラン
    (TEOS)を備える請求項4に記載の方法。
  6. 【請求項6】 前記プロセスガスが更に、第2の反応物
    ガスを備える請求項5に記載の方法。
  7. 【請求項7】 前記第1の反応物ガスの1〜10秒後、
    前記第2の反応物ガスが前記チャンバに導入される請求
    項6に記載の方法。
  8. 【請求項8】 前記第1の反応物ガスがTEOSを備
    え、前記第2の反応物ガスがSiF4 を備える請求項7
    に記載の方法。
  9. 【請求項9】 前記不活性ガスと、前記第1のガス中の
    前記第2のガス源との比が、0.015〜1:1である
    請求項8に記載の方法。
  10. 【請求項10】 前記ステップ(a)で導入する前記第
    2のガス源酸素が、前記ステップ(c)で増加する請求
    項9に記載の方法。
  11. 【請求項11】 前記プロセスガスが、前記第1のガス
    を導入する流量と実質的に同じ流量で、前記チャンバに
    導入される請求項1に記載の方法。
  12. 【請求項12】 前記不活性ガスがヘリウムである請求
    項1に記載の方法。
  13. 【請求項13】 前記選択した上昇速度が、約200ワ
    ット/秒以下である請求項1に記載の方法。
  14. 【請求項14】 請求項1、2、5、9又は10のいず
    れかに記載の方法により堆積した層を有する集積回路。
  15. 【請求項15】 基板処理システムであって、 処理チャンバを形成するハウジングと、 前記ハウジング内に配置される、基板を保持するための
    基板ホルダと、 前記処理チャンバ内にプロセスガスを導入するためのガ
    スディストリビュータと、 前記ガスディストリビュータにつながり、複数の源を混
    合して前記プロセスガスを形成する、ガス混合チャンバ
    と、 前記ガス混合チャンバにつながり、前記複数の源を前記
    ガス混合チャンバに導入するための、ガスディストリビ
    ューションシステムと前記ガスディストリビューション
    システムを制御するためのプロセッサと、 前記プロセッサにつながり、前記基板処理システムの動
    作を指示するためのプログラムを保管するメモリであっ
    て、前記プログラムは、 前記ガスディストリビューションシステムを制御して、
    不活性ガスを備える第1のガスを前記処理チャンバへ導
    入させる、第1の命令の組と、 高周波電源を制御して、前記チャンバへRF電力を印加
    し、選択した上昇速度以下でフルパワーに致るまで前記
    RF電力を上昇させることにより前記第1のガスからプ
    ラズマを発生させる、第2の命令の組と、 前記ガスディストリビューションシステムを制御して、
    前記RF電力が実質的にフルパワーに致った後に反応物
    ガスを備えるプロセスガスを前記チャンバに導入させ
    る、第3の命令の組とを備える前記メモリとを備える基
    板処理システム。
  16. 【請求項16】 前記第1のガスが更に第2のガス源を
    備え、前記プロセスガスが更に前記第2のガス源を備え
    る請求項15に記載の基板処理システム。
  17. 【請求項17】 前記第3の命令の組が、前記ガスディ
    ストリビューションシステムを制御して、前記第2のガ
    ス源が前記処理チャンバに導入される流量を上昇させ
    る、請求項16に記載の基板処理システム。
  18. 【請求項18】 前記第2のガス源が酸素を備える請求
    項15に記載の基板処理システム。
  19. 【請求項19】 前記不活性ガスと、前記第1のガス中
    の前記第2のガス源との比が、0.015〜1:1であ
    る請求項18に記載の基板処理システム。
  20. 【請求項20】 前記プロセスガスが更にテトラエトキ
    シシラン(TEOS)を備える請求項16に記載の基板
    処理システム。
  21. 【請求項21】 前記プロセスガスが更に弗素を備える
    請求項20に記載の基板処理システム。
  22. 【請求項22】 前記第3の命令の組が前記ガスディス
    トリビューションシステムを制御して、前記プロセスガ
    スを、前記第1の命令の組が前記ディストリビューショ
    ンシステムを制御して前記第1のガスを導入する流量と
    実質的に同じ流量で導入する、請求項15に記載の基板
    処理システム。
  23. 【請求項23】 前記不活性ガスがヘリウムを備える請
    求項15に記載の基板処理システム。
  24. 【請求項24】 前記選択した上昇速度が約200ワッ
    ト/秒以下である請求項15に記載の基板処理システ
    ム。
JP02690897A 1996-02-09 1997-02-10 プラズマ励起cvd膜の界面品質改良のための方法 Expired - Fee Related JP4044637B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/599270 1996-02-09
US08/599,270 US6121163A (en) 1996-02-09 1996-02-09 Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface

Publications (2)

Publication Number Publication Date
JPH09260371A true JPH09260371A (ja) 1997-10-03
JP4044637B2 JP4044637B2 (ja) 2008-02-06

Family

ID=24398954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP02690897A Expired - Fee Related JP4044637B2 (ja) 1996-02-09 1997-02-10 プラズマ励起cvd膜の界面品質改良のための方法

Country Status (2)

Country Link
US (3) US6121163A (ja)
JP (1) JP4044637B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015201646A (ja) * 2014-04-07 2015-11-12 ラム リサーチ コーポレーションLam Research Corporation 構成独立型のガス供給システム
WO2020072203A3 (en) * 2018-10-04 2020-05-14 Applied Materials, Inc. A thin film treatment process

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6208030B1 (en) * 1998-10-27 2001-03-27 Advanced Micro Devices, Inc. Semiconductor device having a low dielectric constant material
US6583057B1 (en) * 1998-12-14 2003-06-24 Motorola, Inc. Method of forming a semiconductor device having a layer deposited by varying flow of reactants
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
JP2001284347A (ja) * 2000-03-31 2001-10-12 Canon Sales Co Inc 成膜方法及び半導体装置の製造方法
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US6511922B2 (en) * 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6815007B1 (en) * 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
FR2842388B1 (fr) * 2002-07-11 2004-09-24 Cit Alcatel Procede et dispositif pour la gravure de substrat par plasma inductif a tres forte puissance
US6953608B2 (en) * 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
TWI670768B (zh) * 2014-10-30 2019-09-01 日商日本瑞翁股份有限公司 電漿蝕刻方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04123424A (ja) * 1990-09-14 1992-04-23 Casio Comput Co Ltd シリコン系薄膜の成膜方法
JPH04346829A (ja) * 1991-01-18 1992-12-02 Applied Materials Inc 高周波交流電気エネルギーと相対的に低い周波数の交流電気的エネルギーを有する、工作物を処理するためのシステムおよび方法
JPH07254592A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 半導体装置の製造方法
JPH08115901A (ja) * 1994-03-25 1996-05-07 Tokyo Electron Ltd プラズマ処理方法およびプラズマ処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6042831A (ja) 1983-08-19 1985-03-07 Toshiba Corp 半導体製造装置
JPS61196538A (ja) * 1985-02-27 1986-08-30 Hitachi Ltd 真空処理方法及び装置
JPH0783011B2 (ja) 1986-06-20 1995-09-06 株式会社日立製作所 減圧処理方法及び装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63183181A (ja) * 1987-01-23 1988-07-28 Anelva Corp マグネトロンスパツタエツチング装置
US4985112A (en) * 1987-02-09 1991-01-15 International Business Machines Corporation Enhanced plasma etching
JPH01134932A (ja) * 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
JPH0622218B2 (ja) * 1988-08-06 1994-03-23 富士通株式会社 エッチング方法
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5102496A (en) * 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
US5043300A (en) * 1990-04-16 1991-08-27 Applied Materials, Inc. Single anneal step process for forming titanium silicide on semiconductor wafer
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5298720A (en) * 1990-04-25 1994-03-29 International Business Machines Corporation Method and apparatus for contamination control in processing apparatus containing voltage driven electrode
US5083865A (en) * 1990-05-11 1992-01-28 Applied Materials, Inc. Particle monitor system and method
JPH04111313A (ja) * 1990-08-31 1992-04-13 Mitsubishi Electric Corp 微細加工装置及び方法
US5372958A (en) 1990-11-16 1994-12-13 Seiko Epson Corporation Process for fabricating a thin film semiconductor device
JP3126420B2 (ja) * 1991-07-23 2001-01-22 東芝キヤリア株式会社 空気調和機
US5328555A (en) * 1992-11-24 1994-07-12 Applied Materials, Inc. Reducing particulate contamination during semiconductor device processing
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US5427621A (en) * 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
US5441596A (en) 1994-07-27 1995-08-15 Cypress Semiconductor Corporation Method for forming a stable plasma
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04123424A (ja) * 1990-09-14 1992-04-23 Casio Comput Co Ltd シリコン系薄膜の成膜方法
JPH04346829A (ja) * 1991-01-18 1992-12-02 Applied Materials Inc 高周波交流電気エネルギーと相対的に低い周波数の交流電気的エネルギーを有する、工作物を処理するためのシステムおよび方法
JPH07254592A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 半導体装置の製造方法
JPH08115901A (ja) * 1994-03-25 1996-05-07 Tokyo Electron Ltd プラズマ処理方法およびプラズマ処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015201646A (ja) * 2014-04-07 2015-11-12 ラム リサーチ コーポレーションLam Research Corporation 構成独立型のガス供給システム
WO2020072203A3 (en) * 2018-10-04 2020-05-14 Applied Materials, Inc. A thin film treatment process
US10971357B2 (en) 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process

Also Published As

Publication number Publication date
JP4044637B2 (ja) 2008-02-06
US6291028B1 (en) 2001-09-18
US6121163A (en) 2000-09-19
US6289843B1 (en) 2001-09-18

Similar Documents

Publication Publication Date Title
US6090167A (en) Method and apparatus for improving film stability of halogen-doped silicon oxide films
JP4044637B2 (ja) プラズマ励起cvd膜の界面品質改良のための方法
US6103601A (en) Method and apparatus for improving film stability of halogen-doped silicon oxide films
US5648175A (en) Chemical vapor deposition reactor system and integrated circuit
US6191026B1 (en) Method for submicron gap filling on a semiconductor substrate
KR100726517B1 (ko) 고밀도 플라즈마 반응기에서 실리콘 질화물의 인시튜 증착 및 집적화
US6583497B2 (en) Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
KR100550419B1 (ko) 빠른증착속도로할로겐이도핑된실리콘산화물층을증착하는방법및장치
US6858923B2 (en) Post-deposition treatment to enhance properties of Si-O-C low films
JP4138052B2 (ja) フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置
US6079354A (en) Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US7049247B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
US6465043B1 (en) Method and apparatus for reducing particle contamination in a substrate processing chamber
KR20080106984A (ko) 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
KR100778947B1 (ko) 성막 방법 및 성막 장치
JPH09172008A (ja) Sacvd酸化物膜とpecvd酸化物膜との間に良好な界面を形成する方法及び装置
US6345589B1 (en) Method and apparatus for forming a borophosphosilicate film
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
JPH09199501A (ja) SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置
KR20010098585A (ko) 구리 다마신 집적회로를 위한 고밀도플라즈마-플루오르화규산염 유리 공정

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060328

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061031

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070131

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070822

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070822

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070914

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071030

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071116

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees