JPH07135317A - 自己整合型シリサイドゲート - Google Patents

自己整合型シリサイドゲート

Info

Publication number
JPH07135317A
JPH07135317A JP6083328A JP8332894A JPH07135317A JP H07135317 A JPH07135317 A JP H07135317A JP 6083328 A JP6083328 A JP 6083328A JP 8332894 A JP8332894 A JP 8332894A JP H07135317 A JPH07135317 A JP H07135317A
Authority
JP
Japan
Prior art keywords
layer
source
gate
drain junction
silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6083328A
Other languages
English (en)
Inventor
Mehrdad Moslehi
モスレヒ メールダッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH07135317A publication Critical patent/JPH07135317A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/015Capping layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/019Contacts of silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 半導体デバイス(10)中に自己整合された
シリサイド化ゲート(44)を形成する方法を得る。 【構成】 導電性本体(22)と使い捨てキャップ(2
4)を有するゲート電極が半導体本体の表面上に形成さ
れる。ゲート電極の側端には側壁スペーサー(32)が
形成される。露出した半導体表面を覆って、側壁スペー
サ(32)およびフィールド絶縁層(18)に隣接して
表面誘電体(36)が形成される。使い捨てキャップ
(24)はゲート電極(22)上に誘電体が形成される
のを阻止する。イオン打ち込みまたは別の適用なドーピ
ング法によって、ゲート電極に隣接した半導体本体表面
にソース/ドレイン接合領域(34)が形成される。次
に、使い捨てキャップ(24)が選択的に除去され、自
己整合されたシリサイド反応プロセスによって、ゲート
電極を覆ってシリサイド層(40)が形成される。付加
的な自己整合的なシリサイドプロセスを用いて、ゲート
シリサイド層(40)よりも薄いソース/ドレイン接合
シリサイド層を形成することはオプションである。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般的には半導体デバ
イス技術に関するものであり、更に詳細には自己整合式
のゲートシリサイド化プロセスに関する。
【0002】
【従来の技術】集積回路において、より複雑な機能およ
びより高度な性能が要求されるにつれて、デバイス構造
の寄生抵抗を可能な限り低減化することが必要となって
きた。これまでに寄生抵抗を低減化するために開発され
てきた1つの方法は、自己整合(セルフ・アライン)さ
れたシリサイド(いわゆるサリサイド)型のデバイス構
造を含むものである。従来の自己整合型シリサイド絶縁
ゲート電界効果トランジスター(IGFET)デバイス
構造は、ソース/ドレイン接合領域およびトランジスタ
ーのポリシリコン(多結晶シリコン)ゲート領域を覆っ
て形成されたシリサイド層を含むものである。シリサイ
ドはIGFETゲート領域を形成するために通常使用さ
れるドープされたポリシリコンよりもずっと低いシート
抵抗を有する。そのため、ゲート領域をシリサイド化し
た場合には、その低抵抗のシリサイド層がより高抵抗の
ポリシリコン層を分路(シャント)することになる。従
って、シリサイド化されたゲート構造は寄生のゲート抵
抗を低減し、このシリサイド分路効果のためにIGFE
Tのゲート遅延も小さくすることができる。更に、シリ
サイド化ソース/ドレイン接合もまたより小さい寄生抵
抗値を有することになり、その結果、より大きい外因性
のデバイス相互コンダクタンス値が許容されることにな
る。
【0003】しかし、ソース/ドレイン接合上のシリサ
イド化プロセスは、最新のサブ0.5ミクロン技術の領
域ではいくつかの問題を引き起こす可能性がある。シリ
サイド化はその下層のシリコンを消費するために、過度
な接合リークを阻止するためにはより深いソース/ドレ
イン接合領域が必要とされるのが普通である。しかし、
より深いソース/ドレイン接合は、ドレイン誘起による
障壁低下(DIBL)やパンチスルーリークのような短
チャンネル効果が増大させる。その他の問題として、よ
り大きい接合リーク、シリサイド化によるソース/ドレ
イン接合のドーパント損失、およびデバイス製造収率を
低下させることにつながるブリッジングの問題がある。
【0004】
【発明の概要】一般に、そして本発明の1つの態様とし
て、半導体デバイス中に自己整合型シリサイドゲートを
形成する方法を開示する。導電性本体を有するゲート電
極と使い捨てのキャップ層とを含む二層積層構造が半導
体本体の表面上に形成される。ゲート電極を半導体本体
から分離するために誘電体を用いることができる。ゲー
ト電極の側壁上には側壁スペーサーが形成される。半導
体本体表面にゲート電極に隣接して複数個のソース/ド
レイン接合領域が、好ましくは自己整合式ドーピング法
を用いて形成される。使い捨てキャップ層は、次に例え
ば選択的な窒化物エッチを用いて除去され、ソース/ド
レイン接合およびスペーサー領域上にシリサイドを形成
することなく、シリサイド化されたゲートが形成され
る。
【0005】本発明の1つの利点は、ソース/ドレイン
接合領域のシリコン消費を最小化した自己整合式のシリ
サイドゲートプロセスを提供することで、そのため、接
合リークが低減化できることである。
【0006】本発明の別の1つの利点は、シリサイド化
されたデバイスコンタクトを形成することに関してより
広い工業的プロセス応用範囲を有する自己整合式のシリ
サイドゲートプロセスを提供できることである。
【0007】本発明の更に別の1つの利点は、ポリサイ
ドゲート構造のために用いられるような、複雑度の低い
エッチング工程を用いた自己整合式のシリサイドゲート
プロセスを提供することである。
【0008】その他の利点は、図面および開示項を参照
した以下の詳細な説明を参照することによって当業者に
は明らかになろう。
【0009】各図面では、特に断らない限り対応する部
品に対して同じ符号および記号を付してある。
【0010】
【実施例】ここでは、CMOSプロセスを用いて製造さ
れるnチャンネルの絶縁ゲート電界効果トランジスター
(IGFET)へ適用するものとして、本発明に従う自
己整合式シリサイドゲートプロセスについて説明する。
もちろん、本発明に従う自己整合式のシリサイドゲート
プロセスは、MOS、BiCMOS、またはCMOS
(nチャンネルおよびpチャンネルの両IGFET)に
従って構築される各種の型のトランジスターに対して適
用することができ、それらはそれぞれに本発明の特徴か
ら恩恵を受けることができる。
【0011】ソース/ドレイン接合領域の上へのシリサ
イド形成を伴わない自己整合式のシリサイドゲートプロ
セスによって利益を得るデバイス技術応用はいくつかあ
る。例えば、いくつかの半導体メモリ技術においては、
ソース/ドレイン寄生抵抗よりもゲート寄生の抵抗とゲ
ート遅延を低減するほうがもっとずっと重要である。更
に、シリサイド化されたソース/ドレイン接合でないほ
うがソース/ドレイン接合へのシリサイド化されたコン
タクト形成のためにはより広いプロセス応用範囲を有す
る。このことは1つのシリサイド反応プロセスだけであ
れば、すなわちシリサイド化されたコンタクトの形成が
1つだけであれば、全体的なシリコンの消費は抑制でき
るということのためである。ソース/ドレイン接合の表
面をシリサイド化することになれば、2つのシリサイド
反応が起こることになる。すなわち、1つはシリサイド
化されたソース/ドレイン接合の形成のためであり、他
方はシリサイド化されたコンタクト形成のためである。
2つのシリサイド反応プロセスは更に、ソース/ドレイ
ン領域上のシリコン消費を増大させ、より深いソース/
ドレイン接合を必要とすることにつながる。
【0012】低抵抗のゲートを形成する1つの方法は、
ゲートのパターニングに先だって、ドープされたポリシ
リコン層とゲート酸化物層との上にタングステンシリサ
イドの層を堆積する工程を含むものである。このタング
ステンシリサイド/ポリシリコン(ポリサイド)積層構
造は、次にエッチされて、合成された低抵抗ゲートに整
形される。しかし、このことは、通常、この合成された
ポリサイドゲート電極をパターン化し定義するための複
雑な複数工程のプラズマエッチを伴う。従って、そのよ
うな複雑なゲートエッチを伴わない自己整合式のシリサ
イドゲートプロセスが望まれる。
【0013】本発明の好適実施例の自己整合型シリサイ
ドゲート構造が図1に示されている。半導体本体10は
基板12中に形成されたpウエル14を含む。フィール
ド絶縁領域18がpウエル14中のチャンネルストップ
領域16の上に位置しており、典型的にはそれは酸化物
材料を含んでいる。pウエル14中にはn+のソース/
ドレイン接合34が形成される。本発明の1つの特長
は、本好適実施例のシリサイドゲートプロセス中にソー
ス/ドレイン接合のどこも消費されないため、ソース/
ドレイン接合34が浅くてよいことである。従って、短
チャンネル効果に付随するリスクは低減化される。シリ
サイド化されたコンタクト50はソース/ドレイン接合
34の表面に位置する。シリサイド化ゲート44はゲー
ト絶縁体層20によってpウエル14中のデバイスチャ
ンネル15表面から分離され、シリサイド層40および
ドープされたポリシリコン層22を含んでいる。好適実
施例ではソース/ドレイン接合34がシリサイド化され
ないために、シリサイド層40の厚さとソース/ドレイ
ン接合の深さとの間に競合は存在しないので、シリサイ
ド層40は従来技術の自己整合式のシリサイド化プロセ
スよりも低シート抵抗を持つ、より厚いものでかまわな
い。側壁スペーサー32がシリサイド化ゲート44の縦
方向端面を絶縁し、有効デバイスチャンネル長を定義も
している。中間レベル誘電体46にはコンタクトホール
が含まれ、そこを通って導電性層60が高融点金属窒化
物コンタクト障壁層52を貫通してソース/ドレイン接
合34へ接触している。
【0014】図2は、pウエル14、チャンネルストッ
プ領域16、およびフィールド絶縁領域18を形成した
後の、シリコン基板12を有する半導体本体10の断面
図である。図2の構造中へ自己整合型のシリサイド化ゲ
ートを形成することについて以下で説明する。チャンネ
ルストップ領域16の形成はオプションであって、省略
してもよいことを注意しておく。
【0015】図3を参照すると、ゲート誘電体層20が
30−300Å程度(例えば、0.25μmデバイスに
対して60Å)の厚さにpウエル14の表面に熱成長
(または堆積)される。次に、ポリシリコン層22が2
000−4000Å程度の厚さにゲート誘電体層20の
表面上へLPCVD法によって堆積される。当業者には
明らかなように、ポリシリコン層22の代わりに他の導
電性材料を使用することもできる。ポリシリコン層22
の上に、例えば減圧CVD(LPCVD)によって20
0−1300Å程度の厚さにシリコン窒化物の層24が
堆積される。当業者には明らかなように、プラズマ励起
CVD(PECVD)のようなその他の方法でシリコン
窒化物層24の堆積を行うこともできる。窒化物/ポリ
シリコンの積層構造は、次にマイクロリソグラフィおよ
びプラズマエッチによって、図4に示すようにパターン
化される。そのようなエッチングの方法は当該分野で既
知である。
【0016】図5を参照すると、この時点で、誘電体層
20を通してのイオン打ち込みにより、低濃度にドープ
されたドレイン(LDD)30がオプションとして形成
される。LDD領域30はポリシリコン層22およびフ
ィールド絶縁領域18に対して自己整合される。図6を
参照すると、ここではCVDおよび異方性プラズマエッ
チを含む従来技術によって側壁スペーサー32が形成さ
れる。例えば、側壁スペーサー32を形成するために、
二酸化シリコン層を堆積させ、異方性エッチしてもよ
い。しかし、側壁スペーサー32を形成するために用い
られるエッチはオーバーエッチとし、ソース/ドレイン
接合領域34を覆う誘電体層20のすべて、あるいは一
部を除去するようにするのが一般的である。ソース/ド
レインのドープされた接合領域34の形成の前、または
後に、図7に示すようにソース/ドレイン領域を覆う保
護用のキャップ酸化物層のための酸化物層36の熱成長
が行われる。当業者には明らかなように、その他の材料
(シリコン窒化物は除く)を使用してもよい。酸化物層
36は典型的には30−300Å程度の厚さを有する。
使い捨てのシリコン窒化物層24はゲートのポリシリコ
ン層22を覆う酸化のマスクとして働く。次に、リンお
よび/または砒素のようなドーパントのイオン打ち込み
によって、ソース/ドレイン接合34が側壁スペーサー
32およびフィールド絶縁領域18に対して自己整合さ
れて形成される。
【0017】図8を参照すると、選択的シリコン窒化物
エッチを用いて窒化物層24が選択的に除去されてい
る。従って、パターニング工程は不要である。熱リン酸
エッチのような湿式エッチ、または最初にHFによるデ
グレーズを伴う乾式エッチのいずれかを用いることがで
きよう。次に、図9に示すように、チタンやコバルトの
ような高融点金属層38がスパッタ堆積によって半導体
本体10の表面上へ堆積される。好適実施例ではチタン
を用いている。図10を参照すると、自己整合式のシリ
サイド、あるいは”サリサイド”の反応プロセスが実行
され、それは窒素を含む雰囲気中で高速熱アニールまた
は炉アニールを行うことを含んでいる。当業者には明ら
かなように、窒素の代わりにアンモニア(NH3)また
はアルゴンの雰囲気を用いてもよい。シリサイド層40
は高融点金属層38が露出したシリコンと直接接してい
るところ、すなわち、ポリシリコン層22の上へだけ形
成される。酸化物層36のために、ソース/ドレイン接
合34の表面にはシリサイドは形成されない。サリサイ
ドプロセス中にチタン窒化物(TiN)の層(未シリサ
イド化層)42が、フィールド絶縁領域18、側壁スペ
ーサー32、およびソース/ドレイン領域34の上に形
成される。TiN42はいくらかの未反応のチタンを含
んでいることもある。最後に、任意の未反応のチタンを
含むTiN層42が超音波エッチ等の選択的なエッチに
よって除去され、ゲートシリサイド層40が残される。
続いてシリサイドアニール工程が実施される。
【0018】ゲートのポリシリコン層22の上のシリサ
イド層40は比較的厚くしてもソース/ドレイン接合領
域34に対して有害にはならない。この最初のサリサイ
ドプロセスの後、次のように最適な第2のサリサイドプ
ロセスを実行することが可能である。ソース/ドレイン
キャップ酸化物層36が(湿式または気相のHFデグレ
ーズを用いて)選択的に除去されて、ソース/ドレイン
接合領域34が露出される。スパッタリング等の方法に
よって高融点金属(例えば、チタン)の薄い(200−
400Å)層が堆積される。反応プロセスが実行され
て、図11に示すように、過剰な未反応のチタンおよび
チタン窒化物が選択的に除去される(もし必要であれ
ば、局所的な相互接続層が追加のマスキング工程を用い
て形成される)。この第2のオプションのサリサイドプ
ロセスは、浅いソース/ドレイン接合と両立するシリコ
ンの消費を低減化したプロセスで以てソース/ドレイン
接合領域上へ比較的薄いシリサイド層43を形成する。
2つの別々のサリサイドプロセスを使用することは、ゲ
ートシリサイド40とソース/ドレインサリサイド43
層との独立した最適化を可能とする。例えば、ゲートシ
リサイド層はかなり厚く(例えば、1000−1500
Å)し、他方、ソース/ドレイン接合シリサイド層43
は比較的薄く(例えば、<500Å)することができ
る。もしソース/ドレインシリサイドが必要でなけれ
ば、このオプションの第2のサリサイドプロセスは用い
られない。残りのプロセス工程について、第2のサリサ
イドなしで説明する。
【0019】上述の方法が完了した後、ソース/ドレイ
ン接合34、ゲート、その他の要素(図示されていな
い)間の相互接続を半導体本体10中に形成することが
できる。例えば、未ドープおよびドープされた酸化物を
含む中間レベルの誘電体層46が、図12に示すように
半導体本体10の表面に堆積される。次に、中間レベル
の誘電体層46およびソース/ドレイン誘電体36中へ
コンタクトホール58がエッチされ、ソース/ドレイン
接合34の表面の一部を露出させる。チタンのような高
融点金属の層48が、図13に示すようにスパッタ堆積
を用いて表面上へ堆積される。図14を参照すると、上
述のようにシリサイド反応プロセスが実行されて、ソー
ス/ドレイン接合34の上のシリサイド化されたコンタ
クト50およびTiN52が形成され、シリサイド化さ
れていない窒化物層がシリサイド化されたコンタクト5
0、およびその他の場所上へ形成される。TiN52は
いくらかの未反応チタンを含むこともある。高融点金属
層48のすべてがソース/ドレイン接合34と反応する
わけではないので、シリサイド化コンタクト50は、コ
ンタクト障壁層として使用されるTiN層とシリサイド
層とを含むことになる。シリサイドコンタクト50を形
成するために消費されるソース/ドレイン接合34中の
シリコンの量は最小に保たれる。最後に、図15を参照
するとアルミニウムやタングステンのような導電性材料
の層60が(CVDやスパッタリングによって)半導体
本体10の表面上へ堆積され、パターン化され、そして
異方性エッチされて、集積回路(図示されていない)中
の各種デバイス間をつなぐ相互接続が形成される。これ
以上の多重レベルの電気的接続を形成するためには、更
に製造プロセスを続けることができる。
【0020】上に述べた方法に続いて、その他の要素な
らびにそれらの間の接続を半導体デバイス10中へ形成
することもできる。それらのことを実現するための方法
は当業者には既知である。その後、個々の回路は基板1
2部分から分離され、当業者には良く知られたようなワ
イヤボンディング、ダイレクトバンプボンディング等々
の方法によって、それに対して外部接続が施される。個
々の回路は、次にデュアルインラインパッケージ、チッ
プキャリア、またはマルチチップモジュールのようなそ
の他の型のパッケージ中へパッケージングされる。その
ようなパッケージの一例が、テキサスインスツルメント
社へ譲渡された1985年1月22日付けの米国特許第
4,495,376号に述べられている。
【0021】以上、いくつかの好適実施例について詳細
に説明してきた。本発明の範囲には、ここに述べたもの
とは異なる別の実施例であって、しかも本発明の範囲に
含まれるようなものが包含されることを理解されたい。
【0022】本発明は例示の実施例に関して説明してき
たが、この説明は限定的な意図のものではない。本説明
を参照することで、例示の実施例に対して各種の修正や
組み合わせが、本発明のその他の実施例とともに当業者
には明らかであろう。従って、請求の範囲はそのような
修正やその他の実施例を包含するものと解釈されるべき
である。
【0023】以上の説明に関して更に以下の項を開示す
る。 (1)半導体本体を有する半導体デバイス中に自己整合
型のシリサイド化されたゲート構造を形成する方法であ
って、次の工程: a.前記半導体本体の表面上へ、少なくとも2つのゲー
ト側壁、導電性本体、および使い捨てのキャップ層を有
するゲート電極を形成すること、 b.前記ゲート側壁上へ側壁スペーサーを形成するこ
と、 c.前記ゲート電極に隣接して半導体本体の前記表面上
へ第1の誘電体層を形成すること、 d.前記ゲート電極に隣接して前記半導体本体の前記表
面中に複数個のソース/ドレイン接合領域を形成するこ
と、 e.前記使い捨てキャップ層を除去すること、および f.自己整合式のシリサイドプロセスを用いて、シリサ
イド化されたゲート電極を形成すること、を含む方法。
【0024】(2)第1項記載の方法であって、前記使
い捨てキャップ層がシリコン窒化物を含んでいる方法。
【0025】(3)第1項記載の方法であって、前記ゲ
ート電極を形成する前記工程が次の工程: a.前記半導体本体の前記表面上に第2の誘電体層を形
成すること、 b.前記第2の誘電体層の上に第1の導電性層を堆積さ
せること、 c.前記第1の導電性層の上にシリコン窒化物層を堆積
させること、および d.前記第1の導電性層と前記シリコン窒化物層とをエ
ッチして、前記導電性本体と前記使い捨てキャップ層と
を形成することを含んでいる方法。
【0026】(4)第1項記載の方法であって、前記シ
リサイド化されたゲート電極を形成する工程が次の工
程: a.前記ゲート電極および前記ソース/ドレイン接合領
域を覆って高融点金属の層を堆積させる工程、 b.前記高融点金属の層をアニールして前記シリサイド
化されたゲート電極並びに前記ソース/ドレイン接合お
よび前記側壁スペーサー領域を覆うシリサイド化されて
いない層を形成する工程であって、前記シリサイド化さ
れていない層が、高融点金属の窒化物、未反応の高融点
金属、または高融点金属の窒化物と未反応の高融点金属
の両方のいずれかを含んでいる工程、 c.前記シリサイド化されていない層を選択的に除去す
る工程、および d.前記シリサイド化されたゲート電極をアニールして
ゲートのシート抵抗を低減化する工程、を含んでいる方
法。
【0027】(5)第4項記載の方法であって、前記ア
ニール工程が窒素を含む雰囲気中で実行される方法。
【0028】(6)第4項記載の方法であって、前記ア
ニール工程が不活性雰囲気中で実行される方法。
【0029】(7)第2項記載の方法であって、前記使
い捨てキャップ層を除去する工程がシリコン窒化物の選
択的エッチングを含んでいる方法。
【0030】(8)第1項記載の方法であって、更に次
の工程: a.前記ソース/ドレイン接合領域および前記ゲート電
極の上に中間レベルの誘電体領域を形成すること、 b.前記中間レベル誘電体層中に複数個のコンタクトホ
ールをエッチして、前記ソース/ドレイン接合領域の一
部を露出させること、 c.前記ソース/ドレイン接合領域の前記露出部分上
で、前記コンタクトホールの各々に対してシリサイド化
されたコンタクト障壁を形成すること、 d.導電性材料の層を堆積させること、および e.前記導電性材料の層をパターニングおよびエッチし
て、複数個の相互接続線を形成すること、を含む方法。
【0031】(9)第8項記載の方法であって、前記シ
リサイド化されたコンタクト障壁を形成する工程が次の
工程: a.前記中間レベルの誘電体および前記コンタクトホー
ルを覆って高融点金属の層を堆積させる工程、および b.高融点金属の前記層を窒素または不活性雰囲気中で
アニールして、前記シリサイド層を前記ソース/ドレイ
ン接合領域の前記露出部分上に形成し、前記シリサイド
層、前記中間レベル誘電体及び前記コンタクトホールの
側壁を覆うシリサイド化していない層を形成する工程で
あって、ここで前記シリサイド化していない層が、高融
点金属の窒化物、未反応の高融点金属、または高融点金
属の窒化物と未反応の高融点金属の両方のいずれかを含
んでいる工程、を含んでいる方法。
【0032】(10)第4項記載の方法であって、前記
高融点金属の層がチタンを含んでいる方法。
【0033】(11)第9項記載の方法であって、前記
高融点金属の層がチタンを含んでいる方法。
【0034】(12)第1項記載の方法であって、前記
導電性本体がポリシリコンを含んでいる方法。
【0035】(13)第1項記載の方法であって、更
に、次の工程: a.前記半導体本体の表面中の前記ゲート電極に対向す
る前記ソース/ドレイン接合領域の側にチャンネルスト
ップ領域を形成すること、および b.前記チャンネルストップ領域を覆ってフィールド絶
縁領域を形成すること、の工程を含む方法。
【0036】(14)第1項記載の方法であって、更
に、前記側壁スペーサーを形成する工程に先立って、低
ドーズのイオン打ち込みを行って低濃度にドープされた
ドレイン領域を形成する工程を含む方法。
【0037】(15)第1項記載の方法であって、更
に、次の工程: a.前記シリサイド化されたゲート電極を形成する前記
工程の後に、選択的エッチによって前記第1の誘電体層
を除去する工程、 b.前記半導体本体を覆って高融点金属の層を堆積させ
る工程、 c.前記高融点金属の層をアニールして、シリサイド化
されたソース/ドレイン接合領域および前記側壁スペー
サーおよびフィールド絶縁領域を覆うシリサイド化され
ていない層を形成する工程であって、前記シリサイド化
されていない層が高融点金属の窒化物、未反応の高融点
金属、または高融点金属の窒化物と未反応の高融点金属
の両方のいずれかを含んでいる工程、 d.前記シリサイド化されていない層を選択的に除去す
ること、および e.前記シリサイド化されたソース/ドレイン接合をア
ニールして、ソース/ドレインシート抵抗を低減化する
工程、を含む方法。
【0038】(16)第15項記載の方法であって、前
記シリサイド化されたソース/ドレイン接合が第1のシ
リサイド化層を含み、前記シリサイド化されたゲート電
極が第2のシリサイド層を含み、ここで前記第2のシリ
サイド層が前記第1のシリサイド層よりも厚いものであ
る方法。
【0039】(17)基板を有する絶縁ゲート電界効果
トランジスターを形成する方法であって、次の工程: a.前記基板の表面にドープされたウエル領域を形成す
ること、 b.前記ウエル領域の表面にフィールド絶縁領域を形成
すること、 c.前記ウエル領域の前記表面に前記フィールド絶縁領
域によって取り囲まれた第1の誘電体層を形成するこ
と、 d.前記第1の誘電体層の上にポリシリコン層を堆積さ
せること、 e.前記ポリシリコン層の上にシリコン窒化物層を堆積
させること、 f.前記ポリシリコン層および前記シリコン窒化物層を
エッチして、側壁を有するゲート電極を形成すること、 g.前記シリコン窒化物層および前記ポリシリコン層の
側壁上へ側壁スペーサーを形成すること、 h.前記ウエル領域の上の前記ゲート電極と前記フィー
ルド絶縁領域との間に第2の誘電体層を形成すること、 i.前記第2の誘電体層を通して、複数個のソース/ド
レイン接合領域を打ち込みによって形成すること、 j.選択的エッチを用いて前記シリコン窒化物層を除去
すること、 k.前記ゲート電極、前記第2の誘電体層、および前記
フィールド絶縁領域を覆って、高融点金属の層を堆積さ
せること、 l.前記高融点金属の層をアニールして、前記ゲート電
極の表面上にシリサイドの層を形成し、前記フィールド
絶縁領域および第2の誘電体層を覆ってシリサイド化さ
れていない高融点金属の層および/または金属の窒化物
の層を形成すること、および m.前記シリサイド化されていない高融点金属の層およ
び/または金属の窒化物の層を選択的に除去すること、
を含む方法。
【0040】(18)第17項記載の方法であって、前
記アニール工程が窒素を含む雰囲気中で実行される方
法。
【0041】(19)第17項記載の方法であって、前
記高融点金属の層がチタンを含んでいる方法。
【0042】(20)半導体デバイスであって: a.複数のソース/ドレイン接合領域と1つのゲートを
含む絶縁ゲート電界効果トランジスターであって、前記
ゲートが第1の厚さの第1のシリサイド層を含み、前記
ソース/ドレイン接合領域が第2の厚さの第2のシリサ
イド層を含み、前記第1の厚さが前記第2の厚さよりも
厚いものである絶縁ゲート電界効果トランジスター、 b.前記絶縁ゲート電界効果トランジスターの上に位置
して前記ソース/ドレイン接合領域に直接接している中
間レベル誘電体であって、前記ソース/ドレイン接合領
域まで延びる複数個のコンタクトホールを有する中間レ
ベル誘電体、および c.前記コンタクトホール内にあって前記ソース/ドレ
イン接合領域に直接接しているシリサイド化されたコン
タクト、を含む半導体デバイス。
【0043】(21)半導体デバイス(10)中に自己
整合されたシリサイド化ゲート(44)を形成する方
法。導電性本体(22)と使い捨てキャップ(24)を
有するゲート電極が半導体本体の表面上に形成される。
ゲート電極の側端には側壁スペーサー(32)が形成さ
れる。露出した半導体表面を覆って、側壁スペーサ(3
2)およびフィールド絶縁層(18)に隣接して表面誘
電体(36)が形成される。使い捨てキャップ(24)
はゲート電極(22)上に誘電体が形成されるのを阻止
する。イオン打ち込みまたは別の適用なドーピング法に
よって、ゲート電極に隣接した半導体本体表面にソース
/ドレイン接合領域(34)が形成される。次に、使い
捨てキャップ(24)が選択的に除去され、自己整合さ
れたシリサイド反応プロセスによって、ゲート電極を覆
ってシリサイド層(40)が形成される。付加的な自己
整合的なシリサイドプロセスを用いて、ゲートシリサイ
ド層(40)よりも薄いソース/ドレイン接合シリサイ
ド層を形成することはオプションである。
【図面の簡単な説明】
【図1】本発明の好適実施例に従う自己整合型のシリサ
イドゲート構造の断面図。
【図2】本発明の好適実施例の各工程段階における断面
図であって、pウエル14、チャンネルストップ領域1
6、およびフィールド絶縁領域18の形成後の、シリコ
ン基板12を有する半導体本体10の断面図。
【図3】本発明の好適実施例の各工程段階における断面
図であって、ゲート誘電体層を形成した後の断面図。
【図4】本発明の好適実施例の各工程段階における断面
図であって、窒化物/ポリシリコン積層構造をパターン
化した後の断面図。
【図5】本発明の好適実施例の各工程段階における断面
図であって、オプションのLDD形成が行われた場合の
断面図。
【図6】本発明の好適実施例の各工程段階における断面
図であって、側壁スペーサーを形成した後の断面図。
【図7】本発明の好適実施例の各工程段階における断面
図であって、ソース/ドレイン領域を覆う酸化物層の形
成後の断面図。
【図8】本発明の好適実施例の各工程段階における断面
図であって、窒化物層のエッチング除去の後の断面図。
【図9】本発明の好適実施例の各工程段階における断面
図であって、半導体本体上へ高融点金属を堆積させた後
の断面図。
【図10】本発明の好適実施例の各工程段階における断
面図であって、サリサイド反応後の断面図。
【図11】本発明の好適実施例の各工程段階における断
面図であって、余剰のチタンおよびチタン窒化物を除去
した後の断面図。
【図12】本発明の好適実施例の相互接続を含む場合の
各工程段階における断面図であって、半導体本体の表面
上へ中間レベルの誘電体層を堆積させた後の断面図。
【図13】本発明の好適実施例の相互接続を含む場合の
各工程段階における断面図であって、高融点金属を堆積
させた後の断面図。
【図14】本発明の好適実施例の相互接続を含む場合の
各工程段階における断面図であって、シリサイド反応後
の断面図。
【図15】本発明の好適実施例の相互接続を含む場合の
各工程段階における断面図であって、相互接続を形成し
た後の断面図。
【符号の説明】
10 半導体本体 12 基板 14 pウエル 15 デバイスチャンネル 16 チャンネルストップ領域 18 フィールド絶縁領域 20 ゲート絶縁層 22 ドープされたポリシリコン層 24 シリコン窒化物層 30 低濃度にドープされたドレイン 32 側壁スペーサー 34 ソース/ドレイン接合 36 酸化物層 38 高融点金属層 40 シリサイド層 42 TiN層 43 ソース/ドレインシリサイド層 44 シリサイド化されたゲート 46 中間レベル誘電体 48 高融点金属層 50 シリサイド化されたコンタクト 52 高融点金属窒化物層 58 コンタクトホール 60 導電層

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 半導体本体を有する半導体デバイス中に
    自己整合型のシリサイド化されたゲート構造を形成する
    方法であって、次の工程: a.前記半導体本体の表面上へ、少なくとも2つのゲー
    ト側壁、導電性本体、および使い捨てのキャップ層を有
    するゲート電極を形成すること、 b.前記ゲート側壁上へ側壁スペーサーを形成するこ
    と、 c.前記ゲート電極に隣接して半導体本体の前記表面上
    へ第1の誘電体層を形成すること、 d.前記ゲート電極に隣接して前記半導体本体の前記表
    面中に複数個のソース/ドレイン接合領域を形成するこ
    と、 e.前記使い捨てキャップ層を除去すること、および f.自己整合式のシリサイドプロセスを用いて、シリサ
    イド化されたゲート電極を形成すること、を含む方法。
  2. 【請求項2】 半導体デバイスであって: a.複数のソース/ドレイン接合領域と1つのゲートを
    含む絶縁ゲート電界効果トランジスターであって、前記
    ゲートが第1の厚さの第1のシリサイド層を含み、前記
    ソース/ドレイン接合領域が第2の厚さの第2のシリサ
    イド層を含み、前記第1の厚さが前記第2の厚さよりも
    厚いものである絶縁ゲート電界効果トランジスター、 b.前記絶縁ゲート電界効果トランジスターの上に位置
    して前記ソース/ドレイン接合領域に直接接している中
    間レベル誘電体であって、前記ソース/ドレイン接合領
    域まで延びる複数個のコンタクトホールを有する中間レ
    ベル誘電体、および c.前記コンタクトホール内にあって前記ソース/ドレ
    イン接合領域に直接接しているシリサイド化されたコン
    タクト、を含む半導体デバイス。
JP6083328A 1993-04-22 1994-04-21 自己整合型シリサイドゲート Pending JPH07135317A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US5243693A 1993-04-22 1993-04-22
US052436 1993-04-22

Publications (1)

Publication Number Publication Date
JPH07135317A true JPH07135317A (ja) 1995-05-23

Family

ID=21977601

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6083328A Pending JPH07135317A (ja) 1993-04-22 1994-04-21 自己整合型シリサイドゲート

Country Status (2)

Country Link
US (1) US5447875A (ja)
JP (1) JPH07135317A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006202860A (ja) * 2005-01-19 2006-08-03 Toshiba Corp 半導体装置及びその製造方法

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955770A (en) * 1994-10-31 1999-09-21 Stmicroelectronics, Inc. Method of forming raised source/drain regions in an integrated circuit
US5654570A (en) * 1995-04-19 1997-08-05 International Business Machines Corporation CMOS gate stack
US5700716A (en) 1996-02-23 1997-12-23 Micron Technology, Inc. Method for forming low contact resistance contacts, vias, and plugs with diffusion barriers
KR100233558B1 (ko) * 1996-06-29 1999-12-01 김영환 반도체 소자의 제조방법
US5753557A (en) * 1996-10-07 1998-05-19 Vanguard International Semiconductor Company Bridge-free self aligned silicide process
US5949114A (en) 1996-11-07 1999-09-07 Micron Technology, Inc. Semiconductor device having increased breakdown voltage and method of fabricating same
US5747882A (en) * 1996-11-07 1998-05-05 Advanced Micro Devices, Inc. Device including means for preventing tungsten silicide lifting, and method of fabrication thereof
US6143613A (en) * 1997-06-30 2000-11-07 Vlsi Technology, Inc. Selective exclusion of silicide formation to make polysilicon resistors
US5953612A (en) * 1997-06-30 1999-09-14 Vlsi Technology, Inc. Self-aligned silicidation technique to independently form silicides of different thickness on a semiconductor device
US6207543B1 (en) 1997-06-30 2001-03-27 Vlsi Technology, Inc. Metallization technique for gate electrodes and local interconnects
US6074921A (en) * 1997-06-30 2000-06-13 Vlsi Technology, Inc. Self-aligned processing of semiconductor device features
US5953614A (en) * 1997-10-09 1999-09-14 Lsi Logic Corporation Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
US6153456A (en) * 1998-01-14 2000-11-28 Vlsi Technology, Inc. Method of selectively applying dopants to an integrated circuit semiconductor device without using a mask
US6069044A (en) * 1998-03-30 2000-05-30 Texas Instruments-Acer Incorporated Process to fabricate ultra-short channel nMOSFETS with self-aligned silicide contact
KR100306372B1 (ko) 1998-06-29 2001-10-19 박종섭 반도체소자의 게이트전극 형성방법
US6136656A (en) * 1998-10-22 2000-10-24 International Business Machines Corporation Method to create a depleted poly MOSFET
US6211048B1 (en) * 1998-12-21 2001-04-03 United Microelectronics Corp. Method of reducing salicide lateral growth
US6211000B1 (en) * 1999-01-04 2001-04-03 Advanced Micro Devices Method of making high performance mosfets having high conductivity gate conductors
US6188107B1 (en) 1999-01-07 2001-02-13 Advanced Micro Devices, Inc. High performance transistor fabricated on a dielectric film and method of making same
US6150222A (en) * 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
US6674151B1 (en) * 1999-01-14 2004-01-06 Agere Systems Inc. Deuterium passivated semiconductor device having enhanced immunity to hot carrier effects
US6271133B1 (en) 1999-04-12 2001-08-07 Chartered Semiconductor Manufacturing Ltd. Optimized Co/Ti-salicide scheme for shallow junction deep sub-micron device fabrication
US6344397B1 (en) 2000-01-05 2002-02-05 Advanced Micro Devices, Inc. Semiconductor device having a gate electrode with enhanced electrical characteristics
US6268255B1 (en) 2000-01-06 2001-07-31 Advanced Micro Devices, Inc. Method of forming a semiconductor device with metal silicide regions
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6268257B1 (en) 2000-04-25 2001-07-31 Advanced Micro Devices, Inc. Method of forming a transistor having a low-resistance gate electrode
US6620718B1 (en) 2000-04-25 2003-09-16 Advanced Micro Devices, Inc. Method of forming metal silicide regions on a gate electrode and on the source/drain regions of a semiconductor device
US6423634B1 (en) 2000-04-25 2002-07-23 Advanced Micro Devices, Inc. Method of forming low resistance metal silicide region on a gate electrode of a transistor
US6306698B1 (en) 2000-04-25 2001-10-23 Advanced Micro Devices, Inc. Semiconductor device having metal silicide regions of differing thicknesses above the gate electrode and the source/drain regions, and method of making same
EP1193289A1 (en) * 2000-10-02 2002-04-03 The Procter & Gamble Company Improved thermoplastic hydrophilic polymeric compositions for moisture vapour permeable structures
KR100389039B1 (ko) 2001-03-05 2003-06-25 삼성전자주식회사 비휘발성 메모리소자 및 그 제조방법
US6455383B1 (en) * 2001-10-25 2002-09-24 Silicon-Based Technology Corp. Methods of fabricating scaled MOSFETs
DE10208728B4 (de) 2002-02-28 2009-05-07 Advanced Micro Devices, Inc., Sunnyvale Ein Verfahren zur Herstellung eines Halbleiterelements mit unterschiedlichen Metallsilizidbereichen
DE10208904B4 (de) * 2002-02-28 2007-03-01 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung unterschiedlicher Silicidbereiche auf verschiedenen Silicium enthaltenden Gebieten in einem Halbleiterelement
DE10209059B4 (de) * 2002-03-01 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Ein Halbleiterelement mit unterschiedlichen Metall-Halbleiterbereichen, die auf einem Halbleitergebiet gebildet sind, und Verfahren zur Herstellung des Halbleiterelements
DE10214065B4 (de) * 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
US6767835B1 (en) 2002-04-30 2004-07-27 Advanced Micro Devices, Inc. Method of making a shaped gate electrode structure, and device comprising same
DE10234931A1 (de) * 2002-07-31 2004-02-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Metallsilizidgates in einer standardmässigen MOS-Prozesssequenz
US6607993B1 (en) * 2002-08-19 2003-08-19 Chartered Semiconductor Manufacturing Ltd. Method using ultraviolet radiation for integrated circuit manufacturing
US6815235B1 (en) 2002-11-25 2004-11-09 Advanced Micro Devices, Inc. Methods of controlling formation of metal silicide regions, and system for performing same
AU2003303273A1 (en) * 2002-12-20 2004-07-14 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US7148143B2 (en) * 2004-03-24 2006-12-12 Texas Instruments Incorporated Semiconductor device having a fully silicided gate electrode and method of manufacture therefor
US7002209B2 (en) * 2004-05-21 2006-02-21 International Business Machines Corporation MOSFET structure with high mechanical stress in the channel
US20060258074A1 (en) * 2005-05-12 2006-11-16 Texas Instruments Incorporated Methods that mitigate excessive source/drain silicidation in full gate silicidation metal gate flows
US20070296052A1 (en) 2006-06-26 2007-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicide regions and resulting MOS devices
JP2011077072A (ja) * 2009-09-29 2011-04-14 Panasonic Corp 固体撮像素子及びその製造方法
JP6026914B2 (ja) 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4587718A (en) * 1984-11-30 1986-05-13 Texas Instruments Incorporated Process for forming TiSi2 layers of differing thicknesses in a single integrated circuit
US4755478A (en) * 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4877755A (en) * 1988-05-31 1989-10-31 Texas Instruments Incorporated Method of forming silicides having different thicknesses
US5094981A (en) * 1990-04-17 1992-03-10 North American Philips Corporation, Signetics Div. Technique for manufacturing interconnections for a semiconductor device by annealing layers of titanium and a barrier material above 550° C.
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5352631A (en) * 1992-12-16 1994-10-04 Motorola, Inc. Method for forming a transistor having silicided regions
US5322809A (en) * 1993-05-11 1994-06-21 Texas Instruments Incorporated Self-aligned silicide process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006202860A (ja) * 2005-01-19 2006-08-03 Toshiba Corp 半導体装置及びその製造方法
US8004050B2 (en) 2005-01-19 2011-08-23 Kabushiki Kaisha Toshiba Semiconductor device comprising gate electrode having arsenic and phosphorous

Also Published As

Publication number Publication date
US5447875A (en) 1995-09-05

Similar Documents

Publication Publication Date Title
US5447875A (en) Self-aligned silicided gate process
US5322809A (en) Self-aligned silicide process
US5744395A (en) Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure
JP2999172B2 (ja) 自己整合された局所的相互接続及びコンタクトを行うための製造方法
US6103610A (en) Integrated circuit structure with dual thickness cobalt silicide layers and method for its manufacture
US6136705A (en) Self-aligned dual thickness cobalt silicide layer formation process
US5874353A (en) Method of forming a self-aligned silicide device
US6218716B1 (en) Enhanced structure for salicide MOSFET
US5266156A (en) Methods of forming a local interconnect and a high resistor polysilicon load by reacting cobalt with polysilicon
US6200871B1 (en) High performance self-aligned silicide process for sub-half-micron semiconductor technologies
JPH10223770A (ja) 半導体装置及びその製造方法
US6667204B2 (en) Semiconductor device and method of forming the same
US7176096B1 (en) Transistor gate and local interconnect
JPH08213610A (ja) 電界効果型半導体装置及びその製造方法
US20050082605A1 (en) Semiconductor device and method for manufacturing semiconductor device
JP2636786B2 (ja) 半導体装置の製造方法
JP3394914B2 (ja) 半導体装置およびその製造方法
JP3190858B2 (ja) 半導体装置およびその製造方法
JPH08274187A (ja) 半導体装置の製造方法
JPH09321280A (ja) Mosトランジスタおよびその製造方法
US6221725B1 (en) Method of fabricating silicide layer on gate electrode
US6225177B1 (en) Electrode resistance improved MOSFET with source and drain regions reduced in size beyond lithography limit and method for making the same
JP2752222B2 (ja) Mos型半導体装置及びその製造方法
KR100318273B1 (ko) 반도체 소자의 비트라인 형성방법
JP2000299446A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040227