JPH06506318A - セラミック静電チャック - Google Patents

セラミック静電チャック

Info

Publication number
JPH06506318A
JPH06506318A JP3515069A JP51506991A JPH06506318A JP H06506318 A JPH06506318 A JP H06506318A JP 3515069 A JP3515069 A JP 3515069A JP 51506991 A JP51506991 A JP 51506991A JP H06506318 A JPH06506318 A JP H06506318A
Authority
JP
Japan
Prior art keywords
electrostatic
conductive
clamping
layer
electrostatic chuck
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3515069A
Other languages
English (en)
Other versions
JP2579399B2 (ja
Inventor
ローガン、ジョセフ、スキナー
ラッケル、レイモンド、ロバート
トンプキンス、ロバート、エリイ
ウエスターフィルド、ロバート、ピイーター、ジュニア
Original Assignee
インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン filed Critical インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン
Publication of JPH06506318A publication Critical patent/JPH06506318A/ja
Application granted granted Critical
Publication of JP2579399B2 publication Critical patent/JP2579399B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/903Work holder for electrical circuit assemblages or wiring systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 セラミック静電チャック [技術分野] 本発明は、半導体の製造においてウェーハを締め付けるために利用されるチャッ クに関し、詳しくは広い温度範囲にわたって作動可能なセラミック静電チャック に関する。
[背景技術] 静電チャックは、半導体ウェーハを製造工程中に締め付けるために、半導体の分 野で広範囲に使用されている装置である。このチャックを使用すれば、しばしば 不格好で処理チャンバ内に汚染物質を導入する機械式締付は機構が不要になる。
従来の技術で使用される典型尚な静電チャックは、米国特許第4184188号 、第4384918号、第4724510号、及び第4665463号に出てい る。
米国特許第4184188号明細書は、シリコーン・ゴム層の間に配置され、水 冷式ベースに取り付けられたアルミニウム板で支持された、交互嵌合式分極電極 を有する静電チャックを開示している。加工中のウェーハはシリコーン・ゴム層 の上面に置かれ、下にある電極によって発生する磁界によって締め付けられる。
同特許はまた、アルミニウム電極がアルミナ支持体上に配置され、酸化によって 絶縁される、代替実施例を開示している。電極構造は、「水冷板」によって[機 械的に、かつ良好な熱伝導性をもって」固定される。
米国特許第4384918号明細書は、アルミニウム締付は電極がポリテトラフ ルオロエチレン、ポリエチレン、またはシリコーン・ゴムによって絶縁されてい る、静電チャックを開示している。電極は、水冷式支持ベースまたは加熱支持ベ ースによって支持される。
米国特許第4724510号明細書は、締付は電極が半導体ウェーハ上に配置さ れ、CVD酸化物、ポリイミド、または適当なガラスによって絶縁されている、 静電チャックを開示している。クランプは「導電性支持体」によって支持される 。
米国特許第4665463号明細書は、中心の円形締付は電極が、粒状のアルミ ナまたは雲母を加えたアラルダイトなどのエポキシ樹脂層によって絶縁されてい る、静電チャックを開示している。中心電極は、加工中のウェーハのヒート・シ ンクとしても役立つ。
上述の静電チャックはすべて、不確定の冷却用「支持体」によって支持された絶 縁された電極を有する。しかし、これらのチャックやその他の既知のチャックに は、電気絶縁体用に利用される材料の熱膨張特性が、冷却用支持体用に利用され る材料と大幅に異なるという問題がある。したがって、これらのチャックを、広 範囲に変化する温度サイクルにかけると、異なる材料間で分離が発生する。その 結果、チャックは非常に狭い温度範囲内でしか利用できず、そのため各チャック の選択的製造工程への適用が制限される。この制限の範囲内でさえ、これらのチ ャックは短い寿命、低い信頼性、及びコスト高という欠点を有する。これらのチ ャックは製造ラインにおける「動作不能時間」の主な原因となるので、これらの 問題は増幅される。
したがって、上述の欠点を克服する静電チャックが強く望まれる。
[発明の開示] 本発明の1つの目的は、広範囲に変化する温度サイクルにわたって利用可能な、 改良された静電チャックを提供することである。
本発明の他の目的は、ウェーハへの電気的接触を必要とせずにウェーハを締め付 ける、改良された静電チャックを提供することである。
本発明の他の目的は、優れた伝熱能力を有する改良された静電チャックを提供す ることである。
本発明によれば、改良された静電半導体チャックは、多層セラミック(MLC) 支持構造体に接着された多層セラミック(MLC)基板上に配置された導電性静 電パターンを含む。
ヒート・シンク・ベースが全体構造を支持し、MLCPA縁層が静電金属パター ンの上面に置かれ、ウェーハを金属パターンと接触しないように分離する。
本発明によって、広(変化する温度範囲を伴う様々な製造工程で使用可能な、連 動チャックが提供される。これは軽量で、製造が容易である。さらに、本発明に よる製品は例外的な平坦度仕様の範囲内で製造することができ、このために最小 の電力で優れた締付は力が得られる。
本発明の上記及びその他の目的、特徴、及び利点は、図面に示した本発明の実施 例の詳細な説明に照らせばさらに明らかになろう。
[図面の簡単な説明] 第1図は、本発明による静電チャックの第1実施例の分解断面図である。
第2図は、本発明による静電チャックの第1実施例の分解斜視図である。
第3図は、本発明による静電チャックの金属パターン層の上面図である。
第4図は、本発明による静電チャックの第2実施例の分解断面図である。
[発明の好ましい実施例コ 本発明では、従来技術で周知の多層セラミック (MLC)パッケージ・テクノ ロジーを利用する。MLCテクノロジーに関する教示は、本出願人の所有する米 国特許第3518756号、第3838204%、第4080414%、第42 34367号、第4430365号、第4677254号、及び第479998 3号に出ている。これらの特許明細書を参照により本明細書に合体する。これら の特許を以下では総称してrMLC特許」と呼ぶ。
第1図を参照すると、本発明の第1実施例による静電チャックは、上部絶縁層1 0を有し、この上部絶縁層に、加工中の半導体ウェーハ(図示せず)が締め付け られる。
上部絶縁層10は、導電性静電パターン16が上面に配置された多層セラミック (MLC)基板14からなる、静電パターン層12の上面に配置されている。金 属バイアすなわちバイア線18が基板14を貫通して延び、電気エネルギーを静 電パターン16に伝える手段となる。基板14の製造は、前記のMLC特許の教 示に従って行われる。簡単に言えば、構造10,14.20は熱と圧力で接着さ れた複数のセラミック「グリーン・シート」から製造される。各「グリーン・シ ート」は、その中に複数の金属バイアが作成されている。
MLC製造の結果、電気エネルギーを導電性パターン16に伝えるための金属バ イア24がその中を貫通して延びる、比較的薄いセラミック・ブロックすなわち 基板22が得られる。
MLC製造工程では、基板14.22を貫通して延びその上に僅かにはみ出した 金属バイア18.24が得られ、これによって本発明による各部分12.20, 30の間を相互接続する便利な手段が提供されることに留意されたい。
静電パターン16は、対称的に分布するパターンの形の2つの等面積の導電性材 料からなる。このパターンは、幅約0゜75mmの導電性「ストリップ」を約0 .25mmの間隔で交互に配列することによって形成される。各導電性「ストリ ップ」には、交互に反対の直流電位が印加され、これによって交互の導電性「ス トリップ」間に静電容量が生じる。導電性パターン16については、後で第4図 に示し、さらに詳しく説明する。多層セラミック基板14上に導電性パターン1 6を形成するための教示は、前掲の米国特許第3838204号及び第4234 367号明細書に出ている。
絶縁層10用の好ましい材料は、前記のM、 L C特許の教示に従って製造さ れたMLCである。しかし、多層セラミックに匹敵する熱機械的特性(すなわち 熱膨張率及び熱伝導率)を有する他の電気絶縁性熱伝導性誘電体材料も利用でき る。
これらの材料には、例えばポリイミド、アルミナ、石英、ダイヤモンドのコーテ ィングが含まれる。もちろん、どの材料を利用するかの選択は、温度、エツチン グ剤、及びチャックがさらされる処理環境によって支配される。多層セラミック 以外の材料を層に使用する場合、絶縁層10を製造するには2つの好ましい方法 がある。第1の方法は、MLC特許に従った方法でMLC基板を静電パターン層 2oの上面に接着し、次いで絶縁層1oをMLCの上面に接着して、静電パター ン層2oを覆うものである。第2の方法は、MLC特許に従った方法でMLC基 板を静電パターン層20の上面に接着し、次いでMLC基板を研磨しラップ仕上 げして静電パターン16を露出させ、パターンの導電性ストリップ間のセラミッ クで充てんされた領域はそのまま残し、次いで絶縁層10を静電パターン層20 の上面に接着するものである。絶縁層10を製造するためのこれら2通りの方法 は、本発明のチャック製造の最終段階で完了すべきである。というのは、後で詳 しく述べるように、チャックの他の部分を完成するために利用する温度が高(な るからである。
絶縁層10の厚さは2つの要素に依存することに留意されたい。第1に、厚さは 、ウェーハを十分に締め付けるのに不当に高い電圧が必要になるほど大きくては ならない。好ましい実施例では、完成した絶縁層10の厚さは約0.05mmで ある。この特定の厚さは、MLC処理の後に層を研磨しラップ仕上げすることに よって得られる。厚さが0.25mmを越える絶縁層では、ウェーハを十分に締 め付けるのに非実用的な電圧が必要となることがわ25)った。第2に、この厚 さは、交互の導電性「ストリップ」間の間隔より小さくなければならない。そう しないと、ウェーハ締付けに必要な電圧で、パターン16の隣接する導電性スト リップ間に誘電破壊が発生する。
静電パターン層12は、前記のMLC特許に従一つで製造されたMLC材料22 からなるMLC支持体2oの上面に配置されている。MLC支持体20には、静 電パターン層12の金属バイア18に電気エネルギーを伝えるための金属バイア 24が、それを貫通して配置されている。
MLC支持体20は、熱伝導性のブロックすなわち基板32からなるヒート・シ ンク・ベース30の上面に配置され、ベース3oの底部からMLC支持体20の 金属バイア24への電気的接触を容易にするためのクリアランス・ホール34が それを貫通して延びる。ヒート・シンク・ベース30には、チャック8から熱を 運び去るための冷却液を循環させるためのチャネル36も設けられている。
ヒート・シンク・ベース3oの材料はMLC基板22.14.1oの熱膨張率に 合致しなければならないので、その選択は重要である。コバール、すなわち鉄/ ニッケル/コバルト合金(29Ni/17Co153Fe)は、その熱膨張特性 がMLC構造の熱膨張特性と合致し、かつ良好な熱伝導体であるので、この目的 に使用するのに好ましい材料である。
コバールはウニスティングハウス・エレクトリック社の登録商標である。
絶縁層10、静電パターン層12、及び支持体20は、前記のMLC特許に従っ て互いに接着される。好ましい実施例では、接着前には、絶縁層10の厚さは約 0.28mm、静電パターン層12の厚さは0 、28mm、 ML C支持体 20の厚さは約1.50mmである。これらの層の寸法はその後MLC加工中に 収縮する。
ろう付けは、ヒート・シンク30を支持体20の底部に接着する好ましい方法で ある。これは、金の接触パッドを各接着面上に付着し、部品を互いに組み立て、 組立品をろう付は炉中で約850℃で約3o分間加熱することによって行う。
支持体20をヒート・シンク3oにろう付けする前に、部分1o、12.2oを 互いに接着しなければならない。支持体20をヒート・シンク3oに接着または 取り付けるための他の技法も利用できるが、ろう付けは優れた熱膨張特性及び熱 伝達特性をもたらすことがわかったことに留意されたい。
第2図を参照すると、本発明の第1実施例の静電チャックは、上部絶縁層IO1 導電性パターン16がその上面に配置された静電パターン層12、支持体20、 及びヒート・シンク3oを含む。電気エネルギーを導電性パターン16に伝える ための金属バイア線18.24が、層12と支持体2oを貫通して配置されてい る。ヒート・シンク・ベース30は、ベース3oの底部からバイア線24への電 気的接触を容易にするためのクリアランス・ホール32を有する。
第2図の構造は、セラミック・グリーン・シートが正方形であるので、正方形状 を有している。しかし、前述の接着段階やろう付は段階の前に、機械加工や切削 によってこの構造を異なる形状にすることができることに留意されたい。特に、 締め付けられるウェーハの形状に合わせて円形の静電チャックを形成するのが望 ましいことがある。
第3図を参照すると、静電パターン層12上に配置された導電性パターン16は 、対称形に分布するパターンとして形成された2つの等面積の導体から構成され ている。パターン16は最小ストリップ間距離で最大量のストリップを有し、か つ適切な厚さの絶縁層10を維持することが好ましい。導電性材料は、前記のM LC特許、特に米国特許第3838204号及び第4234367号で教示され る、いくつかの導電性材料のうちのどれでもよい。しかし、利用される材料ζよ 、パターンが基板から分離しないように、静電パターン層基板14の材料に近い 熱伝導率を持たなければならない。例えば、モリブデンはこれに適した材料であ ることがわかっている。
第4図を参照すると、本発明の第2実施例による静電チャック90は、静電パタ ーン層94の上面に配置された絶縁層92を含む。静電パターン層94は多層セ ラミック基板96からなり、多層セラミック基板96は、その上に導電性静電パ ターン98が配置され、またそれを貫通して静電パターン98に接続された導電 性バイア100が延びる。静電ノくターン層94は、コバール基板104からな るヒート・シンク・ベース102上に配置され、hバール基板104は、その中 に冷却液を循環させるための冷却チャネル106が機械加工され、かつそれを貫 通して導電性バイア100にアクセスするためのクリアランス・ホール108が 延びる。層94.92は、前記のMLC特許の教示を利用して製造し接着される 。
金の接触パッド110を各接着面上に付着し、部品を互いに組み立て、組立品を ろう付は炉中で加熱することによって、静電パターン層94をヒート・シンク・ ベース102にろう付けする。
前記の第2実施例は、前に第1図に関して説明した実施例に類似しているが、静 電パターン層94が十分に厚し)ので、多層支持体(第1図の参照番号20)が 必要でなし1とし1う点が異なるだけである。したがって層94は、ヒート・シ ンク・ベース102に適切に接着するのに十分な機械的安定性を有する。
本発明を、その例示的実施例に関して図示し説明した力く、本発明の意図及び範 囲を逸脱することなく、本発明ζ二前記及びその他の様々な変更、省略、及び追 加が可能であることを、当業者は理解するであろう。
フロントページの続き ト・ヴアレイ、ホワイトフォード・ドライブ (番地なし) (72)発明者 ウニスターフィルド、ロバート、ビイ−ター、ジュニア アメリカ合衆国ニューヨーク州モントゴメリイ、ワシントン・アヴエニュー90

Claims (20)

    【特許請求の範囲】
  1. 1.上部から底部に向かつて 電気絶縁性かつ熱伝導性である絶縁層と、静電力を発生させるための導電性パタ ーンがその上面に配置され、電気エネルギーを前記導電性パターンに伝えるため の第1導電性バイアがそれを貫通して配置された、多層セラミック静電パターン 層と、 電気エネルギーを前記の第1バイアに伝えるための第2導電性バイアがそれを貫 通して配置された、多層セラミック支持体と、 前記の第2バイアにアクセスするためのアクセス・ホールがそれを貫通して設け られた、ヒート・シンク・ベースとを含む、半導体ウェーハを締め付けるための 静電チャック。
  2. 2.前記ヒート・シンク・ベースがさらに、冷媒を循環させるための冷却チャネ ルを含むことを特徴とする、請求項1に記載の半導体ウェーハを締め付けるため の静電チャック。
  3. 3.前記絶縁層が多層セラミックから成ることを特徴とする、請求項1に記載の 半導体ウェーハを締め付けるための静電チャック。
  4. 4.前記絶縁層がポリイミドから成ることを特徴とする、請求項1に記載の半導 体ウェーハを締め付けるための静電チャック。
  5. 5.前記絶縁層がアルミナから成ることを特徴とする、請求項1に記載の半導体 ウェーハを締め付けるための静電チャック。
  6. 6.前記絶縁層が石英から成ることを特徴とする、請求項1に記載の半導体ウエ ーハを締め付けるための静電チャック。
  7. 7.前記絶縁層がダイヤモンドから成ることを特徴とする、請求項1に記載の半 導体ウェーハを締め付けるための静電チャック。
  8. 8.前記導電性パターンが複数の交互に配列された導電性ストリップからなり、 各導電性ストリップに、隣接するストリップの直流電位とは反対の直流電位が印 加されることを特徴とする、請求項1に記載の半導体ウェーハを締め付けるため の静電チャック。
  9. 9.前記の導電性パターンがモリブデンから成ることを特徴とする、請求項1に 記載の半導体ウェーハを締め付けるための静電チャック。
  10. 10.前記絶縁層、静電層、及び支持体が圧縮と加熱によって互いに接着される ことを特徴とする、請求項1に記載の半導体ウェーハを締め付けるための静電チ ャック。
  11. 11.前記支持体と前記ヒート・シンク・ベースが互いにろう付けされることを 特徴とする、請求項1に記載の半導体ウェーハを締め付けるための静電チャツク 。
  12. 12.前記ヒート・シンク・ベースが鉄/ニッケル/コバルト合金から成ること を特徴とする、請求項1に記載の半導体ウェーハを締め付けるための静電チャッ ク。
  13. 13.前記ヒート・シンク・ベースがコバールから成ることを特徴とする、請求 項1に記載の半導体ウェーハを締め付けるための静電チャック。
  14. 14.上部から底部に向かつて 多層セラミック絶縁層と、 静電力を発生させるための導電性パターンがその上面に配置され、電気エネルギ ーを前記導電性パターンに導くための導電性バイアがそれを貫通して配置された 、多層セラミック静電パターン層と、 導電性バイアにアクセスするためのアクセス・ホールがそれを貫通して配置され た、前記絶縁層と静電層を支持し冷却するためのヒート・シンク・ベースと を含む、半導体ウエーハを締め付けるための静電チャック。
  15. 15.前記ヒート・シンク・ベースが鉄/ニッケル/コバルト合金から成ること を特徴とする、請求項14に記載の半導体ウエーハを締め付けるための静電チャ ック。
  16. 16.前記ヒート・シンク・ベースがさらに、その中に配置された冷却剤を循環 させるための冷却チャネルを含むことを特徴とする、請求項14に記載の半導体 ウエーハを締め付ける静電チャック。
  17. 17.前記絶縁層と前記静電層が圧縮と加熱によって互いに接着されることを特 徴とする、請求項14に記載の半導体ウェーハを締め付けるための静電チャック 。
  18. 18.前記静電層が前記ヒート・シンク・ベースにろう付けされることを特徴と する、請求項14に記載の半導体ウェーハを締め付けるための静電チャック。
  19. 19.上部から底部に向かつて 多層セラミック絶縁層と、 複数の交互に配置された導電性ストリップから成り、各導電性ストリップが隣接 するストリップの直流電位とは反対の直流電位で印加される、静電力を発生させ るための導電性パターンがその上面に配置され、かつ電気エネルギーを前記の導 電性パターンに伝えるための第1導電性バイアがそれを貫通して配置された、多 層セラミック静電パターン層と、電気エネルギーを前記の第1バイアに伝えるた めの第2導電性バイアがそれを貫通して配置された、多層セラミック支持体と、 鉄/ニッケル/コバルト合金から成り、前記第2バイアにアクセスするためのア クセス・ホールがそれを貫通して設けられ、冷却剤を循環させるための冷却チャ ネルがその中に配置された、ヒート・シンク・ベースとを含み、前記絶縁層、静 電層、及び支持体が圧縮と加熱によつて互いに接着され、前記支持体とヒート・ シンク・ベースが互いにろう付けされることを特徴とする、 半導体ウェーハを締め付けるための静電チャック。
  20. 20.上部から底部に向かつて 多層セラミック絶縁層と、 複数の交互に配置された導電性ストリップから成り、各導電性ストリップが隣接 するストリップの直流電位とは反対の直流電位で印加される、静電力を発生させ るための導電性パターンがその上面に配置され、電気エネルギーを前記の導電性 パターンに伝えるための第1導電性バイアがそれを貫通して配置された、多層セ ラミック静電パターン層と、鉄/ニッケル/コバルト合金から成り、前記の第1 バイアにアクセスするためのアクセス・ホールがそれを貫通して設けられ、冷却 剤を循環させるための冷却チャネルがその中に配置された、ヒート・シンク・ベ ースとを含み、前記絶縁層と静電層が圧縮と加熱によつて互いに接着され、前記 静電層とヒート・シンク・ベースが互いにろう付けされることを特徴とする、 半導体ウエーハを締め付けるための静電チャック。
JP3515069A 1991-05-02 1991-08-01 セラミック静電チャック Expired - Fee Related JP2579399B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/694,699 US5191506A (en) 1991-05-02 1991-05-02 Ceramic electrostatic chuck
US694.699 1991-05-02

Publications (2)

Publication Number Publication Date
JPH06506318A true JPH06506318A (ja) 1994-07-14
JP2579399B2 JP2579399B2 (ja) 1997-02-05

Family

ID=24789914

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3515069A Expired - Fee Related JP2579399B2 (ja) 1991-05-02 1991-08-01 セラミック静電チャック

Country Status (5)

Country Link
US (1) US5191506A (ja)
EP (1) EP0582566B1 (ja)
JP (1) JP2579399B2 (ja)
DE (1) DE69119241T2 (ja)
WO (1) WO1992020093A1 (ja)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3238925B2 (ja) * 1990-11-17 2001-12-17 株式会社東芝 静電チャック
US5359450A (en) * 1992-06-25 1994-10-25 Synchronous Communications, Inc. Optical transmission system
JP2938679B2 (ja) * 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
JPH06151084A (ja) * 1992-11-11 1994-05-31 Asahi Glass Co Ltd 帯電除去用セラミックスおよびその製造用組成物
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
JPH06326175A (ja) * 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
US5370301A (en) * 1994-01-04 1994-12-06 Texas Instruments Incorporated Apparatus and method for flip-chip bonding
US5351876A (en) * 1994-01-04 1994-10-04 Texas Instruments Incorporated Apparatus and method for flip-clip bonding
US5801915A (en) * 1994-01-31 1998-09-01 Applied Materials, Inc. Electrostatic chuck having a unidirectionally conducting coupler layer
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
TW288253B (ja) * 1994-02-03 1996-10-11 Aneruba Kk
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5605603A (en) * 1995-03-29 1997-02-25 International Business Machines Corporation Deep trench process
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US6370007B2 (en) 1995-09-20 2002-04-09 Hitachi, Ltd. Electrostatic chuck
EP0764979A3 (en) 1995-09-20 1998-07-15 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US5835333A (en) * 1995-10-30 1998-11-10 Lam Research Corporation Negative offset bipolar electrostatic chucks
US5841623A (en) * 1995-12-22 1998-11-24 Lam Research Corporation Chuck for substrate processing and method for depositing a film in a radio frequency biased plasma chemical depositing system
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US6071630A (en) * 1996-03-04 2000-06-06 Shin-Etsu Chemical Co., Ltd. Electrostatic chuck
JPH09260474A (ja) * 1996-03-22 1997-10-03 Sony Corp 静電チャックおよびウエハステージ
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5745332A (en) * 1996-05-08 1998-04-28 Applied Materials, Inc. Monopolar electrostatic chuck having an electrode in contact with a workpiece
US6117246A (en) * 1997-01-31 2000-09-12 Applied Materials, Inc. Conductive polymer pad for supporting a workpiece upon a workpiece support surface of an electrostatic chuck
US6255601B1 (en) 1997-04-01 2001-07-03 Applied Materials, Inc. Conductive feedthrough for a ceramic body and method of fabricating same
US6303879B1 (en) 1997-04-01 2001-10-16 Applied Materials, Inc. Laminated ceramic with multilayer electrodes and method of fabrication
US5841624A (en) * 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US6088213A (en) * 1997-07-11 2000-07-11 Applied Materials, Inc. Bipolar electrostatic chuck and method of making same
JPH11163109A (ja) * 1997-12-01 1999-06-18 Kyocera Corp ウエハ保持装置
US5901030A (en) * 1997-12-02 1999-05-04 Dorsey Gage, Inc. Electrostatic chuck employing thermoelectric cooling
JPH11168134A (ja) * 1997-12-03 1999-06-22 Shin Etsu Chem Co Ltd 静電吸着装置およびその製造方法
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US5886866A (en) * 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
US6259592B1 (en) 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6291777B1 (en) 1999-02-17 2001-09-18 Applied Materials, Inc. Conductive feed-through for creating a surface electrode connection within a dielectric body and method of fabricating same
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
JP4402862B2 (ja) * 1999-07-08 2010-01-20 ラム リサーチ コーポレーション 静電チャックおよびその製造方法
US6268994B1 (en) 1999-07-09 2001-07-31 Dorsey Gage, Inc. Electrostatic chuck and method of manufacture
US6500299B1 (en) 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6490144B1 (en) 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
EP1306908A4 (en) * 2000-06-16 2006-10-04 Ngk Insulators Ltd PIEZOELECTRIC / ELECTROSTRICTIVE COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US6583980B1 (en) * 2000-08-18 2003-06-24 Applied Materials Inc. Substrate support tolerant to thermal expansion stresses
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
DE10122036B4 (de) * 2001-05-07 2009-12-24 Karl Suss Dresden Gmbh Substrathaltevorrichtung für Prober zum Testen von Schaltungsanordnungen auf scheibenförmigen Substraten
US6501636B1 (en) * 2001-06-21 2002-12-31 Stephen Edward Savas Electrostatic clamp for holding workpieces with irregular surfaces
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
US6754062B2 (en) 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
US6986865B2 (en) * 2002-07-10 2006-01-17 Watlow Electric Manufacturing Company Method for manufacturing an electrostatic chuck
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
US7646580B2 (en) * 2005-02-24 2010-01-12 Kyocera Corporation Electrostatic chuck and wafer holding member and wafer treatment method
US8525418B2 (en) * 2005-03-31 2013-09-03 Ngk Spark Plug Co., Ltd. Electrostatic chuck
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) * 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8790499B2 (en) * 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
WO2008082977A2 (en) * 2006-12-26 2008-07-10 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
WO2008082978A2 (en) 2006-12-26 2008-07-10 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7667944B2 (en) * 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
US9543181B2 (en) * 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
WO2010065070A2 (en) 2008-11-25 2010-06-10 M Cubed Technologies, Inc. Electrostatic chuck
US9494875B2 (en) 2011-10-06 2016-11-15 Asml Netherlands B.V. Chuck, a chuck control system, a lithography apparatus and a method of using a chuck
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
WO2013132803A1 (ja) * 2012-03-07 2013-09-12 日本特殊陶業株式会社 搬送装置およびセラミック部材
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9909197B2 (en) * 2014-12-22 2018-03-06 Semes Co., Ltd. Supporting unit and substrate treating apparatus including the same
TWI724399B (zh) * 2019-04-02 2021-04-11 建泓科技實業股份有限公司 靜電吸盤陶瓷絕緣結構與製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62193141A (ja) * 1986-02-19 1987-08-25 Fujitsu Ltd ウエハ−保持機構
JPS63257481A (ja) * 1987-04-14 1988-10-25 Abisare:Kk 静電保持装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1443215A (en) * 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
GB2106325A (en) * 1981-09-14 1983-04-07 Philips Electronic Associated Electrostatic chuck
GB2154365A (en) * 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
GB2147459A (en) * 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0697676B2 (ja) * 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
US4724510A (en) * 1986-12-12 1988-02-09 Tegal Corporation Electrostatic wafer clamp
US4796153A (en) * 1987-06-01 1989-01-03 Lightning Diversion Systems Lightning diversion strips for aircraft
JP2665242B2 (ja) * 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
FR2641436A1 (ja) * 1988-12-30 1990-07-06 Labo Electronique Physique
US4962441A (en) * 1989-04-10 1990-10-09 Applied Materials, Inc. Isolated electrostatic wafer blade clamp
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62193141A (ja) * 1986-02-19 1987-08-25 Fujitsu Ltd ウエハ−保持機構
JPS63257481A (ja) * 1987-04-14 1988-10-25 Abisare:Kk 静電保持装置

Also Published As

Publication number Publication date
WO1992020093A1 (en) 1992-11-12
JP2579399B2 (ja) 1997-02-05
DE69119241D1 (de) 1996-06-05
US5191506A (en) 1993-03-02
EP0582566A1 (en) 1994-02-16
EP0582566B1 (en) 1996-05-01
DE69119241T2 (de) 1996-11-21

Similar Documents

Publication Publication Date Title
JPH06506318A (ja) セラミック静電チャック
JPH0727962B2 (ja) 温度サイクル動作型セラミック静電式チャック
US4724510A (en) Electrostatic wafer clamp
US5426558A (en) Anti-stick electrostatic chuck for a low pressure environment
US5691876A (en) High temperature polyimide electrostatic chuck
JP4349901B2 (ja) セラミック静電チャックアセンブリ及びその作製方法
TW441057B (en) A capsule for semiconductor components
TW406348B (en) Cover layer for a substrate support chuck and method of fabricating same, and a support apparatus with the cover layer
JPH06105747B2 (ja) セラミック静電ウェーハ・チャック
TWI644393B (zh) Electrostatic chuck
WO2000072376A1 (fr) Mandrin electrostatique et dispositif de traitement
JPH0774070A (ja) 半導体処理装置において熱膨張差を持つ材料間を結合するのに有用なシーリングデバイス及び方法
US9673737B2 (en) Clamp with ceramic electrode
TW550644B (en) Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
JP2017228361A (ja) 加熱部材及び静電チャック
US20200234992A1 (en) Holding apparatus for electrostatically holding a component, including a base body joined by diffusion bonding, and process for its manufacture
JPH07297268A (ja) 静電チャック付セラミックスヒーター
US9673079B2 (en) Clamp with electrode carrier disk
JP2018157186A (ja) セラミックスヒータ及び静電チャック並びにセラミックスヒータの製造方法
JP3172671B2 (ja) 静電チャック
US5901030A (en) Electrostatic chuck employing thermoelectric cooling
KR20020041448A (ko) 표면 구조 및 그 제조 방법, 및 표면 구조가 결합된 정전웨이퍼 클램프
JP2005166451A (ja) 通電発熱ヒータ及び該ヒータを搭載した半導体製造装置
KR20110064665A (ko) 전기장 구배를 이용한 쌍극형 정전척
JPS62226645A (ja) 配線基板

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081107

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091107

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees