JP7433457B2 - Multi-step process for flowable gap-filled membranes - Google Patents

Multi-step process for flowable gap-filled membranes Download PDF

Info

Publication number
JP7433457B2
JP7433457B2 JP2022549151A JP2022549151A JP7433457B2 JP 7433457 B2 JP7433457 B2 JP 7433457B2 JP 2022549151 A JP2022549151 A JP 2022549151A JP 2022549151 A JP2022549151 A JP 2022549151A JP 7433457 B2 JP7433457 B2 JP 7433457B2
Authority
JP
Japan
Prior art keywords
chamber
film
substrate
pressure
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022549151A
Other languages
Japanese (ja)
Other versions
JP2023513796A (en
Inventor
マクシミリアン クレモンズ,
ニコラオス ベキアリス,
シュリニヴァス ディ. ネマニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023513796A publication Critical patent/JP2023513796A/en
Application granted granted Critical
Publication of JP7433457B2 publication Critical patent/JP7433457B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Description

本明細書に記載の実施例は、概して、半導体処理の分野に関し、より具体的には、基板上の流動性間隙充填膜上で、例えば、同じ処理チャンバ内で、マルチステッププロセスを実行することに関する。 TECHNICAL FIELD Embodiments described herein relate generally to the field of semiconductor processing, and more specifically to performing multi-step processes on flowable gap-filling films on substrates, e.g., within the same processing chamber. Regarding.

ナノメータ及びそれ以下のフィーチャ(feature)を確実に生産することは、半導体デバイスの次世代超大規模集積(VLSI)及び極超大規模集積(ULSI)のための技術課題の1つである。回路技術の限界が押し上げられるにつれて、VLSI及びULSI技術の寸法が縮小することにより、処理能力に対する要求が増しつつある。集積回路構成要素の寸法が縮小されるにつれて(例えば、ナノメートル寸法で)、構成要素を製造するために使用される材料及びプロセスは、概して、満足なレベルの電気性能を得るために慎重に選択される。 Reliable production of nanometer and sub-nanometer features is one of the technological challenges for next generation very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. As the limits of circuit technology are pushed, the shrinking dimensions of VLSI and ULSI technology are increasing the demands on processing power. As the dimensions of integrated circuit components shrink (e.g., in nanometer dimensions), the materials and processes used to manufacture the components generally have to be carefully selected to obtain a satisfactory level of electrical performance. be done.

集積回路構成要素の寸法が縮小すると、構成要素間の間隙がますます小さくなる可能性がある。より大きな寸法で同様の間隙を充填するのに適していたいくつかのプロセスは、より小さな寸法で間隙を充填するのに適していないことがある。したがって、集積回路のデバイスの満足な性能を維持しつつ、より小さな寸法で複雑なデバイスを形成することができるプロセス及び処理システムが必要とされている。 As the dimensions of integrated circuit components decrease, the gaps between the components may become smaller and smaller. Some processes that were suitable for filling similar gaps in larger dimensions may not be suitable for filling gaps in smaller dimensions. Accordingly, there is a need for processes and processing systems that can form complex devices in smaller dimensions while maintaining satisfactory performance of integrated circuit devices.

例は、半導体処理システムを含む。半導体処理システムは、処理チャンバと、システムコントローラとを含む。システムコントローラは、プロセッサとメモリとを含む。メモリは、プロセッサによって実行されると、システムコントローラに、流動性プロセスによって堆積された膜を有する基板上で実行される処理チャンバ内の第1のプロセスを制御することと、膜を有する基板上で実行される処理チャンバ内の第2のプロセスを制御することとを実行させる命令を記憶する。第1のプロセスは、安定化された膜を形成するために、膜中の結合を安定化させることを含む。第2のプロセスは、安定化された膜を高密度化することを含む。 Examples include semiconductor processing systems. A semiconductor processing system includes a processing chamber and a system controller. The system controller includes a processor and memory. The memory, when executed by the processor, causes the system controller to control a first process in the processing chamber to be performed on the substrate having the film deposited by the flowable process; and controlling a second process within the processing chamber to be executed. The first process involves stabilizing the bonds in the membrane to form a stabilized membrane. The second process involves densifying the stabilized film.

例はまた、半導体処理のための方法も含む。流動性プロセスによって堆積された膜を有する基板は、処理チャンバ内に移送される。第1のプロセスは、処理チャンバ内で、基板上の膜上において実行される。第1のプロセスは、安定化された膜を形成するために、膜中の結合を安定化させることを含む。第2のプロセスは、処理チャンバ内で、基板上の膜上において実行される。第2のプロセスは、安定化された膜を高密度化することを含む。 Examples also include methods for semiconductor processing. A substrate with a film deposited by a flowable process is transferred into a processing chamber. A first process is performed on a film on a substrate within a processing chamber. The first process involves stabilizing the bonds in the membrane to form a stabilized membrane. A second process is performed on the film on the substrate within the processing chamber. The second process involves densifying the stabilized film.

例は、プロセッサによって実行されると、コンピュータシステムに動作を実行させる命令を記憶する非一時的コンピュータ可読記憶媒体を更に含む。動作は、処理システムの処理チャンバ内で第1のプロセスを実行するために、処理システムを制御することと、処理チャンバ内で第2のプロセスを実行するために、処理システムを制御することとを含む。第1のプロセスは、流動性プロセスによって堆積された膜を有する基板上で実行される。第1のプロセスは、安定化された膜を形成するために、膜中の結合を安定化させることを含む。第2のプロセスは、安定化された膜を有する基板上で実行される。第2のプロセスは、安定化された膜を高密度化することを含む。 Examples further include non-transitory computer-readable storage media that store instructions that, when executed by the processor, cause the computer system to perform operations. The operations include controlling the processing system to perform a first process within a processing chamber of the processing system and controlling the processing system to perform a second process within the processing chamber. include. The first process is performed on a substrate having a film deposited by a flowable process. The first process involves stabilizing the bonds in the membrane to form a stabilized membrane. The second process is performed on a substrate with a stabilized film. The second process involves densifying the stabilized film.

本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されているより詳細な説明が、実施例を参照することによって得られ、それらの実施例のいくつかが添付図面に示されている。しかしながら、添付の図面は、いくつかの例を示し、したがって、本開示の範囲を限定するものと見なされるべきではなく、本開示は、その他の等しく有効な例を許容しうることに留意されたい。 In order that the above-mentioned features of the disclosure may be understood in detail, a more detailed description, briefly summarized above, may be obtained by reference to the examples, some of which are illustrated in the accompanying drawings. has been done. It is noted, however, that the attached drawings illustrate some examples and therefore should not be considered as limiting the scope of the disclosure, which may tolerate other equally valid examples. .

いくつかの実施例によるマルチチャンバ処理システムの概略上面図を示す。1 illustrates a schematic top view of a multi-chamber processing system according to some embodiments. FIG. いくつかの実施例による、処理のための高圧環境及び処理のための低圧環境を作り出すように構成されている多圧処理チャンバの概略図を示す。1 illustrates a schematic diagram of a multi-pressure processing chamber configured to create a high-pressure environment for processing and a low-pressure environment for processing, according to some embodiments. FIG. 実施例による多圧処理チャンバの例を示す。1 shows an example of a multi-pressure processing chamber according to an embodiment. 実施例による多圧処理チャンバの例を示す。1 shows an example of a multi-pressure processing chamber according to an embodiment. 実施例による多圧処理チャンバの例を示す。1 shows an example of a multi-pressure processing chamber according to an embodiment. 実施例による多圧処理チャンバの例を示す。1 shows an example of a multi-pressure processing chamber according to an embodiment. いくつかの実施例による半導体処理のための方法のフローチャートである。1 is a flowchart of a method for semiconductor processing according to some embodiments. 実施例による、図7の方法の一態様を示す中間半導体構造の断面図である。FIG. 8 is a cross-sectional view of an intermediate semiconductor structure illustrating one aspect of the method of FIG. 7, according to an example. 実施例による、図7の方法の一態様を示す中間半導体構造の断面図である。FIG. 8 is a cross-sectional view of an intermediate semiconductor structure illustrating one aspect of the method of FIG. 7, according to an example. 実施例による、図7の方法の一態様を示す中間半導体構造の断面図である。FIG. 8 is a cross-sectional view of an intermediate semiconductor structure illustrating one aspect of the method of FIG. 7, according to an example.

理解を容易にするため、可能な場合には、図に共通する同一の要素を示すのに同一の参照番号を使用した。 To facilitate understanding, where possible, the same reference numerals have been used to refer to identical elements common to the figures.

概して、本明細書に記載の例は、基板上に堆積された流動性間隙充填膜上の同じ処理チャンバ内でいくつかのプロセスを実行するための方法及び処理システムに関する。いくつかのプロセスは、流動性プロセスによって堆積された膜中の結合を安定化させ、続いて膜を高密度化することを含みうる。 In general, the examples described herein relate to methods and processing systems for performing several processes within the same processing chamber on a flowable gap-filling film deposited on a substrate. Some processes may include stabilizing the bonds in the film deposited by a flowable process and subsequently densifying the film.

流動性膜上で本明細書に記載の例示的なプロセスを実施することにより、膜の品質を改善することができる。流動性フィルムは、一般的に、間隙、特に高アスペクト比の間隙(例えば、深さ対幅のアスペクト比が10:1より大きい)に流入する能力に使用される。流動性膜の品質は、一般に、密度が低く、不十分である。熱水浸漬を実施するプロセスを含む、流動性膜の品質を高めるよう、これまで試みられてきた。これらのプロセスは、異なるプロセスを各々が実施するいくつかのツール又は処理チャンバを使用して実施された。これらのプロセスを用いても、膜の品質は不十分なままでありうることが分かった。例えば、膜の湿式エッチング速度は、例えば膜全体の密度などの不均一性のために、膜のエッチングの深さに基づいて変化しうる。加えて、湿式エッチング速度は、変化しても、比較的高くなり、エッチング速度のいかなる偏差も結果に著しい差をもたらす可能性がある。これにより、間隙に異なる量の膜が残る可能性がある。本明細書に記載の例は、膜の品質を改善することができ、例えば、膜の密度を改善することができる。改善された密度は、より容易に制御することができ、エッチング速度からの偏差による結果の著しい差の影響を受けにくい、より均一でより低いエッチング速度を達成することができる。更に、そのような利点を達成するために、膜に対して実行される処理をより少なくし、処理及び待機時間を更に短縮することができる。処理及び待機時間を短縮することにより、最終製品を製造するためのコストを低減することができる。加えて、より高品質の膜は、用途によっては、改善された電気特性をもたらす可能性がある。これら及び/又は他の利益は、様々な例に従って達成されうる。 By performing the exemplary processes described herein on flowable membranes, membrane quality can be improved. Flowable films are commonly used for their ability to flow into gaps, particularly high aspect ratio gaps (eg, depth to width aspect ratio greater than 10:1). The quality of flowable membranes is generally poor, with low density. Previous attempts have been made to improve the quality of flowable membranes, including processes that carry out hot water immersion. These processes were performed using several tools or processing chambers, each performing a different process. It has been found that even with these processes, the quality of the membranes can remain unsatisfactory. For example, the wet etch rate of a film may vary based on the depth of the film etch due to non-uniformities such as density across the film. Additionally, wet etch rates, even when varied, can be relatively high, and any deviation in etch rate can result in significant differences in results. This can leave different amounts of film in the gap. Examples described herein can improve the quality of the membrane, for example, can improve the density of the membrane. Improved density can achieve more uniform and lower etch rates that can be more easily controlled and less susceptible to significant differences in results due to deviations from the etch rate. Furthermore, to achieve such benefits, less processing may be performed on the membrane, further reducing processing and waiting times. By reducing processing and waiting times, the cost to manufacture the final product can be reduced. In addition, higher quality membranes may provide improved electrical properties in some applications. These and/or other benefits may be achieved according to various examples.

様々な異なる例を以下に記載する。本明細書では、基板上のフィン間に分離構造(例えば、シャロートレンチアイソレーション(STI))を形成する文脈で、いくつかの例を説明する。このような処理によって形成される分離構造は、例えば、フィン電界効果トランジスタ(FinFET)で実施することができる。これらの例は、様々な態様の理解のために提供される。その他の例は、異なる文脈で実施されうる。例えば、いくつかの例は、流動性プロセス(例えば、流動性化学気相堆積(FCVD)又はスピンオン)によって任意の下位の構造上に堆積された任意の膜で実施することができる。異なる例の複数の特徴は、プロセスフロー又はシステムにおいてまとめて説明されうるが、複数の特徴は各々、別個に、又は個別に、及び/又は異なるプロセスフロー若しくは異なるシステムにおいて実施されうる。加えて、様々なプロセスフローは、順に実行されるものとして説明されている。他の例は、異なる順序で、及び/又はより多い又はより少ない工程で、プロセスフローを実装することができる。 Various different examples are described below. Several examples are described herein in the context of forming isolation structures (eg, shallow trench isolation (STI)) between fins on a substrate. The isolation structure formed by such a process can be implemented, for example, with a fin field effect transistor (FinFET). These examples are provided for understanding of various aspects. Other examples may be implemented in different contexts. For example, some examples can be performed with any film deposited on any underlying structure by a flowable process (eg, flowable chemical vapor deposition (FCVD) or spin-on). Although features of different examples may be described together in a process flow or system, each feature may be implemented separately or individually and/or in different process flows or different systems. Additionally, various process flows are described as being executed in sequence. Other examples may implement the process flow in a different order and/or with more or fewer steps.

図1は、いくつかの実施例によるマルチチャンバ処理システム100の概略上面図を示す。一般に、マルチチャンバ基板処理システムは、高圧及び低圧などの異なる環境でプロセスを実行するように構成されている少なくとも1つの処理チャンバを含む。 FIG. 1 shows a schematic top view of a multi-chamber processing system 100 according to some embodiments. Generally, a multi-chamber substrate processing system includes at least one processing chamber configured to perform processes in different environments, such as high pressure and low pressure.

処理システム100は、2つの移送チャンバ102、104と、移送チャンバ102、104内にそれぞれ配置された移送ロボット106、108と、移送チャンバ102、104のそれぞれに連結されて配置された処理チャンバ110、112、114、116、118、120と、第1の移送チャンバ102に連結されて配置された2つのガス抜きチャンバ122と、2つの移送チャンバ102、104の各々に連結され、かつ2つの移送チャンバ102と104との間に配置された通過チャンバ124と、コントローラ126とを含む。処理システム100は、ロードロックチャンバ128及びファクトリインターフェースモジュール130を更に含むことができる。 Processing system 100 includes two transfer chambers 102, 104, transfer robots 106, 108 disposed within transfer chambers 102, 104, respectively, and a processing chamber 110 disposed coupled to transfer chambers 102, 104, respectively. 112, 114, 116, 118, 120, two degassing chambers 122 disposed in connection with the first transfer chamber 102, and two transfer chambers connected to each of the two transfer chambers 102, 104 and It includes a passage chamber 124 disposed between 102 and 104 and a controller 126. Processing system 100 may further include a load lock chamber 128 and a factory interface module 130.

第1の移送チャンバ102は、隣接する処理チャンバ110、112、及びガス抜きチャンバ122とインターフェースで連結する中央真空チャンバである。第1の移送チャンバ102は、処理チャンバ110、112、ガス抜きチャンバ122、通過チャンバ124、及び2つのロードロックチャンバ128と連結される。処理チャンバ110、112及びガス抜きチャンバ122の各々は、それぞれのチャンバと第1の移送チャンバ102との間に配置された分離バルブを有する。また、通過チャンバ124及びロードロックチャンバ128は、それぞれのチャンバ124、128と第1の移送チャンバ102との間に配置されたそれぞれの分離バルブを有する。各分離バルブは、それぞれのチャンバが、第1の移送チャンバ102から流体的に分離され、かつ第1の移送チャンバ102に流体接続できるようにする。チャンバの分離バルブは、それぞれのチャンバが、例えば、第1の移送チャンバ102とは異なるレベルの圧力で動作できるようにし、それぞれのチャンバ内で使用される又はそれぞれのチャンバ内に導入される任意のガスが第1の移送チャンバ102内に導入されることを防止する。各ロードロックチャンバ128は、外部環境に対して開かれた、例えば、ファクトリインターフェースモジュール130に対して開かれたドアを有する。 First transfer chamber 102 is a central vacuum chamber that interfaces with adjacent processing chambers 110 , 112 and degassing chamber 122 . The first transfer chamber 102 is coupled with processing chambers 110 , 112 , a degassing chamber 122 , a pass-through chamber 124 , and two load-lock chambers 128 . Each of the processing chambers 110 , 112 and the degassing chamber 122 has an isolation valve disposed between the respective chamber and the first transfer chamber 102 . The pass-through chamber 124 and load-lock chamber 128 also have respective isolation valves disposed between the respective chambers 124, 128 and the first transfer chamber 102. Each isolation valve allows a respective chamber to be fluidly isolated from and fluidly connected to the first transfer chamber 102. The chamber isolation valves allow each chamber to operate at a different level of pressure than, for example, the first transfer chamber 102, and any pressure used or introduced into the respective chamber. Gas is prevented from being introduced into the first transfer chamber 102. Each load lock chamber 128 has a door open to the outside environment, eg, to the factory interface module 130.

第2の移送チャンバ104は、隣接する処理チャンバ114、116、118、120とインターフェースで連結する中央真空チャンバである。第2の移送チャンバ104は、処理チャンバ114、116、118、120及び通過チャンバ124に連結される。処理チャンバ114、116、118、120の各々は、それぞれのチャンバと第2の移送チャンバ104との間に配置された分離バルブを有する。通過チャンバ124はまた、それぞれのチャンバ124と第2の移送チャンバ104との間に配置されたそれぞれの分離バルブを有する。各分離バルブは、それぞれのチャンバが第2の移送チャンバ104から流体的に分離できるようにする。チャンバの分離バルブは、それぞれのチャンバが、例えば、第2の移送チャンバ104とは異なるレベルの圧力で動作できるようにし、それぞれのチャンバ内で使用されるか又はそれぞれのチャンバ内に導入される任意のガスが第2の移送チャンバ104内に導入されることを防止する。 The second transfer chamber 104 is a central vacuum chamber that interfaces with adjacent processing chambers 114, 116, 118, 120. Second transfer chamber 104 is coupled to processing chambers 114 , 116 , 118 , 120 and transit chamber 124 . Each of the processing chambers 114, 116, 118, 120 has an isolation valve disposed between the respective chamber and the second transfer chamber 104. The transit chambers 124 also have respective isolation valves disposed between the respective chambers 124 and the second transfer chamber 104. Each isolation valve allows a respective chamber to be fluidly isolated from the second transfer chamber 104. The chamber isolation valves allow each chamber to operate at a different level of pressure than, for example, the second transfer chamber 104, and any pressure used or introduced into the respective chamber. gas from being introduced into the second transfer chamber 104.

第1の移送チャンバ102及び第2の移送チャンバ104は、冷却チャンバ又は予熱チャンバを備えうる通過チャンバ124によって分離される。また、通過チャンバ124は、第1の移送チャンバ102と第2の移送チャンバ104とが異なる圧力で動作する場合に、基板の取り扱い中ポンプダウン(pumped down)又は換気されてもよい。 The first transfer chamber 102 and the second transfer chamber 104 are separated by a transit chamber 124, which may include a cooling or preheating chamber. The transit chamber 124 may also be pumped down or vented during substrate handling if the first transfer chamber 102 and the second transfer chamber 104 operate at different pressures.

図示されていないが、ガス及び圧力制御システム(例えば、複数の真空ポンプを含む)が、各移送チャンバ102、104、各通過チャンバ124、及び処理チャンバ及びガス抜きチャンバ110-122の各々と流体連結して配置され、それぞれのチャンバ内の圧力を独立して調節する。ガス及び圧力制御システムは、1つ又は複数のガスポンプ(例えば、ターボポンプ、クライオポンプ、粗引きポンプなど)、ガス源、種々のバルブ、及び種々のチャンバに流体連結された導管を含みうる。ガス及び圧力制御システムは、任意のチャンバをターゲット圧力に維持することができる。 Although not shown, a gas and pressure control system (e.g., including a plurality of vacuum pumps) is in fluid communication with each transfer chamber 102, 104, each pass-through chamber 124, and each of the processing and degassing chambers 110-122. and are arranged to independently adjust the pressure within each chamber. The gas and pressure control system may include one or more gas pumps (eg, turbo pumps, cryopumps, roughing pumps, etc.), gas sources, various valves, and conduits fluidly connected to various chambers. A gas and pressure control system can maintain any chamber at a target pressure.

処理システム100は、処理システム100の動作、プロセス、又は機能を制御するようにプログラムされるコントローラ126によって自動化される。コントローラ126は、基板を処理するために、処理システム100のチャンバのそれぞれに対して個々の動作を行うことができる。例えば、コントローラ126は、処理システム100のチャンバ102-124の直接制御を使用して、又はチャンバ102-124に関連するコントローラを制御することによって、処理システム100の動作を制御しうる。動作中、コントローラ126は、処理システム100の性能を調整するために、それぞれのチャンバからのデータ収集及びフィードバックを可能にする。コントローラ126は、一般に、プロセッサ132(例えば、中央処理装置(CPU)又は他のプロセッサ)、メモリ134、及びサポート回路136を含むことができる。プロセッサ132は、産業用設定において使用することができる汎用プロセッサの任意の形態のうちの1つでありうる。メモリ134(例えば、非一時的コンピュータ可読記憶媒体)は、プロセッサによってアクセス可能であり、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態のデジタルストレージ、ローカル又はリモートなどの1つ又は複数のメモリでありうる。サポート回路136は、プロセッサに連結することができ、キャッシュ、クロック回路、入力/出力サブシステム、電源などを備えうる。本明細書で開示される様々な方法は、一般に、例えばソフトウェアルーチンとして、メモリ136に記憶されたコンピュータ命令コードをプロセッサ132が実行することによって、プロセッサ132の制御下で実装されうる。コンピュータ命令コードがプロセッサ132によって実行されると、プロセッサ132は、様々な方法に従って、チャンバを制御して処理を実行し、及び/又はチャンバ内の処理を制御する。 Processing system 100 is automated by a controller 126 that is programmed to control operations, processes, or functions of processing system 100. Controller 126 can perform individual operations on each of the chambers of processing system 100 to process substrates. For example, controller 126 may control operation of processing system 100 using direct control of chambers 102-124 of processing system 100 or by controlling controllers associated with chambers 102-124. During operation, controller 126 enables data collection and feedback from each chamber to adjust the performance of processing system 100. Controller 126 may generally include a processor 132 (eg, a central processing unit (CPU) or other processor), memory 134, and support circuitry 136. Processor 132 may be one of any form of general purpose processor that can be used in an industrial setting. Memory 134 (e.g., a non-transitory computer-readable storage medium) is accessible by the processor and may include random access memory (RAM), read-only memory (ROM), a floppy disk, a hard disk, or any other form of digital storage. , local or remote. Support circuitry 136 may be coupled to the processor and may include cache, clock circuitry, input/output subsystems, power supplies, and the like. The various methods disclosed herein may generally be implemented under the control of processor 132, such as by processor 132 executing computer instruction code stored in memory 136, such as as a software routine. When the computer instruction code is executed by processor 132, processor 132 controls the chamber to perform processing and/or control processing within the chamber according to various methods.

基板(図示せず)は、ロードロックチャンバ128を通して処理システム100にロードされる。例えば、ファクトリインターフェースモジュール130が存在する場合、人間オペレータ又は自動化された基板ハンドリングシステムのいずれかから、1つ又は複数の基板、例えばウエハ、ウエハのカセット、又はウエハの封入ポッドを受容する役割を担うことになるだろう。ファクトリインターフェースモジュール130は、適用可能であれば、基板のカセット又はポッドを開き、基板をロードロックチャンバ128との間で移動させることができる。第1の移送チャンバ102は、ロードロックチャンバ128から基板を受け取り、基板は、通過チャンバ124を介することを含め、移送チャンバ102、104全体にわたって移送することができる。様々なチャンバ110-122は、移送チャンバ102、104から基板を受け取り、基板を処理し、基板を移送チャンバ102、104に戻すことができるようにする。 A substrate (not shown) is loaded into processing system 100 through load lock chamber 128. For example, a factory interface module 130, if present, is responsible for receiving one or more substrates, such as wafers, cassettes of wafers, or containment pods of wafers, from either a human operator or an automated substrate handling system. It's going to happen. Factory interface module 130 can open cassettes or pods of substrates and move substrates to and from load lock chamber 128, if applicable. The first transfer chamber 102 receives the substrate from the load-lock chamber 128, and the substrate can be transferred throughout the transfer chambers 102, 104, including through the pass-through chamber 124. Various chambers 110-122 allow substrates to be received from transfer chambers 102, 104, processed, and returned to transfer chambers 102, 104.

通常の動作では、基板がロードされたカセットはファクトリインターフェースモジュール130からドアを通ってロードロックチャンバ128内に配置され、ドアが閉じられる。次いで、ロードロックチャンバ128は、第1の移送チャンバ102と同じ圧力まで排気され、ロードロックチャンバ128と第1の移送チャンバ102との間の分離バルブが開放される。第1の移送チャンバ102内の移送ロボット106は、所定の位置に移動され、1つの基板がロードロックチャンバ128から取り外される。ロードロックチャンバ128は、好ましくは、1つの基板がカセットから取り外されるようにエレベータ機構を備えており、エレベータは、ウエハのスタックをカセット内で移動させ、別のウエハを移送面内に位置付けることにより、ロボットブレード上に位置付けることができるようにする。 In normal operation, a cassette loaded with substrates is placed from the factory interface module 130 through a door into the load lock chamber 128 and the door is closed. The load lock chamber 128 is then evacuated to the same pressure as the first transfer chamber 102 and the isolation valve between the load lock chamber 128 and the first transfer chamber 102 is opened. The transfer robot 106 within the first transfer chamber 102 is moved into position and one substrate is removed from the load lock chamber 128. The load lock chamber 128 preferably includes an elevator mechanism to allow one substrate to be removed from the cassette by moving the stack of wafers within the cassette and positioning another wafer within the transfer plane. , so that it can be positioned on the robot blade.

次いで、第1の移送チャンバ102内の移送ロボット106は、基板が処理チャンバ位置と位置合わせされるように、基板と共に回転する。処理チャンバは、任意の有毒ガスが流され、移送チャンバと同じ圧力レベルにされ、処理チャンバと第1の移送チャンバ102との間の分離バルブが開かれる。次いで、移送ロボット106は、ウエハを処理チャンバ内に移動させ、そこで移送ロボット106から持ち上げられる。移送ロボット106は次に、処理チャンバから後退し、分離バルブが閉じられる。次いで、処理チャンバは、一連の動作を経て、ウエハ上で特定のプロセスを実行する。完了すると、処理チャンバは、第1の移送チャンバ102と同じ環境に戻され、分離バルブが開かれる。移送ロボット106は、処理チャンバからウエハを取り外し、次いで、別の動作のために別の処理チャンバに移動させ、第2の移送チャンバ104に移送するために通過チャンバ124に移動させるか、又はウエハのカセット全体が処理されたときに処理システム100から除去されるロードロックチャンバ128内に置き換えるかのいずれかである。 The transfer robot 106 within the first transfer chamber 102 then rotates with the substrate such that the substrate is aligned with the processing chamber position. The processing chamber is flushed with any toxic gases and brought to the same pressure level as the transfer chamber, and the isolation valve between the processing chamber and the first transfer chamber 102 is opened. Transfer robot 106 then moves the wafer into the processing chamber where it is lifted from transfer robot 106. Transfer robot 106 is then withdrawn from the processing chamber and the isolation valve is closed. The processing chamber then goes through a series of operations to perform a particular process on the wafer. Once completed, the processing chamber is returned to the same environment as the first transfer chamber 102 and the isolation valve is opened. The transfer robot 106 removes the wafer from the processing chamber, then transfers it to another processing chamber for another operation, transfers it to a pass chamber 124 for transfer to a second transfer chamber 104, or transfers the wafer to a pass chamber 124 for transfer to a second transfer chamber 104. Either replaced in load lock chamber 128 which is removed from processing system 100 when the entire cassette has been processed.

移送ロボット106が基板を通過チャンバ124に移動させる場合、第1の移送チャンバ102内の移送ロボット106は、基板が通過チャンバ124の位置と位置合わせされるように、基板と共に回転する。通過チャンバ124は、移送チャンバと同じ圧力レベルにされ、通過チャンバ124と第1の移送チャンバ102との間の分離バルブが開かれる。次いで、移送ロボット106は、ウエハを通過チャンバ124内に移動させ、そこで移送ロボット106から持ち上げられる。次いで、移送ロボット106は、通過チャンバ124から後退し、分離バルブが閉じられる。次いで、通過チャンバ124は、第2の移送チャンバ104と同じ環境(例えば、圧力を含む)にされうる。通過チャンバ124が第2の移送チャンバ104と同じ環境に戻されると、通過チャンバ124と第2の移送チャンバ104との間の分離バルブが開かれる。移送ロボット108は、通過チャンバ124からウエハを取り外し、分離バルブを閉じる。次いで、移送ロボット108は、別の動作のために、第2の移送チャンバ104に連結された別の処理チャンバに基板を移動させる。移送ロボット108は、第1の移送チャンバ102に連結された処理チャンバに基板を移動させる移送ロボット108に対して、上述したような第2の移送チャンバ104に連結された別の処理チャンバに基板を移動させることができる。 When the transfer robot 106 moves a substrate to the pass-through chamber 124 , the transfer robot 106 in the first transfer chamber 102 rotates with the substrate such that the substrate is aligned with the position of the pass-through chamber 124 . The transit chamber 124 is brought to the same pressure level as the transfer chamber and the isolation valve between the transit chamber 124 and the first transfer chamber 102 is opened. Transfer robot 106 then moves the wafer into pass-through chamber 124 where it is lifted from transfer robot 106 . The transfer robot 106 is then retracted from the transit chamber 124 and the isolation valve is closed. The transit chamber 124 may then be subjected to the same environment (eg, including pressure) as the second transfer chamber 104. When the transit chamber 124 is returned to the same environment as the second transfer chamber 104, the isolation valve between the transit chamber 124 and the second transfer chamber 104 is opened. Transfer robot 108 removes the wafer from transit chamber 124 and closes the isolation valve. Transfer robot 108 then moves the substrate to another processing chamber coupled to second transfer chamber 104 for another operation. Transfer robot 108 transfers substrates to another processing chamber coupled to second transfer chamber 104 as described above for transfer robot 108 to transfer substrates to a processing chamber coupled to first transfer chamber 102 . It can be moved.

移送ロボット108は、次いで、基板が通過チャンバ124を通って第2の移送チャンバ104内に受け取られた動作の逆のシーケンスなどによって、第1の移送チャンバ102への移送のために、基板を通過チャンバ124に移動させることができる。第1の移送チャンバ102内の移送ロボット106は、基板を別の動作のために別の処理チャンバに移動させることができ、又はウエハのカセット全体が処理されたときに処理システム100から取り外されるロードロックチャンバ128内に置き換えることができる。移送ロボット106、108は、異なる処理チャンバ間で基板を支持し、移動させるロボットアーム107、109をそれぞれ含む。 The transfer robot 108 then passes the substrate for transfer to the first transfer chamber 102, such as by a reverse sequence of operations in which the substrate is received through the pass chamber 124 and into the second transfer chamber 104. It can be moved to chamber 124. A transfer robot 106 in the first transfer chamber 102 can move the substrate to another processing chamber for another operation, or a load that can be removed from the processing system 100 when the entire cassette of wafers has been processed. It can be replaced within the lock chamber 128. Transfer robots 106, 108 include robotic arms 107, 109, respectively, that support and move substrates between different processing chambers.

処理チャンバ110-120は、任意の適切な処理チャンバとすることができ、又はそれを含むことができる。処理チャンバ110-120のうちの1つ又は複数は、チャンバ内の異なる圧力などの異なる環境を使用して、基板上で処理を実行するように構成されたチャンバである。様々な例を以下に記載する。処理チャンバ110-120のための他の例示的な処理チャンバは、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、反応性イオンエッチング(RIE)チャンバ、急速熱アニール(RTA)又は急速熱プロセス(RTP)チャンバなどを含む。 Processing chambers 110-120 can be or include any suitable processing chambers. One or more of the processing chambers 110-120 are chambers configured to perform processing on a substrate using different environments, such as different pressures within the chamber. Various examples are described below. Other exemplary processing chambers for processing chambers 110-120 include a chemical vapor deposition (CVD) chamber, an atomic layer deposition (ALD) chamber, a reactive ion etch (RIE) chamber, a rapid thermal anneal (RTA) or including rapid thermal process (RTP) chambers, etc.

他の処理システムは、他の構成にすることができる。例えば、より多くの又はより少ない処理チャンバが、移送装置に連結されてもよい。図示された例では、移送装置は、移送チャンバ102、104と、通過チャンバ124とを含む。他の例では、より少ない若しくはより多い移送チャンバ、通過チャンバ、及び/又は1つ若しくは複数の保持チャンバが、処理システム内の移送装置として実装されうる。 Other processing systems may have other configurations. For example, more or fewer processing chambers may be coupled to the transfer device. In the illustrated example, the transfer device includes transfer chambers 102, 104 and a passage chamber 124. In other examples, fewer or more transfer chambers, pass-through chambers, and/or one or more holding chambers may be implemented as transfer devices within the processing system.

図2は、基板を処理するための高圧環境と、基板を処理するための低圧環境とを作り出すように構成されている、多圧処理チャンバ200の概略図を示す。多圧処理チャンバ200は、第1のチャンバ202及び第2のチャンバ204を含む。第1のチャンバ202は、第2のチャンバ204内に配置され、内側チャンバと見なされてもよく、第2のチャンバ204は、外側チャンバと見なされてもよい。更に、以下の説明から明らかなように、第1のチャンバ202は、高圧処理を可能にするように構成することができ、更に、高圧チャンバと見なされうる。第1のチャンバ202及び第2のチャンバ204は、場合によっては、まとめて流体連結され、低圧処理ができるように構成されうる。第1のチャンバ202内の圧力は、第2のチャンバ204内の圧力とは独立して制御することができる。 FIG. 2 shows a schematic diagram of a multi-pressure processing chamber 200 configured to create a high pressure environment for processing substrates and a low pressure environment for processing substrates. Multipressure processing chamber 200 includes a first chamber 202 and a second chamber 204. The first chamber 202 is disposed within a second chamber 204 and may be considered an inner chamber, and the second chamber 204 may be considered an outer chamber. Furthermore, as will be apparent from the description below, the first chamber 202 can be configured to enable high pressure processing and may further be considered a high pressure chamber. The first chamber 202 and the second chamber 204 may optionally be fluidly connected together and configured to allow low pressure processing. The pressure within the first chamber 202 can be controlled independently of the pressure within the second chamber 204.

制御された多圧処理チャンバ200は、ガス供給システム206、真空処理システム208、及びコントローラ210を更に含む。いくつかの例では、ガス供給システム206及び真空処理システム208は、図1の処理システム100のガス及び圧力制御システムの少なくとも一部である。いくつかの例では、処理システム100のコントローラ126は、コントローラ210でありうるか、又はこれを含みうる。 Controlled multipressure processing chamber 200 further includes a gas supply system 206, a vacuum processing system 208, and a controller 210. In some examples, gas supply system 206 and vacuum processing system 208 are at least part of the gas and pressure control system of processing system 100 of FIG. In some examples, controller 126 of processing system 100 may be or include controller 210.

ガス供給システム206は、例えば、ガス流導管によって、第1のチャンバ202に流体的に連結され、第1のチャンバ202を加圧及び減圧するように動作可能である。第1のチャンバ202は、ガス供給システム206からプロセスガスを受け取り、高圧、例えば少なくとも1バールの圧力を確立する高圧処理チャンバである。プロセスガスは、酸素ガス(O)、オゾンガス(O)、亜酸化窒素(NO)、一酸化窒素(NO)、蒸気(HO)、アンモニアガス(NH)など、又はこれらの組合せであるか、又はこれらを含むことができる。ガス供給システム206は、第1のチャンバにプロセスガスを供給するために、例えば、コントローラ210によって、制御可能である、ガスパネル、導管、及びバルブの組み合わせを含むことができ、第1のチャンバは、多圧処理チャンバ200内で実行される異なるプロセスのための異なるプロセスガス組成物を有しうる。第1のチャンバ202を加圧するために、ガス供給システム206は、第1のチャンバ202にプロセスガスを導入する。ガス供給システム206は、第1のチャンバ202からプロセスガスを排気するための排気システム212を含むことができ、それによって第1のチャンバ202を減圧する。 A gas supply system 206 is fluidly coupled to the first chamber 202, such as by a gas flow conduit, and is operable to pressurize and depressurize the first chamber 202. The first chamber 202 is a high pressure processing chamber that receives process gas from a gas supply system 206 and establishes a high pressure, for example a pressure of at least 1 bar. Process gases include oxygen gas (O 2 ), ozone gas (O 3 ), nitrous oxide (N 2 O), nitric oxide (NO), steam (H 2 O), ammonia gas (NH 3 ), or the like. or a combination of these. Gas supply system 206 can include a combination of gas panels, conduits, and valves, controllable, for example, by controller 210, to supply process gas to the first chamber, the first chamber being , may have different process gas compositions for different processes performed within multipressure processing chamber 200. To pressurize first chamber 202 , gas supply system 206 introduces a process gas into first chamber 202 . Gas supply system 206 can include an exhaust system 212 for exhausting process gas from first chamber 202, thereby depressurizing first chamber 202.

いくつかの実施態様では、多圧処理チャンバ200は、遠隔プラズマ源(RPS)214を含む。そのような実施態様では、RPS214は、例えば、ガス流導管によって、ガス供給システム206に流体連結される。RPS214は、第1のチャンバ202に更に流体連結される。ガス供給システム206から流れるプロセスガスは、RPS214内のプラズマ内で点火されうる。RPS214内のプラズマからの廃水は、第1のチャンバ202に流入しうる。RPS214は、例えば、容量結合プラズマ源又は誘導結合プラズマ源とすることができる。 In some implementations, multipressure processing chamber 200 includes a remote plasma source (RPS) 214. In such embodiments, RPS 214 is fluidly coupled to gas supply system 206, for example, by a gas flow conduit. RPS 214 is further fluidly coupled to first chamber 202 . Process gases flowing from gas supply system 206 may be ignited within the plasma within RPS 214 . Waste water from the plasma within RPS 214 may flow into first chamber 202 . RPS 214 can be, for example, a capacitively coupled plasma source or an inductively coupled plasma source.

真空処理システム208は、例えば、ガス流導管によって、第2のチャンバ204に流体連結され、第2のチャンバ204の圧力を、真空又はほぼ真空圧力などの低圧に制御するように動作可能である。低圧は、例えば、10ミリトール程度の低圧でありうる。例えば、真空処理システム208は、第2のチャンバ204内の圧力を真空近くまで下げることによって、基板を処理するための適切な低圧環境を生成する。 A vacuum processing system 208 is fluidly coupled to the second chamber 204, eg, by a gas flow conduit, and is operable to control the pressure in the second chamber 204 to a low pressure, such as a vacuum or near vacuum pressure. The low pressure can be, for example, as low as 10 mTorr. For example, vacuum processing system 208 reduces the pressure within second chamber 204 to near vacuum, thereby creating a suitable low pressure environment for processing the substrate.

第1のチャンバ202と第2のチャンバ204との間に、バルブアセンブリ216が配置され、第1のチャンバ202内の圧力を第2のチャンバ204内の圧力から分離するように構成される。したがって、第1のチャンバ202内の高圧環境は、第2のチャンバ204内の環境から分離され密閉されうる。バルブアセンブリ216は、第1のチャンバ202を第2のチャンバ204に流体接続するために、及び/又は基板が多圧処理チャンバ200から移送できるようにするために、開放可能である。 A valve assembly 216 is disposed between the first chamber 202 and the second chamber 204 and is configured to isolate the pressure within the first chamber 202 from the pressure within the second chamber 204. Accordingly, the high pressure environment within the first chamber 202 may be isolated and sealed from the environment within the second chamber 204. Valve assembly 216 is openable to fluidly connect first chamber 202 to second chamber 204 and/or to allow substrates to be transferred from multipressure processing chamber 200.

いくつかの実施態様では、多圧処理チャンバ200は、多圧処理チャンバ200に接続され、外部環境に接続されたフォアライン218を含む。分離バルブ220は、フォアライン218に沿って配置され、第2のチャンバ204内の圧力を外部環境の圧力から分離する。分離バルブ220は、第2のチャンバ204内の圧力を調整し、第2のチャンバ204内のガスを放出するように動作させることができる。分離バルブ220は、第2のチャンバ204内の圧力を調整するために、真空処理システム208と連動して動作させることができる。 In some implementations, multi-pressure processing chamber 200 includes a foreline 218 connected to multi-pressure processing chamber 200 and connected to the external environment. Isolation valve 220 is positioned along foreline 218 to isolate the pressure within second chamber 204 from the pressure of the external environment. Isolation valve 220 can be operated to regulate the pressure within second chamber 204 and vent gas within second chamber 204 . Isolation valve 220 can be operated in conjunction with vacuum processing system 208 to regulate the pressure within second chamber 204 .

一般に、基板は、多圧処理チャンバ200内の第1のチャンバ202内に配置されつつ、いくつかのプロセスによって処理されうる。例えば、基板は、第1のチャンバ202内のペデスタル(図示せず)に移すことができる。いくつかの例では、基板の第1のチャンバ202への移送は、バルブアセンブリ216を通して行うことができる。基板が第1のチャンバ202内のペデスタル上に配置されると、バルブアセンブリ216は、第1のチャンバ202の内部空間と第2のチャンバ204の内部空間とを流体連結して開いた状態を維持することができる。したがって、真空処理システム208は、バルブアセンブリ216が開いている間に、第1のチャンバ202及び第2のチャンバ204内の圧力をポンプダウンすることができる。よって、基板が第1のチャンバ202内のペデスタル上に配置されている間に、基板上で低圧処理を実行することができる。低圧処理は、ガス供給システム206から第1のチャンバ202内にプロセスガスを流すことを含むことができ、これは、真空処理システム208によって排気することができる。いくつかの例では、低圧処理は、RPS214内で点火されるプラズマを使用することを含みうる。 Generally, a substrate may be processed through a number of processes while being placed within a first chamber 202 within multipressure processing chamber 200. For example, the substrate can be transferred to a pedestal (not shown) within the first chamber 202. In some examples, transfer of the substrate to first chamber 202 can occur through valve assembly 216. When a substrate is placed on the pedestal within the first chamber 202, the valve assembly 216 fluidly couples the interior space of the first chamber 202 and the interior space of the second chamber 204 to remain open. can do. Thus, the vacuum processing system 208 can pump down the pressure within the first chamber 202 and the second chamber 204 while the valve assembly 216 is open. Thus, low pressure processing can be performed on the substrate while it is placed on the pedestal within the first chamber 202. Low pressure processing can include flowing process gas from gas supply system 206 into first chamber 202, which can be evacuated by vacuum processing system 208. In some examples, low pressure processing may include using a plasma ignited within the RPS 214.

加えて、基板を第1のチャンバ202内のペデスタル上に配置した状態で、第1のチャンバ202の内部空間を第2のチャンバ204の内部空間から流体的に分離するために、バルブアセンブリ216を閉じることができる。ガス供給システム206は、バルブアセンブリ216を閉じた状態で、第1のチャンバ202内に高圧を作り出すことができる。したがって、基板が第1のチャンバ202内のペデスタル上に配置されている間に、基板上で高圧処理を行うことができる。高圧処理は、ガス供給システム206から第1のチャンバ202内にプロセスガスを流すことを含みうる。いくつかの例では、高圧処理は、RPS214内で点火されたプラズマを使用することを含みうる。 In addition, a valve assembly 216 is configured to fluidically isolate the interior space of the first chamber 202 from the interior space of the second chamber 204 with the substrate disposed on the pedestal within the first chamber 202. Can be closed. Gas supply system 206 can create high pressure within first chamber 202 with valve assembly 216 closed. Thus, high pressure processing can be performed on the substrate while it is placed on the pedestal within the first chamber 202. High pressure processing may include flowing process gas into first chamber 202 from gas supply system 206. In some examples, high pressure processing may include using a plasma ignited within the RPS 214.

図3~図6は、基板を処理するための多圧処理チャンバの様々な例を示す。これらの多圧処理チャンバのチャンバの圧力は、図2に関して説明したものと類似のシステムを使用して制御することができる。 3-6 illustrate various examples of multi-pressure processing chambers for processing substrates. The chamber pressure of these multipressure processing chambers can be controlled using a system similar to that described with respect to FIG.

図3を参照すると、多圧処理チャンバ300は、第1のチャンバ302と、ペデスタル304と、第2のチャンバ306と、コントローラ(例えば、コントローラ126)とを含む。以下の説明から明らかなように、第1のチャンバ302は、第2のチャンバ306内に配置され、内側チャンバと見なされてもよく、第2のチャンバ306は、外側チャンバと見なされてもよい。更に、以下の説明から明らかなように、第1のチャンバ302は、高圧処理を可能にするように構成することができ、更に、高圧チャンバと見なされうる。第1のチャンバ302及び第2のチャンバ306は、場合によっては、まとめて流体連結され、低圧処理ができるように構成されうる。 Referring to FIG. 3, multipressure processing chamber 300 includes a first chamber 302, a pedestal 304, a second chamber 306, and a controller (eg, controller 126). As will be apparent from the description below, the first chamber 302 is disposed within a second chamber 306 and may be considered an inner chamber, and the second chamber 306 may be considered an outer chamber. . Furthermore, as will be apparent from the description below, the first chamber 302 can be configured to enable high pressure processing and may further be considered a high pressure chamber. The first chamber 302 and the second chamber 306 may optionally be fluidly connected together and configured to allow low pressure processing.

多圧処理チャンバ300は、真空処理システム208と類似の真空処理システム(図示せず)と、図2に関して説明したガス供給システム206と類似のガス供給システム307とを更に含む。例えば、ガス供給システム307は、注入ライン307a及び排気ライン307bを含む。プロセスガスは、注入ライン307aを通って第1のチャンバ302に導入され、プロセスガスは、排気ライン307bを通って第1のチャンバ302から排気される。いくつかの例では、多圧処理チャンバ300はRPSを含むことができ、RPSから第1のチャンバ302に流れるプラズマ放出物のために注入ライン307aに連結されうる。 Multipressure processing chamber 300 further includes a vacuum processing system (not shown) similar to vacuum processing system 208 and a gas supply system 307 similar to gas supply system 206 described with respect to FIG. For example, gas supply system 307 includes an injection line 307a and an exhaust line 307b. Process gas is introduced into first chamber 302 through injection line 307a, and process gas is exhausted from first chamber 302 through exhaust line 307b. In some examples, multipressure processing chamber 300 can include an RPS and can be coupled to injection line 307a for plasma effluent flowing from the RPS to first chamber 302.

ペデスタル304は、膜が処理される基板314を支持する。ペデスタル304は、第1のチャンバ302内に位置付けられる又は位置付け可能である。いくつかの実施態様では、基板314は、ペデスタルの平坦な上面に直接位置する。いくつかの実施態様では、基板314は、ペデスタルから突出するピン330上に位置する。 Pedestal 304 supports a substrate 314 on which the membrane is processed. Pedestal 304 is positioned or positionable within first chamber 302 . In some implementations, the substrate 314 is located directly on the flat top surface of the pedestal. In some implementations, the substrate 314 is located on pins 330 that protrude from the pedestal.

多圧処理チャンバ300は、内壁320と、基部322と、外壁324とを含む。第1のチャンバ302は、内壁320及び基部322内の空間によって提供される。第2のチャンバ306は、内壁320内及び内壁320の外側、例えば内壁320と外壁324との間の空間によって提供される。 Multipressure processing chamber 300 includes an inner wall 320, a base 322, and an outer wall 324. First chamber 302 is provided by the space within inner wall 320 and base 322 . The second chamber 306 is provided by the space within and outside the inner wall 320 , for example between the inner wall 320 and the outer wall 324 .

多圧処理チャンバ300は、図2のバルブアセンブリ216の機能を提供する、第1のチャンバ302と第2のチャンバ306との間のバルブアセンブリ316を更に含み、例えば、第1のチャンバ302を第2のチャンバ306から分離し、第1のチャンバ302と第2のチャンバ306とを流体的に連結するように操作されうる。例えば、バルブアセンブリ316は、内壁320、基部322、及び内壁320に対して基部322を移動させるためのアクチュエータ323を含む。アクチュエータ323は、基部322を駆動して、例えば、第1のチャンバ302を画定する内壁320から離れて又はこれに向かって垂直に移動するように制御されうる。第2のチャンバ306を外部雰囲気から密閉する一方で、基部322を垂直に移動可能にするために、ベローズ328を使用することができる。ベローズ328は、基部322の底部から、外壁324によって形成された第2のチャンバ306の床まで延びうる。 Multipressure processing chamber 300 further includes a valve assembly 316 between first chamber 302 and second chamber 306 that provides the functionality of valve assembly 216 of FIG. The first chamber 302 and the second chamber 306 may be separated from each other and operated to fluidly connect the first chamber 302 and the second chamber 306. For example, valve assembly 316 includes an inner wall 320, a base 322, and an actuator 323 for moving base 322 relative to inner wall 320. Actuator 323 may be controlled to drive base 322 to move vertically away from or toward inner wall 320 defining first chamber 302, for example. A bellows 328 may be used to seal the second chamber 306 from the external atmosphere while allowing the base 322 to move vertically. Bellows 328 may extend from the bottom of base 322 to the floor of second chamber 306 formed by outer wall 324.

バルブアセンブリ316が閉位置にあるとき、基部322は、基部322と内壁320との間にシールが形成されるように、内壁320に接触し、したがって、第2のチャンバ306が第1のチャンバ302から分離される。アクチュエータ323は、シールを形成するのに十分な力で基部322を内壁320に向かって動かすように操作される。シールは、第1のチャンバ302からのガスが第2のチャンバ306内に排気されるのを阻止する。 When the valve assembly 316 is in the closed position, the base 322 contacts the inner wall 320 such that a seal is formed between the base 322 and the inner wall 320 such that the second chamber 306 is connected to the first chamber 302. separated from Actuator 323 is operated to move base 322 toward inner wall 320 with sufficient force to form a seal. The seal prevents gas from the first chamber 302 from evacuating into the second chamber 306.

バルブアセンブリ316が開位置にあるとき、基部322が、内壁320から離れて間隔をあけて配置されることによって、第1のチャンバ302と第2のチャンバ306との間でガスを伝導することができ、また、基板314にアクセスして別のチャンバに移送できるようにする。 When the valve assembly 316 is in the open position, the base 322 is spaced apart from the inner wall 320 to conduct gas between the first chamber 302 and the second chamber 306. and allows the substrate 314 to be accessed and transferred to another chamber.

ペデスタル304は、基部322上で支持されるので、従って、内壁320に対しても移動可能である。ペデスタル304は、基板314が移送ロボットによってより容易にアクセス可能になるように移動させることができる。例えば、移送ロボット106又は108(図1を参照)のアームは、外壁324を通って開孔326(例えば、スリット)を通って延びうる。バルブアセンブリ316が開位置にあるとき、ロボットアームは、内壁320と基部322との間の間隙を通過して、ペデスタル304上の基板314にアクセスすることができる。 Pedestal 304 is supported on base 322 and thus is also movable relative to interior wall 320. Pedestal 304 can be moved so that substrate 314 is more easily accessible by a transfer robot. For example, an arm of transfer robot 106 or 108 (see FIG. 1) may extend through outer wall 324 through an aperture 326 (eg, a slit). When the valve assembly 316 is in the open position, the robotic arm can pass through the gap between the inner wall 320 and the base 322 to access the substrate 314 on the pedestal 304.

いくつかの実施態様では、多圧処理チャンバ300は、基板314に熱を加えるように構成された1つ又は複数の加熱要素318を含む。加熱要素318からの熱は、例えば、基板314がペデスタル304上で支持され、プロセスガス(使用される場合)が第1のチャンバ302に導入されたときに基板314をアニールするのに十分でありうる。加熱要素318は、抵抗性の加熱要素でありうる。1つ又は複数の加熱要素318は、内壁320によって提供される第1のチャンバ302の天井内といった、第1のチャンバ302を画定する内壁320内に位置付けられ(例えば、埋め込まれ)うる。加熱要素318は、内壁320を加熱するように動作可能であり、放射熱を基板314に到達させる。基板314は、内壁320から基板314への熱の伝達を改善するために、天井に近接して(例えば2~10mm)ペデスタル304によって保持されうる。 In some implementations, multipressure processing chamber 300 includes one or more heating elements 318 configured to apply heat to substrate 314. Heat from heating element 318 may be sufficient to anneal substrate 314, for example, when substrate 314 is supported on pedestal 304 and process gas (if used) is introduced into first chamber 302. sell. Heating element 318 may be a resistive heating element. One or more heating elements 318 may be positioned (eg, embedded) within the interior wall 320 defining the first chamber 302, such as within the ceiling of the first chamber 302 provided by the interior wall 320. Heating element 318 is operable to heat inner wall 320 and allow radiant heat to reach substrate 314 . The substrate 314 may be held by the pedestal 304 close to the ceiling (eg, 2-10 mm) to improve heat transfer from the inner wall 320 to the substrate 314.

1つ又は複数の加熱要素318は、多圧処理チャンバ300内の他の位置、例えば、天井ではなく側壁内に配置されてもよい。加熱要素318の一例は、個別の加熱コイルを含む。内壁に埋め込まれたヒータの代わりに又はこれに加えて、放射ヒータ、例えば赤外線ランプを第1のチャンバ302の外側に位置付け、内壁320の窓を通して赤外線を方向付けることができる。電気ワイヤは、電圧源などの電気源(図示せず)を加熱要素に接続し、1つ又は複数の加熱要素318をコントローラに接続することができる。 The one or more heating elements 318 may be located at other locations within the multipressure processing chamber 300, such as in the sidewalls rather than the ceiling. One example of heating element 318 includes individual heating coils. Instead of or in addition to a heater embedded in the inner wall, a radiant heater, such as an infrared lamp, can be positioned outside the first chamber 302 and direct the infrared radiation through a window in the inner wall 320. Electrical wires can connect an electrical source (not shown), such as a voltage source, to the heating element and connect one or more heating elements 318 to a controller.

コントローラは、基板314を処理するための動作を制御するために、真空処理システム、ガス供給システム307、及びバルブアセンブリ316に動作可能に接続される。いくつかの実施態様では、コントローラはまた、他のシステムに動作可能に接続されうる。場合によっては、図1に示されるコントローラ126は、多圧処理チャンバ300のコントローラであるか又はこのコントローラを含む。 A controller is operably connected to the vacuum processing system, gas supply system 307, and valve assembly 316 to control operations for processing the substrate 314. In some implementations, the controller may also be operably connected to other systems. In some cases, controller 126 shown in FIG. 1 is or includes a controller for multipressure processing chamber 300.

基板314の処理において、コントローラは、真空処理システムを動作させて、第2のチャンバ306を低圧まで減圧し、第2のチャンバ306を通した基板314の移送に備えることができる。基板314が、移送ロボット、例えば、移送ロボット106、108のうちの1つによって、開孔326及び第2のチャンバ306を通って移動する一方で、第2のチャンバ306は、基板314の汚染を阻止できるように、低圧である。 In processing the substrate 314, the controller may operate the vacuum processing system to reduce the pressure in the second chamber 306 to a low pressure and prepare for transfer of the substrate 314 through the second chamber 306. While the substrate 314 is moved through the aperture 326 and the second chamber 306 by a transfer robot, e.g. Low pressure so that it can be prevented.

基板314は、処理のためにペデスタル304上に移送される。基板314をペデスタル304上に移送するために、コントローラは、バルブアセンブリ316を操作してバルブアセンブリ316を開き、基板314が第1のチャンバ302内及びペデスタル304上に移送されうる開口を提供することができる。コントローラは、基板314を第1のチャンバ302内に運び込み、基板314をペデスタル304上に配置するために、移送ロボットを動作させることができる。 Substrate 314 is transferred onto pedestal 304 for processing. To transfer the substrate 314 onto the pedestal 304, the controller operates the valve assembly 316 to open the valve assembly 316 and provide an opening through which the substrate 314 can be transferred into the first chamber 302 and onto the pedestal 304. I can do it. The controller can operate the transfer robot to bring the substrate 314 into the first chamber 302 and position the substrate 314 on the pedestal 304.

基板314がペデスタル304上に移送された後に、コントローラは、バルブアセンブリを、低圧処理のために開くように又は高圧処理のために閉じるように動作させることができる。任意の順序の高圧処理及び低圧処理が実施されうる。いくつかの例では、基板は、低圧及び高圧処理を周期的に実行することによって処理することができる。 After the substrate 314 is transferred onto the pedestal 304, the controller can operate the valve assembly to open for low pressure processing or close for high pressure processing. Any order of high pressure and low pressure treatments may be performed. In some examples, a substrate can be processed by periodically performing low pressure and high pressure processing.

バルブアセンブリ316を閉じると、第1のチャンバ302の内部空間は、第2のチャンバ306の内部空間から分離される。バルブアセンブリ316を閉じると、第1のチャンバ302及び第2のチャンバ306内の圧力は、異なる値に設定することができる。コントローラは、第1のチャンバ302にプロセスガスを導入して第1のチャンバ302を加圧し、基板314を処理するために、ガス供給システム307を動作させることができる。プロセスガスの導入により、第1のチャンバ302内の圧力を例えば1バール以上に上昇させることができる。第1のチャンバ302内の処理は、高圧で行うことができる。実施される場合、高圧処理中に基板314を処理するために、プラズマ放出物は、RPSから第1のチャンバ302に導入されうる。 Closing the valve assembly 316 separates the interior space of the first chamber 302 from the interior space of the second chamber 306 . When valve assembly 316 is closed, the pressures within first chamber 302 and second chamber 306 may be set to different values. The controller can operate the gas supply system 307 to introduce a process gas into the first chamber 302 to pressurize the first chamber 302 and process the substrate 314 . By introducing the process gas, the pressure in the first chamber 302 can be increased, for example to 1 bar or more. Processing within the first chamber 302 can be performed at high pressure. If implemented, plasma emissions may be introduced from the RPS into the first chamber 302 to process the substrate 314 during high pressure processing.

コントローラは、バルブアセンブリ316を動作させ、バルブアセンブリ316を開くことによって、第1のチャンバ302及び第2のチャンバ306を互いに流体連結させることができる。バルブアセンブリ316を開くと、第1のチャンバ302及び第2のチャンバ306内の圧力は等しくなりうる。コントローラは、真空処理システムを動作させて、第1のチャンバ302及び第2のチャンバ306を低圧にして、基板314を処理することができる。第1のチャンバ302及び第2のチャンバ306内の低圧は、例えば、10ミリトール程度の低圧とすることができる。したがって、第1のチャンバ302及び第2のチャンバ306における処理は、低圧でありうる。コントローラは、真空処理システムによって排気されうる第1のチャンバ302内にプロセスガスを導入して基板314を処理するように、ガス供給システム307を動作させることができる。実施される場合、低圧処理中に基板314を処理するために、プラズマ放出物は、RPSから第1のチャンバ302に導入されうる。 The controller can operate the valve assembly 316 to open the valve assembly 316, thereby fluidly coupling the first chamber 302 and the second chamber 306 with each other. When valve assembly 316 is opened, the pressure within first chamber 302 and second chamber 306 may be equalized. The controller can operate the vacuum processing system to provide a low pressure in the first chamber 302 and the second chamber 306 to process the substrate 314. The low pressure within the first chamber 302 and the second chamber 306 may be, for example, as low as 10 mTorr. Accordingly, the processing in the first chamber 302 and the second chamber 306 may be at low pressure. The controller can operate the gas supply system 307 to introduce a process gas into the first chamber 302, which can be evacuated by a vacuum processing system, to process the substrate 314. If implemented, plasma emissions may be introduced from the RPS into the first chamber 302 to process the substrate 314 during low pressure processing.

第1のチャンバ302内の高圧処理の後に、コントローラは、バルブアセンブリ316が開く前に、第1のチャンバ302を減圧するために、ガス供給システム307の排気システムを動作させることができる。圧力は、第1のチャンバ302と第2のチャンバ306との間の圧力差を最小限に抑えることができるように、低い圧力まで下げることができる。 After the high pressure treatment within the first chamber 302, the controller may operate the exhaust system of the gas supply system 307 to depressurize the first chamber 302 before the valve assembly 316 opens. The pressure can be reduced to a low pressure so that the pressure difference between the first chamber 302 and the second chamber 306 can be minimized.

加えて、基板を処理する間(例えば、バルブアセンブリ316を開閉し、及び/又は高圧又は低圧で)、コントローラは、異なる処理の間、同じ又は異なる温度で加熱要素318を動作させることができる。更に、コントローラは、任意の処理(例えば、高圧処理又は低圧処理)中に任意の適切なガスを流すようにガス供給システム307を動作させることができる。 Additionally, while processing a substrate (e.g., opening and closing valve assembly 316 and/or at high or low pressure), the controller can operate heating element 318 at the same or different temperatures during different processes. Further, the controller can operate the gas supply system 307 to flow any suitable gas during any process (eg, high pressure process or low pressure process).

多圧処理チャンバ300内の基板314の処理が完了すると、基板314は、移送ロボットを使用して、第1のチャンバ302から取り外されうる。第1のチャンバ302からの基板314の移送の準備のために、コントローラは、バルブアセンブリ316が開く前に、適宜、第1のチャンバ302を減圧するために、ガス供給システム307の排気システムを動作させることができる。特に、基板314が第1のチャンバ302から移送される前に、第1のチャンバ302からプロセスガスを排気して、第1のチャンバ302内の圧力を低下させることができる。 Once processing of the substrate 314 within the multipressure processing chamber 300 is complete, the substrate 314 may be removed from the first chamber 302 using a transfer robot. In preparation for transfer of the substrate 314 from the first chamber 302, the controller operates the exhaust system of the gas supply system 307 to depressurize the first chamber 302, as appropriate, before the valve assembly 316 opens. can be done. In particular, the process gas may be evacuated from the first chamber 302 to reduce the pressure within the first chamber 302 before the substrate 314 is transferred from the first chamber 302.

基板314が第1のチャンバ302から移送できるようにするために、コントローラは、バルブアセンブリ316を開くことができる。バルブアセンブリ316が開くと、基板314が移動して第2のチャンバ306内にかつ開孔326を通って移送される開口が提供される。特に、開いたバルブアセンブリ316は、基板314を第2のチャンバ306に、例えば第2のチャンバ306の低圧環境に、直接移送できるようにする。次に、コントローラは、移送ロボットを動作させ、基板314を処理システムの別のチャンバ、例えば処理システム100に移送することができる。例えば、基板314は、更なる処理のために適切な処理チャンバに、又は処理システムから基板を取り外すためにロードロックチャンバに移送される。 To allow the substrate 314 to be transferred from the first chamber 302, the controller can open the valve assembly 316. When valve assembly 316 opens, it provides an opening through which substrate 314 is moved and transferred into second chamber 306 and through aperture 326 . In particular, open valve assembly 316 allows substrate 314 to be transferred directly to second chamber 306, eg, to the low pressure environment of second chamber 306. The controller can then operate the transfer robot to transfer the substrate 314 to another chamber of the processing system, such as processing system 100. For example, the substrate 314 is transferred to a suitable processing chamber for further processing or to a load lock chamber to remove the substrate from the processing system.

図4を参照すると、別の例において、多圧処理チャンバ400は、第1のチャンバ402と、ペデスタル404と、第2のチャンバ406と、コントローラ(図示せず)とを含む。第1のチャンバ402は、第2のチャンバ406内に配置され、内側チャンバと見なされてもよく、第2のチャンバ406は、外側チャンバと見なされてもよい。更に、第1のチャンバ402は、高圧処理を可能にするように構成することができ、更に、高圧チャンバと見なされうる。第1のチャンバ402及び第2のチャンバ406は、場合によっては、まとめて流体連結され、低圧処理ができるように構成されうる。多圧処理チャンバ400は、図3に関して説明した多圧処理チャンバ300に類似し、特に明記しない限り、様々なオプション及び実施態様も図4の例に適用可能である。 Referring to FIG. 4, in another example, a multipressure processing chamber 400 includes a first chamber 402, a pedestal 404, a second chamber 406, and a controller (not shown). The first chamber 402 is disposed within a second chamber 406 and may be considered an inner chamber, and the second chamber 406 may be considered an outer chamber. Additionally, first chamber 402 can be configured to enable high pressure processing and may further be considered a high pressure chamber. The first chamber 402 and the second chamber 406 may optionally be fluidly connected together and configured to allow low pressure processing. Multi-pressure processing chamber 400 is similar to multi-pressure processing chamber 300 described with respect to FIG. 3, and various options and implementations are also applicable to the example of FIG. 4, unless otherwise specified.

例えば、多圧処理チャンバ400のガス供給システム及び真空処理システムは、多圧処理チャンバ400を使用して処理される基板414に対する低圧及び高圧環境を維持するために、同様の方法で操作される。第2のチャンバ406は、内壁420と外壁424との間の空間によって画定することができる。加えて、基板414はまた、第1のチャンバ402内で処理するためにペデスタル404上で支持可能である。ここでも、基板414は、ペデスタル404上に直接位置することができ、又はペデスタルを通って延びるリフトピン430上に位置することができる。 For example, the gas supply system and vacuum processing system of multi-pressure processing chamber 400 are operated in a similar manner to maintain a low-pressure and high-pressure environment for substrates 414 being processed using multi-pressure processing chamber 400. Second chamber 406 may be defined by the space between inner wall 420 and outer wall 424. Additionally, a substrate 414 can also be supported on pedestal 404 for processing within first chamber 402. Again, the substrate 414 can be located directly on the pedestal 404 or can be located on lift pins 430 that extend through the pedestal.

この多圧処理チャンバ400は、図3の多圧処理チャンバ300と若干異なる点がある。まず、第1のチャンバ402を画定する内壁420は、第1のチャンバ402を画定する基部422に対して移動可能ではない。したがって、ペデスタル404は、内壁420及び基部422に対して固定される。いくつかの例では、ペデスタル404は、第1のチャンバ402を画定する基部422に固定される。 This multi-pressure processing chamber 400 is slightly different from the multi-pressure processing chamber 300 in FIG. 3 . First, the inner wall 420 that defines the first chamber 402 is not movable relative to the base 422 that defines the first chamber 402 . Pedestal 404 is thus fixed relative to inner wall 420 and base 422. In some examples, pedestal 404 is secured to base 422 that defines first chamber 402.

図3の例の1つ又は複数の加熱要素318の場合のように、第1のチャンバ402の内壁420に配置されるのではなく、図4に示される例の1つ又は複数の加熱要素418は、ペデスタル404内に配置される。したがって、基板414は、ペデスタル404との接触を通して加熱されうる。 Rather than being located on the inner wall 420 of the first chamber 402, as in the case of the one or more heating elements 318 of the example of FIG. is located within pedestal 404. Thus, substrate 414 may be heated through contact with pedestal 404.

多圧処理チャンバ400は、図3のバルブアセンブリ316と同様に、第1のチャンバ402を第2のチャンバ406から分離する、第1のチャンバ402と第2のチャンバ406との間のバルブアセンブリ416を更に含む。しかし、バルブアセンブリ316とは対照的に、バルブアセンブリ416は、第1のチャンバ402を画定する内壁420及び基部422によって形成されるのではなく、むしろ、第1のチャンバ402の内壁420に対して移動可能な1つ又は複数の構成要素を有するアームアセンブリ425を含む。 Multipressure processing chamber 400 includes a valve assembly 416 between first chamber 402 and second chamber 406 that separates first chamber 402 from second chamber 406, similar to valve assembly 316 of FIG. further including. However, in contrast to valve assembly 316 , valve assembly 416 is not formed by inner wall 420 and base 422 that define first chamber 402 , but rather is formed relative to inner wall 420 of first chamber 402 . Includes an arm assembly 425 having one or more movable components.

特に、バルブアセンブリ416は、アームアセンブリ425と、第1のチャンバ402及び第2のチャンバ406を分離して流体接続するように構成されたバルブドア423とを含む。開孔423aは、内壁420を通り、第1のチャンバ402と第2のチャンバ406との間にある。アームアセンブリ425のアーム425bが、内壁420を通して開孔423a内に位置付けられる一方で、バルブドア423は、第1のチャンバ402内に位置付けられる。バルドア423は、アームアセンブリ425の残りの部分から遠位の位置でアーム425bに接続される。図示されたように、アーム425bは、更に、外壁424を通って、開孔426を通って延び、アームアセンブリ425の残りの部分は、第2のチャンバ406の外側に位置付けられる。アームアセンブリ425は、また第2のチャンバ406の外側に位置付けられているアームアセンブリ425の駆動軸425aに接続されているアクチュエータ428によって駆動される。アクチュエータ428によって駆動される駆動軸425aの動きは、アームアセンブリ425によってアーム425bの動きに変換される。他の例では、アームアセンブリ425(例えば、駆動軸425aを含む)及びアクチュエータ428は、第2のチャンバ406内に位置付けられうる。 In particular, valve assembly 416 includes an arm assembly 425 and a valve door 423 configured to separate and fluidly connect first chamber 402 and second chamber 406. Aperture 423a passes through inner wall 420 and is between first chamber 402 and second chamber 406. Arm 425b of arm assembly 425 is positioned within aperture 423a through inner wall 420, while valve door 423 is positioned within first chamber 402. Bald door 423 is connected to arm 425b at a location distal from the remainder of arm assembly 425. As shown, arm 425b further extends through outer wall 424 and through aperture 426, with the remainder of arm assembly 425 positioned outside second chamber 406. Arm assembly 425 is driven by an actuator 428 that is connected to a drive shaft 425a of arm assembly 425 that is also located outside of second chamber 406. Movement of drive shaft 425a driven by actuator 428 is converted by arm assembly 425 into movement of arm 425b. In other examples, arm assembly 425 (eg, including drive shaft 425a) and actuator 428 may be positioned within second chamber 406.

アームアセンブリ425は、開孔423aを通って延び、内壁420に対して移動可能であるため、バルブドア423は、内壁420とシールを形成する位置まで移動可能である。アクチュエータ428は、アームアセンブリ425の駆動軸425aを駆動し、これにより、駆動軸425aの駆動を、内壁420に対するアーム425bの動きに、かつ開孔423aが内壁420を通って延びる一般的な方向に変換する。この方向へのアーム425bの動きは、(例えば、アーム425bが後退した場合に)バルブドア423を内壁420に係合させることによって、内壁420とシールを形成し、第1のチャンバ402を第2のチャンバ406から分離しうる。そして、(例えば、アーム425bが延長される場合に)バルブドア423を内壁420から変位させることによって、第1のチャンバ402と第2のチャンバ406とを流体接続させうる。特に、バルブドア423は、内壁420の隣接する内面に実質的に平行に延びるアーム425bからのフランジでありうるか、又はそのフランジを含むみうる。 Arm assembly 425 extends through aperture 423a and is movable relative to inner wall 420 such that valve door 423 is movable to a position forming a seal with inner wall 420. Actuator 428 drives drive shaft 425a of arm assembly 425, thereby directing drive shaft 425a to movement of arm 425b relative to inner wall 420 and in the general direction that aperture 423a extends through inner wall 420. Convert. Movement of arm 425b in this direction causes valve door 423 to form a seal with inner wall 420 by engaging valve door 423 with inner wall 420 (e.g., when arm 425b is retracted), moving first chamber 402 into second chamber. It can be separated from chamber 406. Displacing the valve door 423 from the inner wall 420 (eg, when the arm 425b is extended) may then fluidly connect the first chamber 402 and the second chamber 406. In particular, valve door 423 may be or include a flange from arm 425b extending substantially parallel to an adjacent inner surface of inner wall 420.

バルブアセンブリ316のように、バルブアセンブリ416は、開位置と閉位置との間で移動可能である。バルブアセンブリ416が閉位置にあるとき、アームアセンブリ425のアーム425bは、バルブドア423が開孔423aを覆い、内壁420のうちの1つに接触するように、側方に後退し、それによって、第1のチャンバ402を第2のチャンバ406から分離するためのシールを形成する。特に、アームアセンブリ425のアーム425bは、バルブドア423(例えば、フランジ)を、第1のチャンバ402を画定する内壁420の内面に接触させる。 Like valve assembly 316, valve assembly 416 is movable between open and closed positions. When the valve assembly 416 is in the closed position, the arm 425b of the arm assembly 425 is retracted laterally such that the valve door 423 covers the aperture 423a and contacts one of the inner walls 420, thereby causing the second A seal is formed to separate one chamber 402 from a second chamber 406. In particular, arm 425b of arm assembly 425 contacts valve door 423 (eg, a flange) with an inner surface of interior wall 420 defining first chamber 402.

バルブアセンブリ416が開位置にあるとき、アームアセンブリ425のアーム425bは、バルブドア423が内壁420、例えば内壁420の内面から側方に間隔を空けて配置されるように、側方に延ばされる。したがって、開孔423aは、第1のチャンバ402と第2のチャンバ406との間の流体連結を可能にする開口を提供する。 When valve assembly 416 is in the open position, arm 425b of arm assembly 425 is laterally extended such that valve door 423 is laterally spaced from inner wall 420, e.g., an inner surface of inner wall 420. Aperture 423a thus provides an opening that allows fluid connection between first chamber 402 and second chamber 406.

コントローラは、基板414を第1のチャンバ402内外に移送し、基板414を処理するために、多圧処理チャンバ300のコントローラに関して記載されたプロセスに類似した方法で、多圧処理チャンバ400を動作させることができる。このプロセスでは、バルブアセンブリ416を開閉するために、コントローラは、アクチュエータ428を動作させてアームアセンブリ425を駆動することができる。 The controller operates the multi-pressure processing chamber 400 in a manner similar to the process described with respect to the controller of the multi-pressure processing chamber 300 to transfer the substrate 414 into and out of the first chamber 402 and process the substrate 414. be able to. In this process, the controller may operate actuator 428 to drive arm assembly 425 to open and close valve assembly 416.

図5を参照すると、更なる例において、多圧処理チャンバ500は、第1のチャンバ502と、ペデスタル504と、第2のチャンバ506と、コントローラ(図示せず)とを含む。多圧処理チャンバ500は、図4に関して説明した多圧処理チャンバ400に類似し、特に明記しない限り、様々なオプション及び実施態様もまた、この例に適用可能である。 Referring to FIG. 5, in a further example, a multipressure processing chamber 500 includes a first chamber 502, a pedestal 504, a second chamber 506, and a controller (not shown). Multi-pressure processing chamber 500 is similar to multi-pressure processing chamber 400 described with respect to FIG. 4, and various options and implementations are also applicable to this example, unless otherwise specified.

例えば、多圧処理チャンバ500のガス供給システム及び真空処理システムは、多圧処理チャンバ500を使用して処理される基板(図示せず)に対する低圧及び高圧環境を維持するために、類似の方法で操作される。加えて、基板はまた、第1のチャンバ502内での処理のために、ペデスタル504又はリフトピン上でも支持可能である。 For example, the gas supply system and vacuum processing system of multipressure processing chamber 500 may be configured in a similar manner to maintain a low and high pressure environment for a substrate (not shown) being processed using multipressure processing chamber 500. Be manipulated. Additionally, the substrate can also be supported on a pedestal 504 or lift pins for processing within the first chamber 502.

多圧処理チャンバ500は、ペデスタル504が、第1のチャンバ502を画定する基部522ではなく、第1のチャンバ502を画定する天井521に取り付けられる点で、図4の多圧処理チャンバ400と異なる。ペデスタル504と同様に、ペデスタル504は、壁520、天井521、及び基部522に対して固定される。加えて、多圧処理チャンバ500の1つ又は複数の加熱要素518が、ペデスタル504内に配置される。基板がペデスタル504上で支持されるように、基板をペデスタル504上に位置付けるために、基板は、ペデスタル504のプレート間に挿入される。基板がペデスタル504のプレートによって画定されるスロットに挿入されるとき、1つ又は複数の加熱要素518が基板に均一に熱を加えることができるように、1つ又は複数の加熱要素518がプレートに対して配置される。 Multi-pressure processing chamber 500 differs from multi-pressure processing chamber 400 of FIG. . Like pedestal 504, pedestal 504 is fixed to wall 520, ceiling 521, and base 522. Additionally, one or more heating elements 518 of multipressure processing chamber 500 are positioned within pedestal 504. The substrate is inserted between the plates of pedestal 504 to position the substrate on pedestal 504 such that the substrate is supported on pedestal 504. When a substrate is inserted into the slot defined by the plate of pedestal 504, one or more heating elements 518 are attached to the plate so that the one or more heating elements 518 can uniformly apply heat to the substrate. placed against.

図6を参照すると、更なる例において、多圧処理チャンバ600は、第1のチャンバ602と、ペデスタル604と、第2のチャンバ606と、コントローラ(図示せず)とを含む。多圧処理チャンバ600は、図4に関して説明した多圧処理チャンバ400に類似し、特に明記しない限り、様々なオプション及び実施態様もまた、この例に適用可能である。 Referring to FIG. 6, in a further example, a multipressure processing chamber 600 includes a first chamber 602, a pedestal 604, a second chamber 606, and a controller (not shown). Multi-pressure processing chamber 600 is similar to multi-pressure processing chamber 400 described with respect to FIG. 4, and various options and implementations are also applicable to this example, unless otherwise specified.

例えば、多圧処理チャンバ600のガス供給システム及び真空処理システムは、多圧処理チャンバ600を使用して処理される基板614に対する低圧及び高圧環境を維持するために、同様の方法で操作される。加えて、基板614はまた、第1のチャンバ602内で処理するためにペデスタル604上で支持可能である。 For example, the gas supply system and vacuum processing system of multi-pressure processing chamber 600 are operated in a similar manner to maintain a low-pressure and high-pressure environment for substrates 614 being processed using multi-pressure processing chamber 600. Additionally, a substrate 614 can also be supported on pedestal 604 for processing within first chamber 602.

多圧処理チャンバ600のバルブアセンブリ616のバルブドア623が、内壁620の内面ではなく、第1のチャンバ602を画定する内壁620の外面に接触して、内壁620内の開孔623aを覆う点で、多圧処理チャンバ600は、図4の多圧処理チャンバ400と異なる。バルブアセンブリ416と同様に、バルブアセンブリ616は、第1のチャンバ602を第2のチャンバ606から分離するように動作する。バルブアセンブリ616は、第1のチャンバ602と第2のチャンバ606との間に配置されうる。 In that the valve door 623 of the valve assembly 616 of the multipressure processing chamber 600 contacts the outer surface of the inner wall 620 defining the first chamber 602 rather than the inner surface of the inner wall 620 and covers the aperture 623a in the inner wall 620. Multi-pressure processing chamber 600 is different from multi-pressure processing chamber 400 of FIG. Similar to valve assembly 416, valve assembly 616 operates to isolate first chamber 602 from second chamber 606. Valve assembly 616 may be disposed between first chamber 602 and second chamber 606.

バルブアセンブリ616は、第2のチャンバ606内に配置された、アームアセンブリ625と、バルブドア623とを含む。開孔623aは、内壁620を通り、第1のチャンバ602と第2のチャンバ606との間にある。バルブドア623は、第1のチャンバ602の外側に位置付けられる。アームアセンブリ625は、第1のチャンバ602の外側かつ第2のチャンバ606内に位置付けられる。アームアセンブリ625は、スリット626を貫通しない。 Valve assembly 616 includes an arm assembly 625 and a valve door 623 disposed within second chamber 606 . Aperture 623a passes through inner wall 620 and is between first chamber 602 and second chamber 606. Valve door 623 is located outside of first chamber 602. Arm assembly 625 is positioned outside of first chamber 602 and within second chamber 606 . Arm assembly 625 does not pass through slit 626.

アームアセンブリ625のアーム625bは、バルブドア623が内壁620とシールを形成する位置に移動できるように、内壁620に対して移動可能である。例えば、多圧処理チャンバ600は、アームアセンブリ625を駆動するように動作可能なアクチュエータ628を含む。アクチュエータ628は、アームアセンブリ625の駆動軸625aに連結され、アームアセンブリ625のアーム625bを内壁620に対して移動させるように駆動するように構成される。 Arm 625b of arm assembly 625 is movable relative to inner wall 620 such that valve door 623 can be moved into a position forming a seal with inner wall 620. For example, multipressure processing chamber 600 includes an actuator 628 operable to drive arm assembly 625. Actuator 628 is coupled to drive shaft 625a of arm assembly 625 and configured to drive arm 625b of arm assembly 625 to move relative to inner wall 620.

バルブアセンブリ316のように、バルブアセンブリ616は、開位置と閉位置との間で移動可能である。例えば、バルブアセンブリ616が閉位置にあるとき、アームアセンブリ625のアーム625bは、バルブドア623が開孔623aを覆う内壁620に接触するように側方に延びることによって、第1のチャンバ602を第2のチャンバ606から分離するためのシールが形成される。 Like valve assembly 316, valve assembly 616 is movable between open and closed positions. For example, when valve assembly 616 is in the closed position, arm 625b of arm assembly 625 opens first chamber 602 into second chamber by extending laterally such that valve door 623 contacts interior wall 620 covering aperture 623a. A seal is formed to separate the chamber 606 from the chamber 606 .

バルブアセンブリ616が開位置にあるとき、アームアセンブリ625のアーム625bは、バルブドア623が開孔623aを覆っていない内壁620に接触しないように、側方に後退する。したがって、開孔623aは、第1のチャンバ602と第2のチャンバ606との間の流体連結を可能にする開口を提供する。 When valve assembly 616 is in the open position, arm 625b of arm assembly 625 is retracted laterally so that valve door 623 does not contact interior wall 620 that does not cover aperture 623a. Thus, aperture 623a provides an opening that allows fluid connection between first chamber 602 and second chamber 606.

コントローラは、多圧処理チャンバ300のコントローラに関して記載されたプロセスと同様の方法で、多圧処理チャンバ600を動作させることができる。このプロセスでは、バルブアセンブリ616を開閉するために、コントローラは、アームアセンブリ625のアーム625bを駆動するためにアクチュエータ628を動作させることができる。 The controller may operate the multi-pressure processing chamber 600 in a manner similar to the process described with respect to the controller of the multi-pressure processing chamber 300. In this process, to open and close valve assembly 616, the controller may operate actuator 628 to drive arm 625b of arm assembly 625.

図7は、いくつかの実施例による半導体処理のための方法700のフローチャートである。図8から図10は、いくつかの例による、図7の方法700の態様を示す中間半導体構造の断面図である。本明細書に記載の例は、基板上のフィン間に分離構造(例えば、シャロートレンチアイソレーション(STI))を形成する状況におけるものである。当業者であれば、本明細書に記載の態様の他の状況への様々な適用を容易に理解するであろうし、そのような変形例は、他の例の範囲内で企図される。 FIG. 7 is a flowchart of a method 700 for semiconductor processing, according to some embodiments. 8-10 are cross-sectional views of intermediate semiconductor structures illustrating aspects of the method 700 of FIG. 7, according to some examples. Examples described herein are in the context of forming isolation structures (eg, shallow trench isolation (STI)) between fins on a substrate. Those skilled in the art will readily appreciate various applications of the embodiments described herein to other situations, and such variations are contemplated within the scope of other examples.

図7のブロック702によれば、基板上のフィン上及びフィン間に流動性膜が堆積される。図8は、基板802上のフィン804上及びフィン804間に堆積された流動性膜808の断面図を示す。図8の構造を得るために、基板802が提供される。基板802は、バルク基板、半導体オンインシュレータ(SOI)基板などの任意の適切な半導体基板でありうる。いくつかの例では、基板802は、バルクシリコンウエハである。基板サイズの例は、とりわけ、直径200mm、直径350mm、直径400mm、及び直径450mmを含む。次いで、フィン804が基板802上に形成される。フィン804は、各フィン804が隣接する一対のフィーチャ(例えば、トレンチ806)間に画定されるように、基板802内に延びるトレンチ806などのフィーチャをエッチングすることによって形成することができる。フィーチャを形成するために、任意の適切なパターニングプロセスが実施されうる。パターニングプロセスは、フィン804間のターゲットピッチを達成するために、自己整合ダブルパターニング(SADP)、リソグラフィ‐エッチング‐リソグラフィ‐エッチング(LELE)ダブルパターニングなどの、マルチパターニングプロセスを含むことができる。トレンチ806をエッチングするための例示的なエッチングプロセスは、反応性イオンエッチング(RIE)プロセスなどを含む。各トレンチ806は、高アスペクト比を有するか、又は形成することができる。アスペクト比は、トレンチ806の深さ810対トレンチ806の幅812の比でありうる。アスペクト比は、10:1以上でありうる。いくつかの例では、拡散バリア層などの1つ又は複数の層がフィン804上に形成され、深さ810及び幅812は、最外層の外面から測定されうる。 According to block 702 of FIG. 7, a flowable film is deposited on and between the fins on the substrate. FIG. 8 shows a cross-sectional view of a flowable film 808 deposited on and between fins 804 on a substrate 802. To obtain the structure of FIG. 8, a substrate 802 is provided. Substrate 802 may be any suitable semiconductor substrate, such as a bulk substrate, a semiconductor-on-insulator (SOI) substrate, or the like. In some examples, substrate 802 is a bulk silicon wafer. Examples of substrate sizes include 200 mm diameter, 350 mm diameter, 400 mm diameter, and 450 mm diameter, among others. Fins 804 are then formed on substrate 802. Fins 804 may be formed by etching features, such as trenches 806, that extend into substrate 802 such that each fin 804 is defined between a pair of adjacent features (eg, trenches 806). Any suitable patterning process may be performed to form the features. The patterning process may include multiple patterning processes, such as self-aligned double patterning (SADP), lithography-etch-lithography-etch (LELE) double patterning, to achieve the target pitch between the fins 804. An exemplary etching process for etching trench 806 includes a reactive ion etching (RIE) process or the like. Each trench 806 can have or be formed with a high aspect ratio. The aspect ratio may be the ratio of the depth 810 of the trench 806 to the width 812 of the trench 806. The aspect ratio may be 10:1 or greater. In some examples, one or more layers, such as a diffusion barrier layer, may be formed on the fin 804, and the depth 810 and width 812 may be measured from the outer surface of the outermost layer.

次いで、流動性膜808が、トレンチ806内及びフィン804上に堆積される。流動性膜808は、いくつかの例では、FCVDプロセス又はスピンオンによって堆積されうる。例えば、FCVDプロセスでは、流動性膜808は、高濃度の窒素及び/又は水素を含むシリコン系誘電体でありうる。例えば、FCVDプロセスでは、前駆体は、トリシリルアミン(N(SiH)、水素(H)、窒素(N)、及び/又はアンモニア(NH)などの他のガスと混合されうる、HN(SiH)、HN(SiH、及びN(SiHなどのシリルアミン、シラン(SiH)、又は他の類似の前駆体であるか、又はこれらを含むことができる。流動性膜808の流動性は、流動性膜808が、高アスペクト比間隙(例えば、トレンチ806によって形成されうる)などにおいて、良好な間隙充填を提供可能にできる。 A flowable film 808 is then deposited within the trenches 806 and over the fins 804. Flowable film 808 may be deposited by an FCVD process or spin-on in some examples. For example, in an FCVD process, flowable film 808 can be a silicon-based dielectric containing a high concentration of nitrogen and/or hydrogen. For example, in an FCVD process, the precursor is mixed with other gases such as trisilylamine (N( SiH3 ) 3 ), hydrogen ( H2 ), nitrogen ( N2 ), and/or ammonia ( NH3 ). silyl amines, such as H 2 N(SiH 3 ), HN(SiH 3 ) 2 , and N(SiH 3 ) 3 , silanes (SiH 4 ), or other similar precursors. I can do it. The fluidity of flowable membrane 808 can enable flowable membrane 808 to provide good gap filling, such as in high aspect ratio gaps (eg, as may be formed by trenches 806).

ブロック704によれば、堆積された流動性膜808をその上に有する基板802は、次いで、処理チャンバに移送される。処理チャンバは、図2~図7に関して上述したいずれかのような、多圧処理チャンバである。例えば、本明細書の文脈の例として、ブロック704の処理チャンバは、図3の多圧処理チャンバ300である。処理チャンバは、図1の処理システム100などの処理システムに含まれ得る。 According to block 704, the substrate 802 with the deposited flowable film 808 thereon is then transferred to a processing chamber. The processing chamber is a multi-pressure processing chamber, such as any described above with respect to FIGS. 2-7. For example, in the context of this specification, the processing chamber of block 704 is multipressure processing chamber 300 of FIG. 3 . A processing chamber may be included in a processing system, such as processing system 100 of FIG.

例えば、基板802は、前方開口型統一ポッド(FOUP)によってファクトリインターフェースモジュール130に移送され、ファクトリインターフェースモジュール130において、基板802は、FOUPからロードロックチャンバ128に移送される。後続の移送及び処理は、例えば、処理システム100の外部の大気環境に基板802を曝露することなく、かつ処理システム100の移送装置内に維持される低圧又は真空環境を破壊することなく、処理システム100内で実行される。移送ロボット106は、基板802をロードロックチャンバ128から第1の移送チャンバ102に移送する。その後、基板802は、多圧処理チャンバ300が第1の移送チャンバ102に連結される場合には、移送ロボット106によって処理チャンバ(例えば、多圧処理チャンバ300)に移送され、又は多圧処理チャンバ300が第2の移送チャンバ104に連結される場合には、移送ロボット106によって通過チャンバ124に移送され、その後、移送ロボット108によって通過チャンバ124から多圧処理チャンバ300に移送されうる。いくつかの例では、流動性膜808の堆積は、処理システム内の処理チャンバにありうる。したがって、そのような例では、基板802は、流動性膜808の堆積前に処理システム100内に移送され、その後、処理システム100内で多圧処理チャンバ300に移送されうる。多圧処理チャンバ300のバルブアセンブリ316は開かれ、移送チャンバの移送ロボットは、上述したように、基板802をペデスタル304上に移送する。 For example, substrate 802 is transferred by a forward opening unified pod (FOUP) to factory interface module 130 where substrate 802 is transferred from the FOUP to loadlock chamber 128 . Subsequent transfer and processing may occur within the processing system, for example, without exposing the substrate 802 to an atmospheric environment external to the processing system 100 and without disrupting the low pressure or vacuum environment maintained within the transfer apparatus of the processing system 100. 100. Transfer robot 106 transfers substrate 802 from load lock chamber 128 to first transfer chamber 102 . The substrate 802 is then transferred to a processing chamber (e.g., multi-pressure processing chamber 300) by the transfer robot 106, if the multi-pressure processing chamber 300 is coupled to the first transfer chamber 102, or If 300 is coupled to the second transfer chamber 104 , it can be transferred to the transit chamber 124 by the transfer robot 106 and then transferred from the transit chamber 124 to the multipressure processing chamber 300 by the transfer robot 108 . In some examples, deposition of flowable film 808 can be in a processing chamber within a processing system. Accordingly, in such an example, substrate 802 may be transferred into processing system 100 prior to deposition of flowable film 808 and then transferred within processing system 100 to multipressure processing chamber 300. Valve assembly 316 of multipressure processing chamber 300 is opened and the transfer chamber's transfer robot transfers substrate 802 onto pedestal 304, as described above.

ブロック706によれば、処理は、処理チャンバ内で実行され、ブロック708における第1のプロセスと、それに続くブロック710における第2のプロセスとが含まれる。ブロック710における第2のプロセスは、ブロック708における第1のプロセスとは異なる。他の例では、追加のプロセスが処理チャンバ内で実行されてもよい。 According to block 706, processing is performed in the processing chamber, including a first process at block 708 followed by a second process at block 710. The second process at block 710 is different from the first process at block 708. In other examples, additional processes may be performed within the processing chamber.

いくつかの例では、ブロック708での第1のプロセスは、より多くの結合及び/又は流動性膜中でより安定する結合を形成し、ブロック710での第2のプロセスは、安定化された膜を高密度化し、安定化された膜内でより安定した結合を更に生成しうる。したがって、ブロック708における第1のプロセスは、流動性膜を安定化させることを含み、ブロック710における第2のプロセスは、安定化された膜を高密度化することを含む。 In some examples, the first process at block 708 forms more bonds and/or bonds that are more stable in the flowable membrane, and the second process at block 710 forms stabilized bonds. The membrane can be densified to further create more stable bonds within the stabilized membrane. Accordingly, the first process at block 708 includes stabilizing the flowable membrane, and the second process at block 710 includes densifying the stabilized membrane.

いくつかの例では、ブロック708における第1のプロセス及びブロック710における第2のプロセスは、同じ圧力で行われても異なる圧力で行われてもよい。いくつかの例では、ブロック708における第1のプロセスは、ブロック710における第2のプロセスの圧力よりも低い圧力において行われる。いくつかの例では、ブロック710における第2のプロセスは、ブロック708における第1のプロセスが実行される処理チャンバ内の圧力よりも3桁以上(例えば、4桁以上)大きい処理チャンバ内の圧力において実行される。いくつかの例では、ブロック708における第1のプロセスは、ブロック710における第2のプロセスの圧力よりも大きい圧力で行われる。例として、第1のプロセスは、10ミリトール~100バールの範囲の圧力で実行することができ、第2のプロセスは、1バール以上、例えば5バール以上の圧力で実行することができる。 In some examples, the first process at block 708 and the second process at block 710 may be performed at the same pressure or at different pressures. In some examples, the first process at block 708 is performed at a lower pressure than the second process at block 710. In some examples, the second process at block 710 is performed at a pressure in the processing chamber that is three or more orders of magnitude (e.g., four or more orders of magnitude) greater than the pressure in the processing chamber at which the first process at block 708 is performed. executed. In some examples, the first process at block 708 is performed at a greater pressure than the second process at block 710. By way of example, the first process can be carried out at a pressure in the range 10 millitorr to 100 bar, and the second process can be carried out at a pressure of 1 bar or more, for example 5 bar or more.

いくつかの例では、第1のプロセス及び第2のプロセスが実行される温度は等しいが、他の例では、温度は異なりうる。いくつかの例では、ブロック708における第1のプロセスの温度は、ブロック710における第2のプロセスの温度よりも低い。例として、ブロック708における第1のプロセスの温度は、300℃から1000℃の範囲内でありうる。ブロック710におけるプロセスの温度は、300℃から1000℃の範囲内でありうる。この温度は、ブロック708における第1のプロセスの温度に等しくても、それよりも低くても、又はそれよりも高くてもよい。例として、ブロック708における第1のプロセスの温度は、100℃から300℃の範囲内でありうる。ブロック710におけるプロセスの温度は、300℃から1000℃の範囲内でありうる。 In some examples, the temperatures at which the first process and the second process are performed are equal, but in other examples, the temperatures may be different. In some examples, the temperature of the first process at block 708 is lower than the temperature of the second process at block 710. By way of example, the temperature of the first process at block 708 can be in the range of 300°C to 1000°C. The temperature of the process at block 710 can be in the range of 300°C to 1000°C. This temperature may be equal to, lower than, or higher than the temperature of the first process at block 708. By way of example, the temperature of the first process at block 708 can be in the range of 100°C to 300°C. The temperature of the process at block 710 can be in the range of 300°C to 1000°C.

いくつかの例では、ブロック708において第1のプロセスのために流されるプロセスガス組成物(例えば、単一のガス又はガスの混合物でありうる)は、ブロック710において第2のプロセスのために流されるプロセスガス組成物とは異なる。第1のプロセス及び第2のプロセスの例を以下に記載する。 In some examples, the process gas composition (e.g., which can be a single gas or a mixture of gases) flowed for the first process at block 708 is flowed for the second process at block 710. process gas composition. Examples of the first process and the second process are described below.

いくつかの例では、ブロック708における第1のプロセスは、安定化プロセスであることに加えて、変換プロセスである。変換及び安定化プロセスは、流動性膜808を別の誘電体組成物を有するように変換する。例えば、変換プロセスは、FCVDプロセスによって堆積された高濃度の窒素及び/又は水素を含むシリコン系誘電体を酸化ケイ素に変換することができる。変換プロセスは、酸化プロセスでありうる。 In some examples, the first process at block 708 is a conversion process in addition to being a stabilization process. The conversion and stabilization process converts flowable membrane 808 to have a different dielectric composition. For example, the conversion process can convert a silicon-based dielectric containing high concentrations of nitrogen and/or hydrogen deposited by an FCVD process to silicon oxide. The conversion process can be an oxidation process.

いくつかの例では、酸化プロセスは、熱酸化プロセス又はプラズマ酸化プロセスである。熱酸化プロセスでは、酸素ガス(O)、オゾンガス(O)、亜酸化窒素(NO)、一酸化窒素(NO)、又はこれらの組み合わせなどの酸素含有プロセスガスを処理チャンバ内に流すことができる。酸素含有プロセスガスは、処理チャンバ内に連続的に流入させることができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流入させることができ、その後、酸化プロセス中に圧力が維持される。酸素含有プロセスガスの流量は、例えば、約5sccm~約200slmの範囲内でありうる。熱酸化プロセス中に、処理チャンバ内の圧力は、10ミリトールと100バールとの間の圧力に維持することができる。熱酸化プロセスは、300℃を超える温度、例えば約300℃~約1000℃の範囲内などで、実施することができる。プラズマ酸化プロセスでは、RPSにおいて、酸素ガス(O)、オゾンガス(O)、亜酸化窒素(NO)、一酸化窒素(NO)、又はこれらの組み合わせなどの酸素含有プロセスガスを用いてプラズマが点火される。酸素含有プラズマ放出物は、処理チャンバ内に流される。RPSのRF電源は、約2MHz~約40MHzの範囲内(13.56MHzなど)の周波数を有することができ、約50W~約3000Wの範囲内の電力を有することができる。プラズマ酸化プロセスにおけるガスの流れ、温度、及び圧力は、熱酸化について前述したものに同様でありうる。 In some examples, the oxidation process is a thermal oxidation process or a plasma oxidation process. Thermal oxidation processes flow an oxygen-containing process gas, such as oxygen gas (O 2 ), ozone gas (O 3 ), nitrous oxide (N 2 O), nitric oxide (NO), or a combination thereof, into a processing chamber. be able to. The oxygen-containing process gas can be flowed into the processing chamber continuously or until the desired pressure is achieved and stopped, after which the pressure is maintained during the oxidation process. Ru. The flow rate of the oxygen-containing process gas can be, for example, in the range of about 5 sccm to about 200 slm. During the thermal oxidation process, the pressure within the processing chamber can be maintained at a pressure between 10 millitorr and 100 bar. Thermal oxidation processes can be carried out at temperatures in excess of 300°C, such as within the range of about 300°C to about 1000°C. Plasma oxidation processes use oxygen-containing process gases such as oxygen gas (O 2 ), ozone gas (O 3 ), nitrous oxide (N 2 O), nitric oxide (NO), or combinations thereof in RPS. Plasma is ignited. Oxygen-containing plasma effluent is flowed into the processing chamber. The RPS RF power source can have a frequency in the range of about 2 MHz to about 40 MHz (such as 13.56 MHz) and a power in the range of about 50 W to about 3000 W. Gas flows, temperatures, and pressures in the plasma oxidation process can be similar to those described above for thermal oxidation.

いくつかの例では、ブロック708における第1のプロセスは、流動性膜の組成物に著しく影響を与えない安定化プロセスである。例えば、安定化プロセスは、FCVDプロセスによって堆積された高濃度の窒素及び/又は水素を含むシリコン系誘電体の組成物を実質的に維持することができる。 In some examples, the first process at block 708 is a stabilization process that does not significantly affect the composition of the flowable membrane. For example, the stabilization process can substantially maintain the composition of a silicon-based dielectric containing high concentrations of nitrogen and/or hydrogen deposited by an FCVD process.

いくつかの例では、安定化プロセスは、熱プロセス又はプラズマプロセスである。熱プロセスでは、アンモニアガス(NH)などのアンモニア含有プロセスガスを処理チャンバ内に流すことができる。アンモニア含有プロセスガスは、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、熱プロセス中に圧力が維持される。アンモニア含有プロセスガスの流量は、例えば、約5sccm~約200slmの範囲内でありうる。熱プロセスの間に、処理チャンバ内の圧力は、10ミリトールと100バールとの間の圧力に維持されうる。熱プロセスは、300℃を超える温度、例えば、約300℃~約1000℃の範囲内などで、実行されうる。プラズマプロセスでは、アンモニアガス(NH)などのアンモニア含有プロセスガスを使用して、RPS内でプラズマが点火される。窒素含有プラズマ放出物及び/又は水素含有プラズマ放出物は、処理チャンバ内に流される。RPSのRF電源は、約2MHz~約40MHzの範囲内(13.56MHzなど)の周波数を有することができ、約50W~約3000Wの範囲内の電力を有することができる。プラズマプロセスにおけるガスの流れ、温度、及び圧力は、プラズマなしでの安定化について前述したものに同様でありうる。 In some examples, the stabilization process is a thermal process or a plasma process. In thermal processes, an ammonia-containing process gas, such as ammonia gas ( NH3 ), can be flowed into the processing chamber. The ammonia-containing process gas can be flowed continuously into the processing chamber or until the desired pressure is achieved and stopped, after which the pressure is maintained during the thermal process. The flow rate of the ammonia-containing process gas can be, for example, in the range of about 5 sccm to about 200 slm. During the thermal process, the pressure within the processing chamber may be maintained at a pressure between 10 millitorr and 100 bar. Thermal processes can be performed at temperatures in excess of 300°C, such as within the range of about 300°C to about 1000°C. In plasma processes, a plasma is ignited within the RPS using an ammonia-containing process gas, such as ammonia gas ( NH3 ). Nitrogen-containing plasma effluent and/or hydrogen-containing plasma effluent are flowed into the processing chamber. The RPS RF power source can have a frequency in the range of about 2 MHz to about 40 MHz (such as 13.56 MHz) and a power in the range of about 50 W to about 3000 W. Gas flows, temperatures, and pressures in the plasma process may be similar to those described above for stabilization without plasma.

ブロック708における第1のプロセスは、バルブアセンブリ316が、例えば、第1のプロセスが実行される圧力に応じて、開位置又は閉位置に留まる間に、多圧処理チャンバ300内で実行されうる。バルブアセンブリ316は、低圧処理のために開いたままにすることができ、又は高圧処理のために閉じることができる。酸素含有プロセスガス又はアンモニア含有プロセスガスは、ガス供給システム307を通して流され、真空処理システムによって第2のチャンバ306を通って排気することができる。加熱要素318は、第1のプロセス中に第1のチャンバ302内の温度を維持することができる。 The first process at block 708 may be performed within the multipressure processing chamber 300 while the valve assembly 316 remains in an open or closed position, depending on, for example, the pressure at which the first process is performed. Valve assembly 316 can be left open for low pressure processing or closed for high pressure processing. An oxygen-containing process gas or an ammonia-containing process gas may be flowed through a gas supply system 307 and evacuated through a second chamber 306 by a vacuum processing system. Heating element 318 can maintain the temperature within first chamber 302 during the first process.

いくつかの例では、ブロック710における第2のプロセスは、高密度化プロセスである。高密度化プロセスは、流動性膜808から安定化及び/又は変換された誘電材料の密度を増加させる。例えば、高密度化プロセスは、FCVDプロセスによって堆積された高濃度の窒素及び/又は水素を含むシリコン系誘電体から変換されたシリコン酸化物の密度を増加させることができる。高密度化プロセスは、更に、安定化された膜を別の誘電体組成物(例えば、酸化ケイ素)に変換しうる。高密度化プロセスは、Si-O-Si結合を形成する反応を触媒することができる。高密度化プロセスは、アニールプロセスでありうる。 In some examples, the second process at block 710 is a densification process. The densification process increases the density of the stabilized and/or converted dielectric material from the flowable membrane 808. For example, a densification process can increase the density of silicon oxide converted from a silicon-based dielectric containing high concentrations of nitrogen and/or hydrogen deposited by an FCVD process. The densification process may further convert the stabilized film to another dielectric composition (eg, silicon oxide). The densification process can catalyze reactions that form Si-O-Si bonds. The densification process can be an annealing process.

いくつかの例では、アニールプロセスは、乾燥アニールプロセス又は蒸気アニールプロセスである。乾燥アニールプロセスは、300℃を超える温度、例えば約300℃~約1000℃の範囲内などで、実行することができる。乾燥アニールプロセスでは、アンモニアガス(NH)、亜酸化窒素(NO)、一酸化窒素(NO)等のプロセスガスを処理チャンバ内に流すことができる。プロセスガスは、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、乾燥アニールプロセス中に圧力が維持される。プロセスガスの流量は、例えば、約5sccm~約200slmの範囲内でありうる。乾燥アニールプロセスは、加えて、プロセスガスが亜酸化窒素(NO)及び/又は一酸化窒素(NO)などの酸素含有ガスを含む場合に、膜を更に変換するための酸化プロセスでありうる。乾燥アニールプロセスの間に、処理チャンバ内の圧力は、約1バールなど(約1バール以上、又はより具体的には約5バール以上など)の高圧に維持することができる。 In some examples, the annealing process is a dry anneal process or a steam anneal process. The dry anneal process can be performed at temperatures in excess of 300°C, such as within a range of about 300°C to about 1000°C. In a dry anneal process, process gases such as ammonia gas (NH 3 ), nitrous oxide (N 2 O), nitric oxide (NO), etc. can be flowed into the processing chamber. The process gas can be flowed continuously into the processing chamber or until the desired pressure is achieved and stopped, after which the pressure is maintained during the dry anneal process. The process gas flow rate can be in the range of about 5 sccm to about 200 slm, for example. The dry anneal process can additionally be an oxidation process to further convert the film when the process gas includes oxygen-containing gases such as nitrous oxide ( N2O ) and/or nitric oxide (NO). . During the dry anneal process, the pressure within the processing chamber may be maintained at a high pressure, such as about 1 bar (such as about 1 bar or more, or more specifically about 5 bar or more).

蒸気アニールプロセスは、300℃を超える温度、例えば、約350℃~約1000℃の範囲内で、実行することができる。蒸気アニールプロセスでは、アンモニアガス(NH)、亜酸化窒素(NO)、一酸化窒素(NO)、又はこれらの組み合わせのような、別のプロセスガスの有無にかかわらず、蒸気(HO)を処理チャンバ内に流すことができる。プロセスガスの有無にかかわらず、蒸気は、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、蒸気アニールプロセス中に圧力が維持される。プロセスガスの有無にかかわらず、蒸気の流量は、例えば、約5sccm~約200slmの範囲内にありうる。蒸気アニールプロセスは、加えて、膜を更に変換するための酸化プロセスでありうる。蒸気アニールプロセスの間に、処理チャンバ内の圧力は、約1バールなど(約1バール以上、又はより具体的には約5バール以上など)の高圧に維持することができる。 The steam anneal process can be performed at temperatures in excess of 300°C, such as within the range of about 350°C to about 1000°C. In a steam annealing process , steam ( H 2 O) can be flowed into the processing chamber. Steam, with or without process gas, can flow continuously into the processing chamber or can flow into the processing chamber until the desired pressure is achieved and stopped, then during the steam annealing process. Pressure is maintained. The flow rate of steam, with or without process gas, can range from about 5 sccm to about 200 slm, for example. The steam anneal process can additionally be an oxidation process to further transform the film. During the steam anneal process, the pressure within the processing chamber may be maintained at an elevated pressure, such as about 1 bar (such as about 1 bar or more, or more specifically about 5 bar or more).

ブロック710における第2のプロセスは、バルブアセンブリ316が、例えば、第1のプロセスが実行される圧力に応じて、開位置又は閉位置にある間に、多圧処理チャンバ300内で実行されうる。プロセスガス(例えば、蒸気を含む)は、ガス供給システム307を通って流れ、このガス供給システム307は、高圧を確立し維持することができる。加熱要素318は、第2のプロセス中に第1のチャンバ302内の温度を維持することができる。 The second process at block 710 may be performed within multipressure processing chamber 300 while valve assembly 316 is in an open or closed position, depending on, for example, the pressure at which the first process is performed. Process gases (eg, including steam) flow through a gas supply system 307 that can establish and maintain high pressure. Heating element 318 can maintain the temperature within first chamber 302 during the second process.

更なる例では、ブロック708における第1のプロセスは、安定化プロセスに加えて変換プロセスである。変換及び安定化プロセスは、流動性膜808を、上記のような別の誘電体組成物を有するように変換する。変換プロセスは、酸化プロセスでありうる。いくつかの例では、酸化プロセスは、蒸気酸化プロセス又はプラズマ酸化プロセスである。蒸気酸化プロセスでは、蒸気(HO)を処理チャンバ内に流すことができる。蒸気は、処理チャンバ内に連続的に流すことができ、又は所望の圧力が達成され停止されるまで処理チャンバ内に流すことができ、その後、蒸気酸化プロセス中に圧力が維持される。蒸気の流量は、例えば、約5sccm~約200slmの範囲内でありうる。蒸気酸化プロセス中に、処理チャンバ内の圧力は、5バール未満の圧力に維持することができる。蒸気酸化中の圧力は、ブロック710における第2のプロセスの圧力よりも低い。蒸気プロセスは、100℃を超える温度、例えば約100℃~約300℃の範囲内で、実行することができる。蒸気酸化中の温度は、ブロック710における第2のプロセスの温度未満である。プラズマ酸化プロセスでは、蒸気(HO)を用いてRPS中でプラズマを点火する。酸素含有プラズマ放出物及び/又は水素含有プラズマ放出物が、処理チャンバ内に流される。RPSのRF電源は、約2MHz~約40MHzの範囲内(13.56MHzなど)の周波数を有することができ、約50W~約3000Wの範囲内の電力を有することができる。プラズマ酸化プロセスにおける蒸気の流れ、温度、及び圧力は、蒸気酸化について前述したものに同様でありうる。 In a further example, the first process at block 708 is a conversion process in addition to a stabilization process. The conversion and stabilization process converts flowable membrane 808 to have another dielectric composition as described above. The conversion process can be an oxidation process. In some examples, the oxidation process is a steam oxidation process or a plasma oxidation process. In a steam oxidation process, steam (H 2 O) can be flowed into a processing chamber. Steam can flow into the processing chamber continuously or until the desired pressure is achieved and stopped, after which the pressure is maintained during the steam oxidation process. The steam flow rate can be, for example, in the range of about 5 sccm to about 200 slm. During the steam oxidation process, the pressure within the processing chamber can be maintained at a pressure of less than 5 bar. The pressure during steam oxidation is lower than the pressure of the second process at block 710. Steam processes can be carried out at temperatures in excess of 100°C, such as within the range of about 100°C to about 300°C. The temperature during steam oxidation is less than the temperature of the second process at block 710. In the plasma oxidation process, steam (H 2 O) is used to ignite the plasma in the RPS. Oxygen-containing plasma effluents and/or hydrogen-containing plasma effluents are flowed into the processing chamber. The RPS RF power source can have a frequency in the range of about 2 MHz to about 40 MHz (such as 13.56 MHz) and a power in the range of about 50 W to about 3000 W. Steam flows, temperatures, and pressures in the plasma oxidation process can be similar to those described above for steam oxidation.

以下の表1は、いくつかの例によるプロセスの異なる組み合わせをまとめたものである。例は、表1のそれぞれの行内に含まれる。異なる組み合わせを実施することができる。第1及び第2のプロセスを繰り返すことなどによって、追加のプロセスを実行することができる。

Figure 0007433457000001
Table 1 below summarizes different combinations of processes according to some examples. Examples are included within each row of Table 1. Different combinations can be implemented. Additional processes can be performed, such as by repeating the first and second processes.
Figure 0007433457000001

図9は、基板802上のフィン804上及びフィン804間の変換され高密度化された膜814(流動性膜808から変換された)の断面図を示す。いくつかの例では、流動性膜808は、高濃度の窒素及び/又は水素を含むシリコン系誘電体から、高密度化された二酸化ケイ素に変換される。このような例では、変換され高密度化された膜814は、高密度化された酸化ケイ素であり、元々堆積された流動性膜808とは異なる材料組成物である。 FIG. 9 shows a cross-sectional view of a converted densified membrane 814 (converted from flowable membrane 808) on and between fins 804 on substrate 802. In some examples, flowable membrane 808 is converted from a silicon-based dielectric containing high concentrations of nitrogen and/or hydrogen to densified silicon dioxide. In such an example, the converted densified film 814 is densified silicon oxide and is of a different material composition than the originally deposited flowable film 808.

図7のブロック712によれば、ブロック706で処理された膜がエッチングされる。いくつかの例では、ブロック706の処理の後に、基板802は、処理チャンバが連結される移送チャンバの移送ロボットによって処理チャンバから取り外される。例えば、基板802は、上述のように、多圧処理チャンバ300の第1のチャンバ302から取り外すことができる。基板802は、処理システム100内の別の処理チャンバに、又は別の処理システムの処理チャンバに移送することができる。エッチングは、任意の適切なエッチングプロセスによって行うことができる。いくつかの例では、エッチングプロセスは湿式エッチングであるが、ドライエッチングが実施されてもよい。更に、湿式エッチングは、希フッ化水素酸(dHF)を使用することができ、これは、酸化ケイ素に対して選択的でありうる。いくつかの例では、変換され高密度化された酸化ケイ素膜をエッチングするために、100:1のdHF溶液が実施された。ブロック706のエッチングのエッチング速度は、より均一かつより低くすることができ、上記のように、より容易に制御することができる。 According to block 712 of FIG. 7, the film processed at block 706 is etched. In some examples, after processing at block 706, the substrate 802 is removed from the processing chamber by a transfer robot of the transfer chamber to which the processing chamber is coupled. For example, substrate 802 can be removed from first chamber 302 of multipressure processing chamber 300, as described above. Substrate 802 may be transferred to another processing chamber within processing system 100 or to a processing chamber of another processing system. Etching can be performed by any suitable etching process. In some examples, the etching process is a wet etch, although a dry etch may also be performed. Additionally, wet etching can use dilute hydrofluoric acid (dHF), which can be selective to silicon oxide. In some examples, a 100:1 dHF solution was implemented to etch the converted and densified silicon oxide film. The etch rate of the block 706 etch can be more uniform and lower, and can be more easily controlled as described above.

図10は、例えば、変換及び高密度化された膜814をエッチングした後に、基板802上のフィン804間のトレンチ806において、変換及び高密度化された膜814で共に形成された分離構造(例えば、STI)の断面図を示す。エッチングプロセスの結果として、フィン804は、隣接する分離構造間から突出する。分離構造の上面(例えば、変換及び高密度化された膜814の上面)は、フィン804の上面から任意の深さまで窪みうる。図10の図は、単なる例である。 FIG. 10 shows isolation structures (e.g., , STI) is shown. As a result of the etching process, fins 804 protrude from between adjacent isolation structures. The top surface of the separation structure (eg, the top surface of converted and densified membrane 814) may be recessed to any depth from the top surface of fin 804. The diagram in FIG. 10 is merely an example.

フィン804は、その間に分離構造を有し、その後、任意の適切なデバイス構造を形成するために使用することができる。例えば、フィン804は、FinFETを形成するために使用することができる。ゲート構造は、フィン804上及び長手方向に直角に形成することができる。ゲート構造は、フィンの表面に沿ったゲート誘電体(例えば、高誘電率ゲート誘電体)と、ゲート誘電体上の1つ又は複数の仕事関数調整層と、1つ又は複数の仕事関数調整層上の金属充填物(metal fill)とを含むことができる。ゲート構造は、ゲート構造の下にあるそれぞれのフィン804内のチャネル領域を画定することができる。ソース/ドレイン領域(例えば、エピタキシャルソース/ドレイン領域)は、チャネル領域の対向する両側のフィンに形成することができる。ゲート構造、チャネル領域、及びソース/ドレイン領域は、まとまりFinFETを形成することができる。 The fins 804 have isolation structures therebetween and can then be used to form any suitable device structure. For example, fin 804 can be used to form a FinFET. The gate structure can be formed on the fin 804 and at right angles to the longitudinal direction. The gate structure includes a gate dielectric (e.g., a high-k gate dielectric) along the surface of the fin, one or more work function tuning layers on the gate dielectric, and one or more work function tuning layers. and a metal fill on top. The gate structure can define a channel region within each fin 804 underlying the gate structure. Source/drain regions (eg, epitaxial source/drain regions) can be formed in the fins on opposite sides of the channel region. The gate structure, channel region, and source/drain region can collectively form a FinFET.

上記は、本開示の様々な例を対象とするが、他の例及び更なる例が、本開示の基本的な範囲から逸脱することなく考案されてもよく、その範囲は、以下の特許請求の範囲によって決定される。 Although the above is directed to various examples of the present disclosure, other and further examples may be devised without departing from the basic scope of the present disclosure, which scope is defined by the claims below. determined by the range of

Claims (20)

半導体処理システムであって、
多圧処理チャンバと、
プロセッサとメモリとを備えるシステムコントローラと
を備え、前記メモリは、前記プロセッサによって実行されると、前記システムコントローラに、
流動性プロセスによって堆積された膜を有する基板上で実行される第1のプロセスを前記多圧処理チャンバ内で10ミリトールと1バールとの間で制御することであって、前記第1のプロセスが、安定化された膜を形成するために前記膜内の結合を安定化させることを含み、前記第1のプロセスが、熱酸化プロセス、プラズマ酸化プロセス、蒸気酸化プロセス、安定化熱プロセス、又は安定化プラズマプロセスである、第1のプロセスを制御することと、
前記膜を有する前記基板上で実行される第2のプロセスを前記多圧処理チャンバ内で1バール以上で制御することであって、前記第2のプロセスが、前記安定化された膜を高密度化することを含み、前記第2のプロセスが、アニールプロセスである、第2のプロセスを制御することと
を実行させる命令を記憶する、半導体処理システム。
A semiconductor processing system,
a multipressure processing chamber;
a system controller comprising a processor and a memory, the memory, when executed by the processor, causing the system controller to:
controlling a first process carried out on a substrate having a film deposited by a flowable process between 10 mTorr and 1 bar in the multi-pressure processing chamber, the first process comprising: , stabilizing the bonds within the film to form a stabilized film , wherein the first process is a thermal oxidation process, a plasma oxidation process, a steam oxidation process, a stabilizing thermal process, or controlling a first process that is a stabilized plasma process ;
controlling a second process performed on the substrate having the film in the multi-pressure processing chamber at a pressure of 1 bar or more , the second process forming the stabilized film at a high density; and controlling a second process, the second process being an annealing process .
半導体処理システムであって、
多圧処理チャンバと、
プロセッサとメモリとを備えるシステムコントローラと
を備え、前記メモリは、前記プロセッサによって実行されると、前記システムコントローラに、
流動性プロセスによって堆積された膜を有する基板上で実行される第1のプロセスを前記多圧処理チャンバ内で制御することであって、前記第1のプロセスが、安定化された膜を形成するために前記膜内の結合を安定化させることを含む、第1のプロセスを制御することと、
前記膜を有する前記基板上で実行される第2のプロセスを前記多圧処理チャンバ内で制御することであって、前記第2のプロセスが、前記安定化された膜を高密度化することを含む、第2のプロセスを制御することと
を実行させる命令を記憶し、
前記第1のプロセスが、第1の圧力で実行され、
前記第2のプロセスが、前記第1の圧力よりも大きい第2の圧力で実行される
半導体処理システム。
A semiconductor processing system,
a multipressure processing chamber;
a system controller comprising a processor and memory;
and the memory, when executed by the processor, causes the system controller to:
controlling in the multipressure processing chamber a first process performed on a substrate having a film deposited by a flowable process, the first process forming a stabilized film; controlling a first process comprising stabilizing bonds within the membrane for the purpose of
controlling a second process performed on the substrate having the film in the multipressure processing chamber, the second process densifying the stabilized film; controlling a second process comprising;
memorize instructions to execute
the first process is carried out at a first pressure;
the second process is carried out at a second pressure greater than the first pressure ;
Semiconductor processing system.
前記第1のプロセスが、第1のプロセスガス組成物を流すことを含んで実行され、
前記第2のプロセスが、前記第1のプロセスガス組成物とは異なる第2のプロセスガス組成物を流すことを含んで実行される、請求項1に記載の半導体処理システム。
the first process is carried out including flowing a first process gas composition;
2. The semiconductor processing system of claim 1, wherein the second process is performed including flowing a second process gas composition different from the first process gas composition.
半導体処理システムであって、
多圧処理チャンバと、
プロセッサとメモリとを備えるシステムコントローラと
を備え、前記メモリは、前記プロセッサによって実行されると、前記システムコントローラに、
流動性プロセスによって堆積された膜を有する基板上で実行される第1のプロセスを前記多圧処理チャンバ内で制御することであって、前記第1のプロセスが、安定化された膜を形成するために前記膜内の結合を安定化させることを含む、第1のプロセスを制御することと、
前記膜を有する前記基板上で実行される第2のプロセスを前記多圧処理チャンバ内で制御することであって、前記第2のプロセスが、前記安定化された膜を高密度化することを含む、第2のプロセスを制御することと
を実行させる命令を記憶し、
前記第1のプロセスが、第1の温度で実行され、
前記第2のプロセスが、前記第1の温度よりも高い第2の温度で実行される
半導体処理システム。
A semiconductor processing system,
a multipressure processing chamber;
a system controller comprising a processor and memory;
and the memory, when executed by the processor, causes the system controller to:
controlling in the multipressure processing chamber a first process performed on a substrate having a film deposited by a flowable process, the first process forming a stabilized film; controlling a first process comprising stabilizing bonds within the membrane for the purpose of
controlling a second process performed on the substrate having the film in the multipressure processing chamber, the second process densifying the stabilized film; controlling a second process comprising;
memorize instructions to execute
the first process is performed at a first temperature;
the second process is performed at a second temperature higher than the first temperature ;
Semiconductor processing system.
前記第1のプロセスが、前記膜を異なる組成物に変換することを更に含む、請求項1に記載の半導体処理システム。 2. The semiconductor processing system of claim 1, wherein the first process further comprises converting the film to a different composition. 前記第1のプロセスが、酸素、オゾン、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第1のプロセスガスを流すことを含んで実行され、
前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項1に記載の半導体処理システム。
the first process is carried out including flowing a first process gas comprising oxygen, ozone, nitrous oxide, nitric oxide, or a combination thereof;
2. The semiconductor processing system of claim 1, wherein the second process is performed including flowing a second process gas comprising steam, ammonia, nitrous oxide, nitric oxide, or a combination thereof.
前記第1のプロセスが、アンモニアを含む第1のプロセスガスを流すことを含んで実行され、
前記第2のプロセスが、蒸気、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項1に記載の半導体処理システム。
the first process is carried out including flowing a first process gas comprising ammonia;
2. The semiconductor processing system of claim 1, wherein the second process is performed including flowing a second process gas comprising steam, nitrous oxide, nitric oxide, or a combination thereof.
半導体処理システムであって、
多圧処理チャンバと、
プロセッサとメモリとを備えるシステムコントローラと
を備え、前記メモリは、前記プロセッサによって実行されると、前記システムコントローラに、
流動性プロセスによって堆積された膜を有する基板上で実行される第1のプロセスを前記多圧処理チャンバ内で制御することであって、前記第1のプロセスが、安定化された膜を形成するために前記膜内の結合を安定化させることを含む、第1のプロセスを制御することと、
前記膜を有する前記基板上で実行される第2のプロセスを前記多圧処理チャンバ内で制御することであって、前記第2のプロセスが、前記安定化された膜を高密度化することを含む、第2のプロセスを制御することと
を実行させる命令を記憶し、
前記第1のプロセスが、蒸気を含む第1のプロセスガスを流すことを含んで実行され、かつ第1の圧力及び第1の温度で実行され、
前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行され、かつ前記第1の圧力よりも高い第2の圧力及び前記第1の温度よりも高い第2の温度で実行される
半導体処理システム。
A semiconductor processing system,
a multipressure processing chamber;
a system controller comprising a processor and memory;
and the memory, when executed by the processor, causes the system controller to:
controlling in the multipressure processing chamber a first process performed on a substrate having a film deposited by a flowable process, the first process forming a stabilized film; controlling a first process comprising stabilizing bonds within the membrane for the purpose of
controlling a second process performed on the substrate having the film in the multipressure processing chamber, the second process densifying the stabilized film; controlling a second process comprising;
memorize instructions to execute
the first process is carried out including flowing a first process gas comprising steam and carried out at a first pressure and a first temperature;
The second process is carried out including flowing a second process gas comprising steam, ammonia, nitrous oxide, nitric oxide, or a combination thereof, and a second process gas that is higher than the first pressure. and a second temperature higher than the first temperature .
Semiconductor processing system.
半導体処理システムであって、
多圧処理チャンバと、
プロセッサとメモリとを備えるシステムコントローラであって、前記メモリは、前記プロセッサによって実行されると、前記システムコントローラに、
流動性プロセスによって堆積された膜を有する基板上で実行される第1のプロセスを前記多圧処理チャンバ内で制御することであって、前記第1のプロセスが、安定化された膜を形成するために前記膜内の結合を安定化させることを含む、第1のプロセスを制御することと、
前記膜を有する前記基板上で実行される第2のプロセスを前記多圧処理チャンバ内で制御することであって、前記第2のプロセスが、前記安定化された膜を高密度化することを含む、第2のプロセスを制御することと
を実行させる命令を記憶する、システムコントローラと、
前記多圧処理チャンバに流体連結された遠隔プラズマ源と、
備え、
前記命令は、前記プロセッサによって実行されると、前記システムコントローラに、前記第1のプロセス、前記第2のプロセス、又は前記第1のプロセスと前記第2のプロセスとの両方の間に、前記遠隔プラズマ源内のプラズマを点火させる
半導体処理システム。
A semiconductor processing system,
a multipressure processing chamber;
A system controller comprising a processor and a memory, wherein the memory, when executed by the processor, causes the system controller to:
controlling in the multipressure processing chamber a first process performed on a substrate having a film deposited by a flowable process, the first process forming a stabilized film; controlling a first process comprising stabilizing bonds within the membrane for the purpose of
controlling a second process performed on the substrate having the film in the multipressure processing chamber, the second process densifying the stabilized film; controlling a second process comprising;
a system controller that stores instructions for executing the
a remote plasma source fluidly coupled to the multipressure processing chamber ;
Equipped with
The instructions, when executed by the processor, cause the system controller to transmit the remote information between the first process, the second process, or both the first process and the second process. igniting the plasma within the plasma source ;
Semiconductor processing system.
半導体処理のための方法であって、
流動性プロセスによって堆積された膜を有する基板を多圧処理チャンバ内に移送することと、
前記多圧処理チャンバ内で、10ミリトールと1バールとの間で、前記基板上の前記膜上において、第1のプロセスを実行することであって、前記第1のプロセスが、安定化された膜を形成するために、前記膜内の結合を安定化させることを含み、前記第1のプロセスが、熱酸化プロセス、プラズマ酸化プロセス、蒸気酸化プロセス、安定化熱プロセス、又は安定化プラズマプロセスである、第1のプロセスを実行することと、
前記多圧処理チャンバ内で、1バール以上で、前記基板上の前記膜上において、第2のプロセスを実行することであって、前記第2のプロセスが前記安定化された膜を高密度化することを含み、前記第2のプロセスが、アニールプロセスである、第2のプロセスを実行することと
を含む、方法。
A method for semiconductor processing, the method comprising:
transferring a substrate having a film deposited by a flowable process into a multi-pressure processing chamber;
performing a first process on the film on the substrate at between 10 mTorr and 1 bar in the multipressure processing chamber, the first process being stabilized; stabilizing bonds within the film to form a film , the first process comprising a thermal oxidation process, a plasma oxidation process, a steam oxidation process, a stabilized thermal process, or a stabilized plasma process. executing a first process,
performing a second process on the film on the substrate in the multipressure processing chamber at 1 bar or more , the second process densifying the stabilized film; and performing a second process, the second process being an annealing process .
半導体処理のための方法であって、
流動性プロセスによって堆積された膜を有する基板を多圧処理チャンバ内に移送することと、
前記多圧処理チャンバ内で、前記基板上の前記膜上において、第1のプロセスを実行することであって、前記第1のプロセスが、安定化された膜を形成するために、前記膜内の結合を安定化させることを含む、第1のプロセスを実行することと、
前記多圧処理チャンバ内で、前記基板上の前記膜上において、第2のプロセスを実行することであって、前記第2のプロセスが前記安定化された膜を高密度化することを含む、第2のプロセスを実行することと
を含み、
前記第1のプロセスが、第1の圧力で実行され、
前記第2のプロセスが、前記第1の圧力よりも大きい第2の圧力で実行される
方法。
A method for semiconductor processing, the method comprising:
transferring a substrate having a film deposited by a flowable process into a multi-pressure processing chamber;
performing a first process on the film on the substrate in the multipressure processing chamber, the first process comprising: forming a stabilized film on the film; performing a first process comprising stabilizing the bond of;
performing a second process on the film on the substrate in the multipressure processing chamber, the second process comprising densifying the stabilized film; executing the second process and
including;
the first process is carried out at a first pressure;
the second process is carried out at a second pressure greater than the first pressure ;
Method.
前記第1のプロセスを実行することが、第1のプロセスガス組成物を流すことを含み、
前記第2のプロセスを実行することが、前記第1のプロセスガス組成物とは異なる第2のプロセスガス組成物を流すことを含む、請求項10に記載の方法。
performing the first process includes flowing a first process gas composition;
11. The method of claim 10, wherein performing the second process includes flowing a second process gas composition different from the first process gas composition.
前記第1のプロセスを実行することが、前記膜を異なる組成物に変換すること含む、請求項10に記載の方法。 11. The method of claim 10, wherein performing the first process includes converting the membrane into a different composition. 前記第1のプロセスが、酸素、オゾン、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第1のプロセスガスを流すことを含んで実行され、
前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項10に記載の方法。
the first process is carried out including flowing a first process gas comprising oxygen, ozone, nitrous oxide, nitric oxide, or a combination thereof;
11. The method of claim 10, wherein the second process is performed including flowing a second process gas comprising steam, ammonia, nitrous oxide, nitric oxide, or a combination thereof.
前記第1のプロセスが、アンモニアを含む第1のプロセスガスを流すことを含んで実行され、
前記第2のプロセスが、蒸気、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行される、請求項10に記載の方法。
the first process is carried out including flowing a first process gas comprising ammonia;
11. The method of claim 10, wherein the second process is performed including flowing a second process gas comprising steam, nitrous oxide, nitric oxide, or a combination thereof.
半導体処理のための方法であって、
流動性プロセスによって堆積された膜を有する基板を多圧処理チャンバ内に移送することと、
前記多圧処理チャンバ内で、前記基板上の前記膜上において、第1のプロセスを実行することであって、前記第1のプロセスが、安定化された膜を形成するために、前記膜内の結合を安定化させることを含む、第1のプロセスを実行することと、
前記多圧処理チャンバ内で、前記基板上の前記膜上において、第2のプロセスを実行することであって、前記第2のプロセスが前記安定化された膜を高密度化することを含む、第2のプロセスを実行することと
を含み、
前記第1のプロセスが、蒸気を含む第1のプロセスガスを流すことを含んで実行され、かつ第1の圧力及び第1の温度で実行され、
前記第2のプロセスが、蒸気、アンモニア、亜酸化窒素、一酸化窒素、又はこれらの組み合わせを含む第2のプロセスガスを流すことを含んで実行され、かつ前記第1の圧力よりも高い第2の圧力及び前記第1の温度よりも高い第2の温度で実行される方法。
A method for semiconductor processing, the method comprising:
transferring a substrate having a film deposited by a flowable process into a multi-pressure processing chamber;
performing a first process on the film on the substrate in the multipressure processing chamber, the first process comprising: forming a stabilized film on the film; performing a first process comprising stabilizing the binding of;
performing a second process on the film on the substrate in the multipressure processing chamber, the second process comprising densifying the stabilized film; executing the second process and
including;
the first process is carried out including flowing a first process gas comprising steam and carried out at a first pressure and a first temperature;
The second process is carried out including flowing a second process gas comprising steam, ammonia, nitrous oxide, nitric oxide, or a combination thereof, and a second process gas that is higher than the first pressure. and a second temperature higher than said first temperature .
非一時的コンピュータ可読記憶媒体であって、プロセッサによって実行されると、コンピュータシステムに、
処理システムの多圧処理チャンバ内で10ミリトールと1バールとの間で第1のプロセスを実行するために、前記処理システムを制御することであって、前記第1のプロセスが、流動性プロセスによって堆積された膜を有する基板上で実行され、かつ安定化された膜を形成するために、前記膜内の結合を安定化させることを含み、前記第1のプロセスが、熱酸化プロセス、プラズマ酸化プロセス、蒸気酸化プロセス、安定化熱プロセス、又は安定化プラズマプロセスである、前記処理システムを制御することと、
前記多圧処理チャンバ内で1バール以上で第2のプロセスを実行するために、前記処理システムを制御することであって、前記第2のプロセスが、前記安定化された膜を有する前記基板上で実行され、かつ前記安定化された膜を高密度化することを含み、前記第2のプロセスが、アニールプロセスである、前記処理システムを制御することと
を含む動作を実行させる命令を記憶する非一時的コンピュータ可読記憶媒体。
a non-transitory computer-readable storage medium that, when executed by a processor, transmits information to a computer system;
controlling the processing system to carry out a first process between 10 mTorr and 1 bar in a multi-pressure processing chamber of the processing system, the first process being performed by a flowable process; performed on a substrate having a deposited film and to form a stabilized film, the first process comprises stabilizing the bonds within the film, a thermal oxidation process, a plasma controlling the processing system , which is an oxidation process, a steam oxidation process, a stabilized thermal process, or a stabilized plasma process ;
controlling the processing system to perform a second process at 1 bar or more in the multi-pressure processing chamber, wherein the second process is performed on the substrate having the stabilized film; and controlling the processing system, the second process being an annealing process, the second process being an annealing process. non-transitory computer-readable storage medium.
前記第1のプロセスが、第1のプロセスガス組成物を流すことで実行され、
前記第2のプロセスが、前記第1のプロセスガス組成物とは異なる第2のプロセスガス組成物を流すことで実行される、請求項17に記載の非一時的コンピュータ可読記憶媒体。
the first process is carried out by flowing a first process gas composition;
18. The non-transitory computer-readable storage medium of claim 17, wherein the second process is performed by flowing a second process gas composition different from the first process gas composition.
プロセッサによって実行されると、コンピュータシステムに、
処理システムの多圧処理チャンバ内で第1のプロセスを実行するために、前記処理システムを制御することであって、前記第1のプロセスが、流動性プロセスによって堆積された膜を有する基板上で実行され、かつ安定化された膜を形成するために、前記膜内の結合を安定化させることを含む、前記処理システムを制御することと、
前記多圧処理チャンバ内で第2のプロセスを実行するために、前記処理システムを制御することであって、前記第2のプロセスが、前記安定化された膜を有する前記基板上で実行され、かつ前記安定化された膜を高密度化することを含む、前記処理システムを制御することと
を含む動作を実行させる命令を記憶する非一時的コンピュータ可読記憶媒体であって、
前記第1のプロセスが、第1の圧力で実行され、
前記第2のプロセスが、前記第1の圧力よりも大きい第2の圧力で実行される
非一時的コンピュータ可読記憶媒体。
When executed by a processor, it causes a computer system to
controlling the processing system to perform a first process in a multi-pressure processing chamber of the processing system, the first process depositing a film on a substrate having a film deposited by a flowable process; controlling the processing system, including stabilizing the bonds within the membrane to form an activated and stabilized membrane;
controlling the processing system to perform a second process in the multipressure processing chamber, the second process being performed on the substrate having the stabilized film; and densifying the stabilized film.
a non-transitory computer-readable storage medium storing instructions for performing operations comprising:
the first process is carried out at a first pressure;
the second process is carried out at a second pressure greater than the first pressure ;
Non-transitory computer-readable storage medium.
前記第1のプロセスが、前記膜を異なる組成物に変換することを更に含む、請求項17に記載の非一時的コンピュータ可読記憶媒体。 18. The non-transitory computer-readable storage medium of claim 17, wherein the first process further comprises converting the film into a different composition.
JP2022549151A 2020-02-17 2021-01-26 Multi-step process for flowable gap-filled membranes Active JP7433457B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/792,646 US11901222B2 (en) 2020-02-17 2020-02-17 Multi-step process for flowable gap-fill film
US16/792,646 2020-02-17
PCT/US2021/014991 WO2021167754A1 (en) 2020-02-17 2021-01-26 Multi-step process for flowable gap-fill film

Publications (2)

Publication Number Publication Date
JP2023513796A JP2023513796A (en) 2023-04-03
JP7433457B2 true JP7433457B2 (en) 2024-02-19

Family

ID=77273564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022549151A Active JP7433457B2 (en) 2020-02-17 2021-01-26 Multi-step process for flowable gap-filled membranes

Country Status (6)

Country Link
US (2) US11901222B2 (en)
JP (1) JP7433457B2 (en)
KR (1) KR20220143082A (en)
CN (1) CN115104176A (en)
TW (1) TW202139389A (en)
WO (1) WO2021167754A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240029768A (en) 2021-09-03 2024-03-06 어플라이드 머티어리얼스, 인코포레이티드 Cluster tools, systems and methods having one or more pressure stabilization chambers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005530343A (en) 2002-06-13 2005-10-06 ビーオーシー・エドワーズ・インコーポレーテッド Substrate processing apparatus and related system and method
JP2009521594A (en) 2005-11-18 2009-06-04 東京エレクトロン株式会社 Method and system for performing plasma atomic layer deposition
US20180330980A1 (en) 2017-05-13 2018-11-15 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
WO2018217967A1 (en) 2017-05-25 2018-11-29 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2019013920A1 (en) 2017-07-14 2019-01-17 Micromaterials Llc Gas delivery system for high pressure processing chamber

Family Cites Families (601)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (en) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd Steam treating unit
JPS6367721A (en) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd Manufacture of amorphous carbon semiconductor film
JPH0748489B2 (en) 1987-07-27 1995-05-24 富士通株式会社 Plasma processing device
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (en) 1989-04-10 1998-03-25 忠弘 大見 Tungsten film forming equipment
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (en) 1991-03-18 2000-01-11 松下電子工業株式会社 Polyimide curing device
KR0155572B1 (en) 1991-05-28 1998-12-01 이노우에 아키라 Reduced pressure processing system
ATE251342T1 (en) 1991-05-28 2003-10-15 Trikon Technologies Ltd METHOD FOR FILLING A CAVITY IN A SUBSTRATE
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521347A (en) 1991-07-11 1993-01-29 Canon Inc Sputtering device
JPH0521310A (en) 1991-07-11 1993-01-29 Canon Inc Formation of fine pattern
JPH05129296A (en) 1991-11-05 1993-05-25 Fujitsu Ltd Method of flatting conductive film
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (en) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd Dryer of substrate after washing
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (en) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd Semiconductor device and its manufacture
JPH07158767A (en) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd Gate valve
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (en) 1995-01-13 1996-07-30 Toshiba Corp Manufacture of film transistor
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (en) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド Microwave plasma based applicator
JP3684624B2 (en) 1995-08-02 2005-08-17 ソニー株式会社 Reaction gas supply device
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (en) 1995-11-21 1997-11-18 Applied Materials Inc Apparatus for production of metallic path for semiconductor substrate by high-pressure extrusion and method thereof
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (en) 1996-03-01 1998-04-30 히가시 데츠로 Substrate drying apparatus and substrate drying method
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
TW347570B (en) 1996-12-24 1998-12-11 Toshiba Co Ltd Semiconductor device and method for manufacturing the same
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (en) 1997-03-31 1999-11-22 日本電気株式会社 Method for manufacturing semiconductor device
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (en) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 A film forming method
JP2976931B2 (en) 1997-06-04 1999-11-10 日本電気株式会社 Method for manufacturing semiconductor device
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (en) 1997-11-18 2001-08-13 日本電気株式会社 Method of forming interlayer insulating film and insulating film forming apparatus
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (en) 1998-01-06 2001-01-15 윤종용 Capacitor for semiconductor device & manufacturing method
JP3296281B2 (en) 1998-01-22 2002-06-24 日本電気株式会社 Sputtering apparatus and sputtering method
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (en) 1998-04-09 2007-08-08 富士通株式会社 Semiconductor device and manufacturing method thereof
JPH11354515A (en) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd Pressure type heating furnace
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP2000221799A (en) 1999-01-29 2000-08-11 Canon Inc Image forming device
KR100804853B1 (en) 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 Chlorotrifluorine gas generator system
JP4096440B2 (en) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 Multilayer molded product
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
CN1174477C (en) 1999-04-02 2004-11-03 硅谷集团热***责任有限公司 Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (en) 1999-04-19 2007-03-14 株式会社神戸製鋼所 Method for forming wiring film
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (en) 1999-05-26 2000-12-08 Fujitsu Ltd Manufacture of semiconductor device and the semiconductor device
JP2001053066A (en) 1999-05-28 2001-02-23 Tokyo Electron Ltd Ozone processor and ozone processing method
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (en) 1999-06-16 2000-12-26 Seiko Epson Corp Semiconductor device
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (en) 1999-08-17 2009-01-29 Applied Materials Inc Surface treatment of carbon-doped SiO 2 films to increase the stability during O 2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (en) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd Apparratus for continuously manufacturing method of semiconductor element
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (en) 1999-11-12 2006-09-29 삼성전자주식회사 Bake unit for semiconductor photolithography process
KR100321561B1 (en) 1999-11-16 2002-01-23 박호군 Method of Manufacturing Ferroelectric Thin Film of Mixed Oxides Containing Volatile Components
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (en) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc Equipment and method for treating substrate
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (en) 2000-03-24 2011-02-23 株式会社神戸製鋼所 Method for forming semiconductor wiring film
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
WO2001088972A1 (en) 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (en) 2001-03-22 2009-09-30 株式会社荏原製作所 Method and apparatus for adjusting gas circulation rate of vacuum exhaust device
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (en) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk Preprocessing method for etching tank and manufacturing method for semiconductor device
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (en) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 Method for forming the Metal Layer Of Semiconductor Device
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (en) 2001-08-03 2003-02-21 Kobe Steel Ltd High-pressure processing apparatus
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003077974A (en) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc Substrate processing device and manufacturing method of semiconductor device
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (en) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd Discharge plasma treatment apparatus
KR100450564B1 (en) 2001-12-20 2004-09-30 동부전자 주식회사 Post treatment method for metal line of semiconductor device
JP2003188387A (en) 2001-12-20 2003-07-04 Sony Corp Thin film transistor and its fabricating method
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2003243374A (en) 2002-02-20 2003-08-29 Tokyo Electron Ltd Substrate-treating apparatus and method therefor
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6974976B2 (en) 2002-09-30 2005-12-13 Miasole Thin-film solar cells
JP2004127958A (en) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk Apparatus and method for performing high pressure anneal steam treatment
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (en) 2002-11-19 2005-03-31 삼성전자주식회사 Method for fabricating a semiconductor device using nickel salicide process
AU2002368383A1 (en) 2002-11-25 2004-06-18 Koyo Thermo Systems Co., Ltd. Electric heater for semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
CN1757098B (en) 2003-02-04 2010-08-11 应用材料有限公司 Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (en) 2003-03-07 2007-08-08 東京エレクトロン株式会社 Method for forming tungsten film
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7086638B2 (en) 2003-05-13 2006-08-08 Applied Materials, Inc. Methods and apparatus for sealing an opening of a processing chamber
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (en) 2003-07-15 2005-12-27 삼성전자주식회사 Method for depositing cobalt layer
JP4417669B2 (en) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 Semiconductor processing apparatus and semiconductor wafer introduction method
JP4173781B2 (en) 2003-08-13 2008-10-29 株式会社神戸製鋼所 High pressure processing method
JP4443879B2 (en) 2003-09-03 2010-03-31 株式会社協真エンジニアリング High precision high pressure annealing equipment
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
WO2005064649A2 (en) 2003-12-23 2005-07-14 Schumacher John C Exhaust conditioning system for semiconductor reactor
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (en) 2004-05-20 2010-01-06 株式会社神戸製鋼所 Drying method of fine structure
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (en) 2004-11-08 2006-05-25 Canon Inc Method and apparatus for forming insulating film
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (en) 2005-02-07 2007-03-20 삼성전자주식회사 Method for controlling presure of equipment for semiconductor device fabrication
CN101128622B (en) 2005-02-22 2010-08-25 埃克提斯公司 Etching chamber with subchamber
US7759749B2 (en) 2005-03-16 2010-07-20 Nec Corporation Metal material, and coating film and wiring for semiconductor integrated circuitry utilizing the metal material
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
ATE419560T1 (en) 2005-06-10 2009-01-15 Obducat Ab COPYING A PATTERN USING AN INTERMEDIATE STAMP
JP4747693B2 (en) 2005-06-28 2011-08-17 住友電気工業株式会社 Method for forming resin body, method for forming structure for optical waveguide, and method for forming optical component
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (en) 2005-09-13 2007-03-20 한국전자통신연구원 Optical waveguide master and manufacture method of the same
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN101268012B (en) 2005-10-07 2012-12-26 株式会社尼康 Fine structure body and method for manufacturing same
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (en) 2005-11-07 2012-01-05 주성엔지니어링(주) Vacuum chamber which economizes manufacturing cost
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
JP2009516080A (en) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー Electrode and method for forming the same
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
KR20070068596A (en) 2005-12-27 2007-07-02 삼성전자주식회사 A baking apparatus
JP2007180310A (en) 2005-12-28 2007-07-12 Toshiba Corp Semiconductor device
KR100684910B1 (en) 2006-02-02 2007-02-22 삼성전자주식회사 Apparatus for treating plasma and method for cleaning the same
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (en) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc Substrate treatment apparatus
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (en) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 Film-forming method, semiconductor device manufacturing method, computer-readable recording medium, sputtering apparatus
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
JP2008073611A (en) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd High pressure treating device
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP4976796B2 (en) 2006-09-25 2012-07-18 株式会社東芝 Semiconductor device
JP4814038B2 (en) 2006-09-25 2011-11-09 株式会社日立国際電気 Substrate processing apparatus and method for attaching / detaching reaction vessel
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
JP2008118118A (en) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Method of smoothing surface of substrate for euv mask blank, and euv mask blank obtained by its method
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (en) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Manufacturing method of mos type semiconductor device
JP5200371B2 (en) 2006-12-01 2013-06-05 東京エレクトロン株式会社 Film forming method, semiconductor device, and storage medium
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (en) 2007-01-31 2008-08-21 Tokyo Electron Ltd Substrate processing apparatus
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (en) 2007-03-31 2013-02-06 東京エレクトロン株式会社 Trap device, exhaust system and treatment system using the same
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (en) 2007-04-13 2008-10-16 Infineon Technologies Ag Curing of layers on the semiconductor module by means of electromagnetic fields
WO2008131531A1 (en) 2007-04-30 2008-11-06 Ifire Ip Corporation Laminated thick film dielectric structure for thick film dielectric electroluminescent displays
EP2153363A4 (en) 2007-05-25 2013-02-27 Applied Materials Inc Methods and apparatus for assembling and operating electronic device manufacturing systems
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (en) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 Method of manufacturing Semiconductor Device by using High-Pressure Oxygen Annealing
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
WO2009055750A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (en) 2007-11-19 2013-09-25 日揮触媒化成株式会社 Method for repairing damage of low dielectric constant silica-based coating and low dielectric constant silica-based coating repaired by the method
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (en) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 Protective layer to enable damage free gap fill
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (en) 2008-03-31 2011-11-16 株式会社日立製作所 Fine structure transfer stamper and fine structure transfer apparatus
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
CN102017101B (en) 2008-05-02 2014-06-04 应用材料公司 System for non radial temperature control for rotating substrates
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2010080949A (en) 2008-08-29 2010-04-08 Kisco Ltd Copper film annealing method, annealed copper film, and device having copper wiring
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (en) 2008-09-25 2010-04-02 삼성전자주식회사 Semiconductor device having isolation layer with isolation trench of different aspect ratio and isolation trench gap fill method of fabricating the same
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (en) * 2009-01-08 2010-07-16 삼성전자주식회사 Methods of forming a silicon oxide layer pattern and an isolation layer
JP2010168607A (en) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan Facing target type sputtering apparatus capable of controlling composition ratio
TWI527930B (en) 2009-02-04 2016-04-01 應用材料股份有限公司 Ground return for plasma processes
KR101534678B1 (en) 2009-02-12 2015-07-08 삼성전자주식회사 Mothod for manufacturing semiconductor device by annealing rapidly tungsten contact plug under oxygen atmosphere and reducing the RTO pulg under hydrogen atmosphere
US20100248419A1 (en) 2009-02-15 2010-09-30 Jacob Woodruff Solar cell absorber layer formed from equilibrium precursor(s)
JP2010205854A (en) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
JP4523661B1 (en) 2009-03-10 2010-08-11 三井造船株式会社 Atomic layer deposition apparatus and thin film forming method
JP4564570B2 (en) 2009-03-10 2010-10-20 三井造船株式会社 Atomic layer deposition equipment
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (en) 2009-04-02 2011-09-23 Saint Gobain METHOD FOR MANUFACTURING TEXTURED EXTERNAL SURFACE STRUCTURE FOR ORGANIC ELECTROLUMINESCENT DIODE DEVICE AND STRUTURE WITH TEXTURED EXTERNAL SURFACE
KR101841236B1 (en) 2009-04-03 2018-03-22 어플라이드 머티어리얼스, 인코포레이티드 High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (en) 2009-06-22 2010-02-17 富士フイルム株式会社 THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THIN FILM TRANSISTOR
KR20110000960A (en) 2009-06-29 2011-01-06 삼성전자주식회사 Semiconductor chip, stack module, memory card, and method of fabricating the same
JP5174966B2 (en) 2009-07-01 2013-04-03 三菱電機株式会社 Thin film solar cell and manufacturing method thereof
JP2012197463A (en) 2009-07-03 2012-10-18 Canon Anelva Corp Film deposition method
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (en) 2009-07-24 2014-08-13 株式会社ユーテック PZT film manufacturing method and steam heating apparatus
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (en) 2009-08-28 2011-03-08 삼성전자주식회사 Thin film solar cell and method of manufacturing the same
JP2011066100A (en) 2009-09-16 2011-03-31 Bridgestone Corp Photocurable transfer sheet and method for forming recessed and projected pattern using same
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (en) 2009-11-13 2011-06-02 Dainippon Printing Co Ltd Thin film transistor substrate, method of manufacturing the same, and image display device
KR101995704B1 (en) 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing semiconductor device
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102754193A (en) 2010-01-06 2012-10-24 应用材料公司 Flowable dielectric using oxide liner
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
JP2013516788A (en) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド In situ ozone curing for radical component CVD
EP2526339A4 (en) 2010-01-21 2015-03-11 Powerdyne Inc Generating steam from carbonaceous material
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
CN102844848A (en) 2010-03-05 2012-12-26 应用材料公司 Conformal layers by radical-component cvd
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR101877377B1 (en) 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Manufacturing method of semiconductor device
JP5697534B2 (en) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 Method for manufacturing transistor
KR101163711B1 (en) 2010-06-15 2012-07-09 서울대학교산학협력단 1t dram device having two gates on recessed body and method of operating and fabricating the same
CN101871043B (en) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 Steam generator of annealing furnace and control method thereof
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (en) 2010-08-30 2012-03-08 Toshiba Corp Supercritical drying method and supercritical drying system
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (en) 2010-10-21 2012-05-10 Elpida Memory Inc Semiconductor device manufacturing method
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101226958B1 (en) 2011-01-18 2013-01-28 연세대학교 산학협력단 Method for forming oxide thin film, an electrical device using the low-temperature pressure annealing, and a thin film transistor
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
JP5806827B2 (en) 2011-03-18 2015-11-10 東京エレクトロン株式会社 Gate valve apparatus, substrate processing apparatus and substrate processing method thereof
JP5450494B2 (en) 2011-03-25 2014-03-26 株式会社東芝 Supercritical drying method for semiconductor substrates
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (en) 2011-03-30 2012-10-04 大日本印刷株式会社 Supercritical drying device and supercritical drying method
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (en) 2011-05-30 2012-12-06 東京エレクトロン株式会社 Method for treating substrate, device for treating substrate and storage medium
JP6085423B2 (en) 2011-05-30 2017-02-22 株式会社東芝 Substrate processing method, substrate processing apparatus, and storage medium
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
EP2727137B1 (en) 2011-06-28 2022-04-20 Brooks Automation (Germany) GmbH Semiconductor stocker systems and methods.
JP5544666B2 (en) 2011-06-30 2014-07-09 セメス株式会社 Substrate processing equipment
CN103608925B (en) 2011-07-13 2017-06-13 应用材料公司 The method for manufacturing film transistor device
WO2013008982A1 (en) 2011-07-14 2013-01-17 엘티씨 (주) Inorganic scattering film having high light extraction performance
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103035513B (en) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 The forming method of amorphous carbon film
WO2013065771A1 (en) 2011-11-01 2013-05-10 株式会社日立国際電気 Production method for semiconductor device, production device for semiconductor device, and storage medium
JP5712902B2 (en) 2011-11-10 2015-05-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
KR101305904B1 (en) 2011-12-07 2013-09-09 주식회사 테스 Method of manufacturing a semiconductor device with minute pattern
WO2013083129A1 (en) 2011-12-08 2013-06-13 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (en) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The Optical branching element and optical branching circuit
US9653614B2 (en) 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP2013154315A (en) 2012-01-31 2013-08-15 Ricoh Co Ltd Thin film forming apparatus, thin film forming method, electro-mechanical transducer element, liquid ejecting head, and inkjet recording apparatus
JP6254098B2 (en) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for selective oxidation of substrates
KR20140131944A (en) 2012-03-02 2014-11-14 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 Method for forming electroconductive film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (en) 2012-03-15 2014-08-20 コマツ産機株式会社 Device for checking braking performance of press machine
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (en) 2012-06-27 2013-01-22 (주)이노시티 Apparatus for process chamber
KR20140003776A (en) 2012-06-28 2014-01-10 주식회사 메카로닉스 Preparation of a high resistivity zno thin film
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (en) 2012-07-19 2014-02-03 Tokyo Electron Ltd Method of depositing tungsten film
CN104520975B (en) 2012-07-30 2018-07-31 株式会社日立国际电气 The manufacturing method of substrate processing device and semiconductor devices
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (en) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 Semiconductor structure provided with aluminum-nitride-oxide film on top of germanium layer, and manufacturing method therefor
KR102002782B1 (en) 2012-09-10 2019-07-23 삼성전자주식회사 Method of manufacturing for Semiconductor device using expandable material
JP2014060256A (en) 2012-09-18 2014-04-03 Tokyo Electron Ltd Processing system
JP6325229B2 (en) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 Manufacturing method of oxide film
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (en) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Method for forming siliceous film and siliceous film formed by the same method
TWI689004B (en) 2012-11-26 2020-03-21 美商應用材料股份有限公司 Stiction-free drying process with contaminant removal for high-aspect-ratio semiconductor device structures
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (en) 2012-12-27 2014-08-07 Tokyo Electron Ltd Film deposition method of manganese metal film, processing system, production method of electronic device and electronic device
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (en) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル Method for manufacturing semiconductor device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
CN104995333B (en) 2013-02-19 2017-09-22 应用材料公司 Patterned using the HDD of flowable formula cvd film
KR101443792B1 (en) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 Gas Phase Etcher Apparatus
KR20140104112A (en) 2013-02-20 2014-08-28 주식회사 에스에프에이 Chemical vapor deposition apparatus for flat display
KR20140106977A (en) 2013-02-27 2014-09-04 삼성전자주식회사 Metal oxide semiconductor Thin Film Transistors having high performance and methods of manufacturing the same
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
KR102214394B1 (en) 2013-03-15 2021-02-08 어플라이드 머티어리얼스, 인코포레이티드 Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (en) 2013-05-07 2013-07-17 호용종합건설주식회사 Boiler system of providing dry steam for renewed pipe
JP6068633B2 (en) 2013-05-31 2017-01-25 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and furnace mouth cover
JP6196481B2 (en) 2013-06-24 2017-09-13 株式会社荏原製作所 Exhaust gas treatment equipment
KR101542803B1 (en) 2013-07-09 2015-08-07 주식회사 네오세미텍 Vacuum chamber with purge apparatus of high temperature and high pressure injection type and cleaning method using it
EP2832899A1 (en) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Diamond coating and method for depositing such a coating
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
CN105453230B (en) 2013-08-16 2019-06-14 应用材料公司 Tungsten deposition is carried out with tungsten hexafluoride (WF6) eatch-back
WO2015026445A1 (en) 2013-08-21 2015-02-26 Applied Materials, Inc. Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (en) 2013-09-04 2017-11-08 昭和電工株式会社 Method for manufacturing SiC epitaxial wafer
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (en) 2013-09-17 2015-03-25 엘지이노텍 주식회사 Solar cell
US9685371B2 (en) 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (en) 2013-09-30 2017-07-19 Hoya株式会社 Mask blank manufacturing method and transfer mask manufacturing method
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
TWI523222B (en) 2013-10-14 2016-02-21 國立交通大學 Gan-containing semiconductor structure
JP6129712B2 (en) 2013-10-24 2017-05-17 信越化学工業株式会社 Superheated steam treatment equipment
JP6254823B2 (en) 2013-11-01 2017-12-27 Jx金属株式会社 Nickel silicide sputtering target and manufacturing method thereof
KR20150062545A (en) 2013-11-29 2015-06-08 삼성전기주식회사 Bake Apparatus
JP6221710B2 (en) 2013-12-10 2017-11-01 住友電気工業株式会社 Manufacturing method of semiconductor device
JP6688221B2 (en) 2013-12-22 2020-04-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Glass-ceramics for ultraviolet lithography and method for producing the same
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (en) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 Display device, array base palte and preparation method thereof
US9338834B2 (en) 2014-01-17 2016-05-10 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for microwave-radiation annealing
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (en) 2014-04-23 2015-11-25 주식회사 풍산 Method of forming spin on glass type insulation layer using high pressure annealing
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (en) 2014-06-12 2015-10-22 연세대학교 산학협력단 Method for post-treating oxide thin film, and method for fabricating semiconductor device using the same
CN104047676A (en) 2014-06-14 2014-09-17 马根昌 Improved opposite impact silencer
JP6399417B2 (en) 2014-06-16 2018-10-03 インテル・コーポレーション Metal interconnect seam repair
CN104089491B (en) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 The waste heat recycling system of annealing furnace
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
CN106688080A (en) 2014-09-08 2017-05-17 三菱电机株式会社 Semiconductor annealing apparatus
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016111833A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (en) 2015-01-22 2016-11-01 應用材料股份有限公司 Batch heating and cooling chamber or loadlock
KR20240042186A (en) 2015-02-06 2024-04-01 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for carbon doped silicon containing films
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6826044B2 (en) 2015-04-20 2021-02-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Buffer chamber wafer heating mechanism and support robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI826223B (en) 2015-05-11 2023-12-11 美商應用材料股份有限公司 Horizontal gate all around and finfet device isolation
KR101681190B1 (en) 2015-05-15 2016-12-02 세메스 주식회사 method and Apparatus for Processing Substrate
CN106159038B (en) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 Hexagonal phase epitaxial cadmium sulfide on copper indium gallium selenide for photovoltaic junction
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
JP6802191B2 (en) 2015-06-05 2020-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Positioning and rotating device of susceptor, and method of use
US9711449B2 (en) 2015-06-05 2017-07-18 Tokyo Electron Limited Ruthenium metal feature fill for interconnects
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
FR3042400A1 (en) 2015-10-15 2017-04-21 Essilor Int DEVICE FOR TESTING THE VISUAL BEHAVIOR OF AN INDIVIDUAL AND METHOD FOR DETERMINING AT LEAST ONE OPTICAL DESIGN PARAMETER OF AN OPHTHALMIC LENS USING SUCH A DEVICE
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (en) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 Method for fabricating nanowires for horizontal gate all-around devices for semiconductor applications
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (en) 2016-03-02 2017-11-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
KR20230043237A (en) 2016-03-11 2023-03-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Composite and transistor
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (en) 2016-06-14 2019-12-21 美商應用材料股份有限公司 Oxidative volumetric expansion of metals and metal containing compounds
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
KR20190047139A (en) 2016-09-30 2019-05-07 어플라이드 머티어리얼스, 인코포레이티드 Methods of forming self-aligned vias
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (en) 2016-12-22 2023-09-25 삼성전자주식회사 Semiconductor devices
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
TWI809712B (en) 2017-01-24 2023-07-21 美商應用材料股份有限公司 Method of forming cobalt layer on substrate
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP7171604B2 (en) 2017-03-31 2022-11-15 アプライド マテリアルズ インコーポレイテッド A Two-Step Process for Gapfilling High Aspect Ratio Trench with Amorphous Silicon Films
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR20230146121A (en) 2017-04-21 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 Improved electrode assembly
TW201842590A (en) 2017-05-01 2018-12-01 美商應用材料股份有限公司 High pressure anneal chamber with vacuum isolation and pre-processing environment
KR20190138315A (en) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 Integrated substrate temperature measurement on high temperature ceramic heaters
US10861681B2 (en) 2017-05-19 2020-12-08 Applied Materials, Inc. Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110637353A (en) 2017-06-02 2019-12-31 应用材料公司 Quality improvement of films deposited on substrates
JP7190450B2 (en) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド Dry stripping of boron carbide hardmask
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (en) 2017-11-11 2024-04-26 微材料有限责任公司 Gas delivery system for high pressure processing chamber
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
CN111699549A (en) 2018-01-24 2020-09-22 应用材料公司 Seam closure using high pressure annealing
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
WO2019164636A1 (en) 2018-02-22 2019-08-29 Applied Materials, Inc. Method for processing a mask substrate to enable better film quality
CN111902929A (en) 2018-03-09 2020-11-06 应用材料公司 High pressure annealing process for metal-containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005530343A (en) 2002-06-13 2005-10-06 ビーオーシー・エドワーズ・インコーポレーテッド Substrate processing apparatus and related system and method
JP2009521594A (en) 2005-11-18 2009-06-04 東京エレクトロン株式会社 Method and system for performing plasma atomic layer deposition
US20180330980A1 (en) 2017-05-13 2018-11-15 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
WO2018217967A1 (en) 2017-05-25 2018-11-29 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2019013920A1 (en) 2017-07-14 2019-01-17 Micromaterials Llc Gas delivery system for high pressure processing chamber

Also Published As

Publication number Publication date
CN115104176A (en) 2022-09-23
TW202139389A (en) 2021-10-16
WO2021167754A1 (en) 2021-08-26
KR20220143082A (en) 2022-10-24
US20210257252A1 (en) 2021-08-19
JP2023513796A (en) 2023-04-03
US20240128121A1 (en) 2024-04-18
US11901222B2 (en) 2024-02-13

Similar Documents

Publication Publication Date Title
CN110678959B (en) High pressure treatment of silicon nitride films
CN110226214B (en) Method and apparatus for selective deposition of dielectric films
TWI648791B (en) Etching method
KR101678266B1 (en) Device for producing and method for producing semiconductor device
JP2012216631A (en) Plasma nitriding method
KR20040073520A (en) Methods for silicon oxide and oxynitride deposition using single wafer low pressure cvd
CN110581067A (en) Etching method and etching apparatus
TWI803479B (en) Selective etch of metal nitride films
JPWO2009099252A1 (en) Method for plasma modification treatment of insulating film
KR101882531B1 (en) Substrate processing method and substrate processing device
WO2006137287A1 (en) Method for fabricating semiconductor device and equipment for processing substrate
CN108701599B (en) Substrate processing method
US20240128121A1 (en) Multi-step process for flowable gap-fill film
WO2021118815A1 (en) Oxygen radical assisted dielectric film densification
KR101725711B1 (en) Etching method, and recording medium
KR20110126048A (en) Film formation method and film formation apparatus
US8994089B2 (en) Interlayer polysilicon dielectric cap and method of forming thereof
JP5286565B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US20130087099A1 (en) In-Situ Hydroxylation Apparatus
TWI839600B (en) Low temperature steam free oxide gapfill
JP7209567B2 (en) Etching method and etching apparatus
WO2022102421A1 (en) Etching method and etching apparatus
TW202140837A (en) Low temperature steam free oxide gapfill

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230725

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240206

R150 Certificate of patent or registration of utility model

Ref document number: 7433457

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150