JP7353200B2 - Film forming method - Google Patents

Film forming method Download PDF

Info

Publication number
JP7353200B2
JP7353200B2 JP2020019137A JP2020019137A JP7353200B2 JP 7353200 B2 JP7353200 B2 JP 7353200B2 JP 2020019137 A JP2020019137 A JP 2020019137A JP 2020019137 A JP2020019137 A JP 2020019137A JP 7353200 B2 JP7353200 B2 JP 7353200B2
Authority
JP
Japan
Prior art keywords
film
gas
metal
forming method
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020019137A
Other languages
Japanese (ja)
Other versions
JP2021125607A (en
Inventor
秀司 東雲
進一 池
有美子 河野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2020019137A priority Critical patent/JP7353200B2/en
Priority to KR1020210009884A priority patent/KR102571409B1/en
Priority to US17/166,657 priority patent/US11598001B2/en
Publication of JP2021125607A publication Critical patent/JP2021125607A/en
Application granted granted Critical
Publication of JP7353200B2 publication Critical patent/JP7353200B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Description

本開示は、成膜方法に関する。 The present disclosure relates to a film forming method.

特許文献1には、ゲート誘電体層の上に自己組織化単分子層で構成される阻止層を形成してから、ゲート誘電体層の上に原子層堆積(ALD)法で第1金属層を堆積させると、第1金属層は阻止層のある領域内のゲート誘電体層の上には形成されず、阻止層がない領域内に選択的に成膜される技術が開示されている。 Patent Document 1 discloses that a blocking layer composed of a self-assembled monolayer is formed on a gate dielectric layer, and then a first metal layer is formed on the gate dielectric layer by an atomic layer deposition (ALD) method. When depositing a first metal layer, the first metal layer is not formed over the gate dielectric layer in areas where there is a blocking layer, but is selectively deposited in areas where there is no blocking layer.

特表2007-533156号公報Special Publication No. 2007-533156

本開示は、自己組織化膜を利用して所望の領域に金属酸化膜を選択的に形成する際の選択性を強化できる技術を提供する。 The present disclosure provides a technique that can enhance selectivity when selectively forming a metal oxide film in a desired region using a self-assembled film.

本開示の一の態様によれば、第1領域の表面に形成された第1の金属製の金属層と、第2領域の表面に形成された絶縁層とを有する基板を準備する工程と、自己組織化膜の原料ガスを供給し、前記金属層の表面に自己組織化膜を形成する工程と、前記自己組織化膜を形成した後に、第2の金属を含有する前駆体ガスの供給と、酸化ガスの供給とを繰り返し、原子層堆積法により前記絶縁層の上に第2の金属の酸化膜を形成する工程と、前記酸化ガスの供給の後で前記前駆体ガスの供給の前に、還元ガスを供給して前記第1の金属の表面に形成された前記第1の金属の酸化膜を還元する工程とを含む、成膜方法が提供される。 According to one aspect of the present disclosure, a step of preparing a substrate having a metal layer made of a first metal formed on a surface of a first region and an insulating layer formed on a surface of a second region; a step of supplying a raw material gas for a self-assembled film to form a self-assembled film on the surface of the metal layer; and after forming the self-assembled film, supplying a precursor gas containing a second metal. , supplying an oxidizing gas, and forming a second metal oxide film on the insulating layer by atomic layer deposition, after supplying the oxidizing gas and before supplying the precursor gas. There is provided a film forming method, comprising: supplying a reducing gas to reduce an oxide film of the first metal formed on a surface of the first metal.

一の側面によれば、自己組織化膜を利用して所望の領域に金属酸化膜を選択的に形成する際の選択性を強化できる。 According to one aspect, selectivity can be enhanced when a metal oxide film is selectively formed in a desired region using a self-assembled film.

実施形態に係る成膜方法の一例を示すフローチャートである。1 is a flowchart illustrating an example of a film forming method according to an embodiment. 図1に示す各工程での基板の状態の一例を示す断面図である。2 is a cross-sectional view showing an example of the state of a substrate in each step shown in FIG. 1. FIG. 図1に示す各工程での基板の状態の一例を示す断面図である。2 is a cross-sectional view showing an example of the state of a substrate in each step shown in FIG. 1. FIG. 一実施形態に係る成膜方法を実施するための成膜システムの一例を示す模式図である。FIG. 1 is a schematic diagram showing an example of a film forming system for implementing a film forming method according to an embodiment. 成膜装置およびSAM形成装置として用いることができる処理装置の一例を示す断面図である。1 is a cross-sectional view showing an example of a processing device that can be used as a film forming device and a SAM forming device.

以下、本開示を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く場合がある。以下では図中における上下の方向又は関係を用いて説明するが、普遍的な上下の方向又は関係を表すものではない。 Hereinafter, embodiments for implementing the present disclosure will be described with reference to the drawings. Note that in this specification and the drawings, substantially the same configurations may be given the same reference numerals to omit redundant explanations. The following explanation will be made using the vertical direction or relationship in the drawings, but this does not represent a universal vertical direction or relationship.

<実施形態>
図1は、実施形態に係る成膜方法の一例を示すフローチャートである。図2及び図3は、図1に示す各工程での基板の状態の一例を示す断面図である。図2(A)~図2(E)は、それぞれ、図1に示す工程S101~S105に対応する基板10の状態を示す。図3(A)~図3(D)は、図1に示す工程S104A~S104Cに対応する基板10の状態を示し、図2(C)に示す基板10から図2(D)に示す基板10への状態の変化の詳細を示している。
<Embodiment>
FIG. 1 is a flowchart illustrating an example of a film forming method according to an embodiment. 2 and 3 are cross-sectional views showing an example of the state of the substrate in each step shown in FIG. 1. 2(A) to 2(E) show states of the substrate 10 corresponding to steps S101 to S105 shown in FIG. 1, respectively. 3(A) to 3(D) show the states of the substrate 10 corresponding to steps S104A to S104C shown in FIG. 1, and the substrate 10 shown in FIG. 2(C) to the substrate 10 shown in FIG. shows the details of the state change.

成膜方法は、図2(A)に示すように基板10を準備する工程S101を含む。準備することは、例えば、成膜装置の処理容器(チャンバ)の内部に基板10を搬入することを含む。基板10は、導電膜11、自然酸化膜11A、絶縁膜12、及び下地基板15を含む。 The film forming method includes step S101 of preparing the substrate 10 as shown in FIG. 2(A). Preparing includes, for example, carrying the substrate 10 into a processing container (chamber) of a film forming apparatus. The substrate 10 includes a conductive film 11, a natural oxide film 11A, an insulating film 12, and a base substrate 15.

導電膜11及び絶縁膜12は、下地基板15の一方の面(図2(A)における上面)に設けられており、導電膜11の一方の面(図2(A)における上面)には自然酸化膜11Aが設けられている。図2(A)では、基板10の表面に自然酸化膜11A及び絶縁膜12が露出している。 The conductive film 11 and the insulating film 12 are provided on one surface of the base substrate 15 (the upper surface in FIG. 2(A)), and the conductive film 11 is provided with a natural surface on one surface (the upper surface in FIG. 2(A)). An oxide film 11A is provided. In FIG. 2A, the native oxide film 11A and the insulating film 12 are exposed on the surface of the substrate 10.

基板10は、第1領域A1及び第2領域A2を有する。ここでは、一例として、第1領域A1及び第2領域A2は平面視で隣り合っている。導電膜11は第1領域A1内で下地基板15の上面側に設けられ、絶縁膜12は第2領域A2内で下地基板15の上面側に設けられる。自然酸化膜11Aは、第1領域A1内で導電膜11の上面に設けられる。 The substrate 10 has a first area A1 and a second area A2. Here, as an example, the first area A1 and the second area A2 are adjacent to each other in plan view. The conductive film 11 is provided on the upper surface side of the base substrate 15 within the first region A1, and the insulating film 12 is provided on the upper surface side of the base substrate 15 within the second region A2. The natural oxide film 11A is provided on the upper surface of the conductive film 11 within the first region A1.

第1領域A1の数は、図2(A)では1つであるが、複数でもよい。例えば2つの第1領域A1が第2領域A2を挟むように配置されてもよい。同様に、第2領域A2の数は、図2(A)では1つであるが、複数でもよい。例えば2つの第2領域A2が第1領域A1を挟むように配置されてもよい。 Although the number of first areas A1 is one in FIG. 2(A), it may be plural. For example, two first areas A1 may be arranged with a second area A2 in between. Similarly, although the number of second regions A2 is one in FIG. 2(A), it may be plural. For example, two second areas A2 may be arranged to sandwich the first area A1.

なお、図2(A)では第1領域A1及び第2領域A2のみが存在するが、第3領域がさらに存在してもよい。第3領域は、第1領域A1の導電膜11及び第2領域A2の絶縁膜12とは異なる材料の層が露出する領域である。第3領域は、第1領域A1と第2領域A2との間に配置されてもよいし、第1領域A1及び第2領域A2の外に配置されてもよい。 Note that although only the first area A1 and the second area A2 exist in FIG. 2(A), a third area may further exist. The third region is a region where a layer made of a different material from the conductive film 11 in the first region A1 and the insulating film 12 in the second region A2 is exposed. The third area may be placed between the first area A1 and the second area A2, or may be placed outside the first area A1 and the second area A2.

導電膜11は、第1の金属製の金属層の一例である。第1の金属は、例えば銅(Cu)、コバルト(Co)、タングステン(W)、又はルテニウム(Ru)等の金属である。これらの金属の表面は、大気中で時間の経過と共に自然に酸化される。その酸化物が自然酸化膜11Aである。自然酸化膜11Aは、還元処理によって除去可能である。 The conductive film 11 is an example of a metal layer made of a first metal. The first metal is, for example, a metal such as copper (Cu), cobalt (Co), tungsten (W), or ruthenium (Ru). The surfaces of these metals naturally oxidize over time in the atmosphere. The oxide is the natural oxide film 11A. The natural oxide film 11A can be removed by reduction treatment.

ここでは、一例として、導電膜11が銅(Cu)であり、自然酸化膜11Aが自然酸化によって形成された酸化銅である形態について説明する。自然酸化膜11Aとしての酸化銅は、CuOとCuOを含み得る。 Here, as an example, a mode will be described in which the conductive film 11 is made of copper (Cu) and the natural oxide film 11A is copper oxide formed by natural oxidation. Copper oxide as the natural oxide film 11A may contain CuO and Cu 2 O.

絶縁膜12は、絶縁層の一例である。絶縁層は、例えばケイ素(Si)を含む絶縁材料であり、例えば酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、又は酸炭窒化ケイ素等である。以下、酸化ケイ素を、酸素とケイ素との組成比に関係なくSiOとも表記する。同様に、窒化ケイ素をSiNとも表記し、酸窒化ケイ素をSiONとも表記し、炭化ケイ素をSiCとも表記し、酸炭化ケイ素をSiOCとも表記し、酸炭窒化ケイ素をSiOCNとも表記する。絶縁層は、本実施形態ではSiOである。 The insulating film 12 is an example of an insulating layer. The insulating layer is, for example, an insulating material containing silicon (Si), such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon oxycarbonide, or silicon oxycarbonitride. Hereinafter, silicon oxide will also be referred to as SiO, regardless of the composition ratio of oxygen and silicon. Similarly, silicon nitride is also written as SiN, silicon oxynitride is also written as SiON, silicon carbide is also written as SiC, silicon oxycarbide is also written as SiOC, and silicon oxycarbonitride is also written as SiOCN. The insulating layer is SiO in this embodiment.

下地基板15は、例えばシリコンウェハ等の半導体基板である。基板10は、下地基板15と導電膜11との間に、下地基板15及び導電膜11とは異なる材料で形成される下地膜をさらに含んでいてもよい。同様に、基板10は、下地基板15と絶縁膜12との間に、下地基板15及び絶縁膜12とは異なる材料で形成される下地膜をさらに有していてもよい。 The base substrate 15 is, for example, a semiconductor substrate such as a silicon wafer. The substrate 10 may further include a base film formed of a material different from the base substrate 15 and the conductive film 11 between the base substrate 15 and the conductive film 11. Similarly, the substrate 10 may further include a base film formed of a material different from the base substrate 15 and the insulating film 12 between the base substrate 15 and the insulating film 12.

このような下地膜は、例えば、SiN層等であってもよい。SiN層等は、例えば、エッチングをストップさせるエッチストップレイヤであってもよい。 Such a base film may be, for example, a SiN layer. The SiN layer or the like may be, for example, an etch stop layer that stops etching.

成膜方法は、自然酸化膜11A(図2(A)参照)を還元することにより、図2(B)に示す基板10を作製する工程S102を含む。自然酸化膜11Aを還元するには、例えば、成膜装置の処理容器における水素(H)及びアルゴン(Ar)の流量をそれぞれ100sccm及び2500sccmに設定して処理容器内の圧力を1torr~10torr(133.32Pa~1333.22Pa)に設定する。そして、水素が処理容器内の雰囲気ガスの0.5%未満になる水素雰囲気下において、基板10が150℃~200℃になるようにサセプタを加熱する。 The film forming method includes step S102 of manufacturing the substrate 10 shown in FIG. 2(B) by reducing the natural oxide film 11A (see FIG. 2(A)). To reduce the natural oxide film 11A, for example, the flow rates of hydrogen (H 2 ) and argon (Ar) in the processing container of the film forming apparatus are set to 100 sccm and 2500 sccm, respectively, and the pressure in the processing container is adjusted to 1 torr to 10 torr ( 133.32Pa to 1333.22Pa). Then, in a hydrogen atmosphere in which hydrogen is less than 0.5% of the atmospheric gas in the processing container, the susceptor is heated so that the temperature of the substrate 10 is 150° C. to 200° C.

工程S102により、自然酸化膜11Aとしての酸化銅はCuに還元されて除去される。この結果、図2(B)に示すように、導電膜11、絶縁膜12、及び下地基板15を含む基板10が得られる。基板10の第1領域A1の表面には、導電膜11としてのCuが露出している。なお、自然酸化膜11Aの還元処理は、水素(H)プラズマを利用した還元処理であってもよい。また、自然酸化膜11Aの還元処理は、ドライプロセスに限らず、例えば、イソプロピルアルコール(IPA)等のアルコールを用いたウェットプロセスであってもよい。また、自然酸化膜11Aの還元処理は、酸素を含む有機分子を用いたプロセスであってもよい。また、自然酸化膜11Aの還元処理は、FGA(Forming Gas Anneal)のような熱処理であってもよい。FGAは、例えば、基板10を約300℃~450℃程度に加熱した状態で、処理容器に微量の水素を混合した窒素ガスを流すことで自然酸化膜11Aの還元処理を行う熱処理である。 In step S102, the copper oxide as the natural oxide film 11A is reduced to Cu and removed. As a result, as shown in FIG. 2(B), a substrate 10 including a conductive film 11, an insulating film 12, and a base substrate 15 is obtained. On the surface of the first region A1 of the substrate 10, Cu as the conductive film 11 is exposed. Note that the reduction treatment of the natural oxide film 11A may be a reduction treatment using hydrogen (H 2 ) plasma. Further, the reduction treatment of the natural oxide film 11A is not limited to a dry process, but may be a wet process using alcohol such as isopropyl alcohol (IPA), for example. Further, the reduction treatment of the natural oxide film 11A may be a process using organic molecules containing oxygen. Further, the reduction treatment of the natural oxide film 11A may be a heat treatment such as FGA (Forming Gas Anneal). FGA is a heat treatment in which, for example, the substrate 10 is heated to approximately 300° C. to 450° C. and nitrogen gas mixed with a trace amount of hydrogen is flowed into the processing container to reduce the natural oxide film 11A.

成膜方法は、図2(C)に示すように、SAM(自己組織化単分子膜(Self-Assembled Monolayer)13を形成する工程S103を含む。SAM13は、基板10の第1領域A1に形成され、後述するAlO膜14(図2(D)参照)の形成を阻害する。SAM13は、第2領域A2には形成されない。SAM13は、自己組織化膜の一例である。 The film forming method includes a step S103 of forming a SAM (Self-Assembled Monolayer) 13, as shown in FIG. 2(C). The SAM 13 is not formed in the second region A2. The SAM 13 is an example of a self-organized film.

SAM13を形成するための有機化合物は、チオール系であれば、フルオロカーボン系(CFx)あるいはアルキル系(CHx)のいずれの官能基を有していてもよく、例えば、CH3(CH2)[x]CH2SH [x=1~18]、CF3(CF2)[x]CH2CH2SH [x=0~18]でよい。また、フルオロカーボン系(CFx)には、フルオロベンゼンチオールも含まれる。 The organic compound for forming SAM13 may have any fluorocarbon (CFx) or alkyl (CHx) functional group as long as it is thiol-based; for example, CH3(CH2)[x]CH2SH [x=1~18], CF3(CF2)[x]CH2CH2SH [x=0~18] is sufficient. Fluorocarbons (CFx) also include fluorobenzenethiol.

例えば、ガス状態のチオール系の有機化合物及びアルゴン(Ar)の流量をそれぞれ100sccm及び1500sccmに設定して成膜装置の処理容器内の圧力を1torr~10torr(133.32Pa~1333.22Pa)に設定し、基板10が150℃~200℃になるようにサセプタを加熱する。 For example, the flow rates of a gaseous thiol-based organic compound and argon (Ar) are set to 100 sccm and 1500 sccm, respectively, and the pressure in the processing container of the film forming apparatus is set to 1 torr to 10 torr (133.32 Pa to 1333.22 Pa). Then, the susceptor is heated so that the temperature of the substrate 10 is 150° C. to 200° C.

上述のようなチオール系の有機化合物は、金属酸化物との電子の授受が発生しやすい化合物である。よって、SAM13は、導電膜11の表面に吸着し、電子の授受が発生し難い絶縁膜12の表面には吸着し難い性質を有する。このため、導電膜11の表面にSAM13が選択的に形成される。 The above-mentioned thiol-based organic compounds are compounds that easily exchange electrons with metal oxides. Therefore, the SAM 13 has a property of adsorbing to the surface of the conductive film 11 and not adsorbing to the surface of the insulating film 12 where electron transfer is difficult to occur. Therefore, the SAM 13 is selectively formed on the surface of the conductive film 11.

工程S103により、導電膜11の表面にSAM13が形成され、図2(C)に示すように、第1領域A1に導電膜11及びSAM13、第2領域A2に絶縁膜12が形成された基板10が得られる。図2(C)では、基板10の表面にSAM13及び絶縁膜12が露出している。工程S103は、SAM13を形成するためのチオール系の有機化合物の選択性を利用している。 In step S103, the SAM 13 is formed on the surface of the conductive film 11, and as shown in FIG. 2(C), the substrate 10 is formed with the conductive film 11 and the SAM 13 in the first region A1, and the insulating film 12 in the second region A2. is obtained. In FIG. 2C, the SAM 13 and the insulating film 12 are exposed on the surface of the substrate 10. Step S103 utilizes the selectivity of thiol-based organic compounds to form SAM13.

成膜方法は、図2(C)に示す基板10の表面に、図2(D)に示すように、ALD(Atomic Layer Deposition:原子層堆積)法で、SAM13を用いて選択的に第2領域A2の絶縁膜12の表面に第2の金属の酸化膜としてAlO膜14を成膜する工程S104を含む。SAM13はAlO膜14の形成を阻害するので、AlO膜14は第2領域A2に選択的に形成される。第2領域A2に元々存在する絶縁膜12の上に、さらに絶縁膜であるAlO膜14を選択的に積層できる。なお、第1領域A1及び第2領域A2に加えて第3領域が存在する場合、第3領域にはAlO膜14が形成されてもよいし、形成されなくてもよい。 As shown in FIG. 2(D), a second film is selectively deposited on the surface of the substrate 10 shown in FIG. The step S104 includes forming an AlO film 14 as a second metal oxide film on the surface of the insulating film 12 in the region A2. Since the SAM 13 inhibits the formation of the AlO film 14, the AlO film 14 is selectively formed in the second region A2. An AlO film 14, which is an insulating film, can be further selectively laminated on the insulating film 12 originally existing in the second region A2. Note that when a third region exists in addition to the first region A1 and the second region A2, the AlO film 14 may or may not be formed in the third region.

AlO膜14は、酸化アルミニウムAl(アルミナ)以外の組成の酸化されたアルミニウムを含みうる。すなわち、ここでは、アルミニウムと酸素の組成比に関係なくAlOとも表記する。このようなAlO膜14を成膜する工程の詳細については、図3を用いて後述する。 The AlO film 14 may include oxidized aluminum having a composition other than aluminum oxide Al 2 O 3 (alumina). That is, herein, it is also expressed as AlO regardless of the composition ratio of aluminum and oxygen. Details of the process of forming such an AlO film 14 will be described later using FIG. 3.

成膜方法は、図2(E)に示すように、SAM13(図2(D)参照)を除去する工程S105を含む。SAM13の除去は、例えば、プラズマを用いた処理等で行えばよい。SAM13を除去するための処理で用いるプラズマの生成機構は、例えば、容量結合プラズマ(Capacitively Coupled Plasma: CCP)、誘導性結合型プラズマ (Inductively Coupled Plasma: ICP)、マイクロ波プラズマ(Microwave Plasma:MWP)等であり、ラジカルを生成可能なプラズマの生成機構であればよい。また、プラズマ生成機構を処理容器に一体的に組み込んでもよいし、プラズマ生成機構を処理容器とは別体で設け、プラズマ生成ガスを処理容器の外で予めプラズマ化して処理容器に導入するリモートプラズマ装置を用いてもよい。 The film forming method includes a step S105 of removing the SAM 13 (see FIG. 2(D)), as shown in FIG. 2(E). The SAM 13 may be removed by, for example, treatment using plasma. Examples of plasma generation mechanisms used in the process for removing SAM13 include capacitively coupled plasma (CCP), inductively coupled plasma (ICP), and microwave plasma (MWP). etc., and any plasma generation mechanism capable of generating radicals may be used. In addition, the plasma generation mechanism may be integrated into the processing container, or the plasma generation mechanism may be provided separately from the processing container, and the plasma generation gas may be converted into plasma outside the processing container before being introduced into the processing container. A device may also be used.

次に、AlO膜14を成膜する工程S104の詳細について説明する。 Next, details of step S104 of forming the AlO film 14 will be explained.

ALD法でAlO膜14を成膜する工程は、まず、図3(A)に示すように、TMA(トリメチルアルミニウム)の原料ガスを供給することにより、図2(C)に示す基板10の第2領域A2にTMA膜14Aを吸着させる工程S104Aを含む。工程S104Aを最初に行うときは、図3(A)に示すように第2領域A2の絶縁膜12の表面にTMA膜14Aを吸着させることになる。 In the step of forming the AlO film 14 by the ALD method, first, as shown in FIG. 3(A), a source gas of TMA (trimethylaluminum) is supplied to form the AlO film 14 on the substrate 10 shown in FIG. 2(C). This step includes a step S104A of adsorbing the TMA film 14A to the second area A2. When step S104A is performed first, the TMA film 14A is adsorbed onto the surface of the insulating film 12 in the second region A2, as shown in FIG. 3(A).

TMAは、有機アルミニウム化合物であり、AlO膜14を作製するための前駆体(プリカーサ)である。すなわち、TMAの原料ガスは、前駆体ガスである。TMAの原料ガスは、ヒドロキシ基(OH基)に吸着する性質を有する。 TMA is an organic aluminum compound and is a precursor for producing the AlO film 14. That is, the raw material gas for TMA is a precursor gas. The raw material gas for TMA has the property of adsorbing on hydroxyl groups (OH groups).

ここで、絶縁膜12のSiOの表面にはヒドロキシ基が存在する。また、SAM13は、分子間のファンデルワールス力により高い配向性を示し、膜の表面に対して斜め方向に配向する傾向があり、分子間に隙間がある。このような隙間にTMAの原料ガスが入り込むおそれがあるが、導電膜11の表面は、図2(B)に示す工程で還元されているため、導電膜11の表面にはヒドロキシ基は存在しない。 Here, hydroxy groups exist on the surface of SiO of the insulating film 12. Further, SAM13 exhibits high orientation due to intermolecular van der Waals forces, tends to be oriented obliquely to the surface of the film, and has gaps between molecules. There is a possibility that the raw material gas of TMA may enter into such a gap, but since the surface of the conductive film 11 has been reduced in the process shown in FIG. 2(B), there are no hydroxyl groups on the surface of the conductive film 11. .

このため、TMAの原料ガスは、絶縁膜12のSiOの表面にあるヒドロキシ基に選択的に吸着することになる。なお、TMAの原料ガスを供給する工程は、一例として、TMAの原料ガスの流量を20sccm~200sccmに設定して成膜装置の処理容器内の圧力を0.1torr~10torr(13.332Pa~1333.22Pa)に設定する。また、基板10が100℃~200℃になるようにサセプタを加熱する。 Therefore, the source gas of TMA is selectively adsorbed to the hydroxy groups on the surface of SiO of the insulating film 12. In addition, in the step of supplying the TMA raw material gas, for example, the flow rate of the TMA raw material gas is set to 20 sccm to 200 sccm, and the pressure in the processing container of the film forming apparatus is set to 0.1 torr to 10 torr (13.332 Pa to 1333 torr). .22Pa). Further, the susceptor is heated so that the temperature of the substrate 10 is 100° C. to 200° C.

ALD法でAlO膜14を成膜する工程は、リアクタント(反応物質(ここでは酸化剤))としてHO(水蒸気)を処理容器内に流してTMA膜14Aを酸化させて、図3(B)に示すように、AlO膜14Bを作製する工程S104Bを含む。HO(水蒸気)は、酸化ガスの一例である。なお、酸化剤は、酸素(O)、オゾン(O)、過酸化水素(H)等のガスであってもよく、リモートプラズマとして供給してもよい。 In the step of forming the AlO film 14 using the ALD method, H 2 O (steam) is flowed as a reactant (reactant (here, oxidizing agent)) into the processing container to oxidize the TMA film 14A, as shown in FIG. 3(B). ), it includes step S104B of manufacturing the AlO film 14B. H 2 O (water vapor) is an example of an oxidizing gas. Note that the oxidizing agent may be a gas such as oxygen (O 2 ), ozone (O 3 ), or hydrogen peroxide (H 2 O 2 ), and may be supplied as remote plasma.

TMA膜14Aを酸化させてAlO膜14Bを作製する工程は、一例として、HOの流量を50sccm~300sccmに設定して成膜装置の処理容器内の圧力を0.1torr~10torr(13.332Pa~1333.22Pa)に設定して行えばよい。 In the step of oxidizing the TMA film 14A to produce the AlO film 14B, for example, the flow rate of H 2 O is set to 50 sccm to 300 sccm, and the pressure in the processing chamber of the film forming apparatus is set to 0.1 torr to 10 torr (13. 332 Pa to 1333.22 Pa).

工程S104A及びS104Bを一度ずつ行った場合には、例えば、膜厚が約0.1μmのAlO膜14Bが得られる。このため、工程S104A及びS104Bを繰り返し行うことにより、一例として、膜厚が1μm~10μm程度のAlO膜14(図2(D)参照)を得ることができる。なお、工程S104A及びS104Bを繰り返すときには、工程S104Aと工程S104Bとの間で処理容器をパージすればよい。 If steps S104A and S104B are performed once each, an AlO film 14B having a thickness of about 0.1 μm is obtained, for example. Therefore, by repeating steps S104A and S104B, for example, an AlO film 14 having a thickness of about 1 μm to 10 μm (see FIG. 2(D)) can be obtained. Note that when repeating steps S104A and S104B, the processing container may be purged between steps S104A and S104B.

工程S104A及びS104Bを一度ずつ行って膜厚が0.1μmのAlO膜14Bが得られる場合に、膜厚が1μmのAlO膜14を得るには、工程S104A及びS104Bを10回繰り返せばよい。また、この場合に、膜厚が10μmのAlO膜14を得るには、工程S104A及びS104Bを100回繰り返せばよい。工程S104A及びS104Bの繰り返し回数は、AlO膜14の所望の膜厚に応じて設定すればよい。 When steps S104A and S104B are performed once to obtain an AlO film 14B having a thickness of 0.1 μm, steps S104A and S104B may be repeated 10 times to obtain an AlO film 14 having a thickness of 1 μm. Further, in this case, in order to obtain the AlO film 14 having a film thickness of 10 μm, steps S104A and S104B may be repeated 100 times. The number of repetitions of steps S104A and S104B may be set depending on the desired thickness of the AlO film 14.

また、工程S104BにおいてHO(水蒸気)を処理容器内に流してTMA膜14Aを酸化させてAlO膜14Bを作製するときには、図3(B)に示すように、導電膜11の表面に、酸化膜11Bが形成される場合がある。すなわち、SAM13の分子間の隙間を通ってHO(水蒸気)が導電膜11(Cu膜)の表面に到達し、導電膜11の表面にCuOで構成される酸化膜11Bが生じる場合がある。 Moreover, when H 2 O (water vapor) is flowed into the processing container to oxidize the TMA film 14A to produce the AlO film 14B in step S104B, as shown in FIG. 3(B), on the surface of the conductive film 11, An oxide film 11B may be formed. That is, H 2 O (water vapor) may reach the surface of the conductive film 11 (Cu film) through the gaps between the molecules of the SAM 13, and an oxide film 11B made of CuO may be formed on the surface of the conductive film 11. .

このような酸化膜11Bの表面には、ヒドロキシ基が存在するため、工程S104Bで導電膜11の表面に酸化膜11Bが形成された状態で、工程S104Aを行うと、TMAの原料ガスが絶縁膜12及び酸化膜11Bの表面に吸着する。このような場合には、工程S104Aにおいて絶縁膜12の表面にTMA膜14Aを選択的に吸着させることができない。 Since hydroxy groups exist on the surface of such an oxide film 11B, if step S104A is performed with the oxide film 11B formed on the surface of the conductive film 11 in step S104B, the source gas of TMA will be transferred to the insulating film. 12 and the surface of the oxide film 11B. In such a case, the TMA film 14A cannot be selectively adsorbed onto the surface of the insulating film 12 in step S104A.

そこで、ALD法でAlO膜14を成膜する工程は、工程S104Bの後で、図3(C)に示すように、処理容器内に還元ガスとしてIPA(イソプロピルアルコール)ガスを流し、酸化膜11B(CuO)をCuに還元する工程S104Cを含む。IPAガスがSAM13の分子間の隙間を通って導電膜11の表面の酸化膜11Bに到達するので、酸化膜11Bを還元することができる。工程S104Cの後に工程S104Aを行えば、基板10の第2領域A2の表面にTMA膜14Aを選択的に吸着させることができる。 Therefore, in the step of forming the AlO film 14 by the ALD method, after step S104B, as shown in FIG. It includes step S104C of reducing (CuO) to Cu. Since the IPA gas passes through the gaps between molecules of the SAM 13 and reaches the oxide film 11B on the surface of the conductive film 11, the oxide film 11B can be reduced. If step S104A is performed after step S104C, the TMA film 14A can be selectively adsorbed onto the surface of the second region A2 of the substrate 10.

工程S104Cでは、IPAガスの流量を20sccm~200sccmに設定して成膜装置の処理容器内の圧力を0.1torr~10torr(13.332Pa~1333.22Pa)に設定すればよい。 In step S104C, the flow rate of the IPA gas may be set to 20 sccm to 200 sccm, and the pressure within the processing container of the film forming apparatus may be set to 0.1 torr to 10 torr (13.332 Pa to 1333.22 Pa).

なお、図3(C)に示す工程S104Cでは、AlO膜14Bは殆ど還元されないため、工程S104Cを終えた後に、AlO膜14Bは残存する。 Note that in step S104C shown in FIG. 3C, the AlO film 14B is hardly reduced, so the AlO film 14B remains after completing step S104C.

このように工程S104Cで導電膜11の表面を還元すれば、その後に工程S104Aを再び行って処理容器にTMAの原料ガスを供給しても、導電膜11の表面にはTMAは吸着せず、図3(D)に示すように、AlO膜14Bの上に、TMA膜14Cを選択的に吸着させることができる。なお、工程S104Cで導電膜11の表面を還元する際に、還元ガスとしてIPAガスの変わりに水素(H)ガスを供給することで導電膜11の表面を還元してもよい。 If the surface of the conductive film 11 is reduced in step S104C in this way, TMA will not be adsorbed on the surface of the conductive film 11 even if step S104A is subsequently performed again and the TMA source gas is supplied to the processing container. As shown in FIG. 3(D), the TMA film 14C can be selectively adsorbed onto the AlO film 14B. Note that when reducing the surface of the conductive film 11 in step S104C, the surface of the conductive film 11 may be reduced by supplying hydrogen (H 2 ) gas instead of IPA gas as the reducing gas.

図3(D)は、図3(A)と同様に、基板10にTMAの原料ガスを供給する工程S104Aを示す。図3(A)は、最初に絶縁膜12の表面にTMA膜14Aを形成する工程S104Aを示し、図3(D)は、工程S104A及びS104Bを繰り返す際に、2回目以降の工程S104Aにおいて、AlO膜14Bの上にTMA膜14Cを形成する工程S104Aを示す。 Similar to FIG. 3A, FIG. 3D shows a step S104A of supplying TMA source gas to the substrate 10. FIG. 3(A) shows step S104A in which the TMA film 14A is first formed on the surface of the insulating film 12, and FIG. 3(D) shows step S104A in the second and subsequent steps when repeating steps S104A and S104B. Step S104A is shown in which a TMA film 14C is formed on the AlO film 14B.

ここで、図3(C)に示す酸化膜11Bを還元する工程S104Cは、工程S104A及びS104Bを繰り返し行う際に、工程S104Bの後に毎回行ってもよいし、工程S104A及びS104Bを複数回繰り返し行う度に、工程S104Bの後に行ってもよい。後者の場合には、工程S104A及びS104Bを複数回繰り返し行う度に、工程S104Bの後に工程S104Cを一度行うことになる。工程S104Cは、工程S104Bで形成され得る酸化膜11B(CuO)をCuに還元する工程であるため、工程S104Bの後に行えばよい。 Here, step S104C of reducing the oxide film 11B shown in FIG. 3(C) may be performed every time after step S104B when steps S104A and S104B are repeatedly performed, or steps S104A and S104B may be repeated multiple times. It may also be performed after step S104B. In the latter case, every time steps S104A and S104B are repeated multiple times, step S104C is performed once after step S104B. Step S104C is a step of reducing the oxide film 11B (CuO) that may be formed in step S104B to Cu, so it may be performed after step S104B.

また、工程S104Bにおいて、図3(B)に示すように酸化膜11B(CuO)が形成された場合に、工程S104Cを行わずに工程S104A及びS104Bを繰り返し行うと、工程S104Aにおいて、酸化膜11Bの上にTMA膜が吸着するおそれがある。 Further, in the case where the oxide film 11B (CuO) is formed in step S104B as shown in FIG. There is a risk that the TMA film will be adsorbed onto the surface.

このような場合に、工程S104Cの還元工程を行っても、酸化膜11Bの上に吸着したTMA膜を還元することは略不可能である。工程S104CのようにIPAのガスを用いた還元工程では、TMA膜を還元するには還元力が足りないからである。 In such a case, even if the reduction step of step S104C is performed, it is almost impossible to reduce the TMA film adsorbed on the oxide film 11B. This is because the reduction step using IPA gas, such as step S104C, does not have enough reducing power to reduce the TMA film.

このため、工程S104A及びS104Bを複数回繰り返し行う度に、工程S104Bの後に工程S104Cを一度行う場合には、酸化膜11Bの上にTMA膜が吸着する前に、工程S104Cを行えばよい。すなわち、酸化膜11B(CuO)を還元して導電膜11(Cu)に復元可能なうちに、工程S104Cを行えばよい。このような繰り返し回数は、実験等で予め求めておけばよい。 Therefore, if step S104C is to be performed once after step S104B every time steps S104A and S104B are repeated multiple times, step S104C may be performed before the TMA film is adsorbed onto the oxide film 11B. That is, step S104C may be performed while the oxide film 11B (CuO) can be reduced and restored to the conductive film 11 (Cu). The number of repetitions may be determined in advance through experiments or the like.

なお、図3(A)~図3(D)に示す工程は、1又は複数の工程にグループ分けして、グループ毎に処理容器を変えて行ってもよいが、酸化膜11Bの還元する処理と処理容器にTMAの原料ガスを供給する処理を連続的に行う面からも1つの処理容器の中で行うことが好ましい。 Note that the steps shown in FIGS. 3(A) to 3(D) may be divided into one or more steps and performed in different processing vessels for each group, but the processing for reducing the oxide film 11B It is also preferable to carry out the process in one processing vessel from the viewpoint of continuously supplying the raw material gas of TMA to the processing vessel.

また、ここでは、ALD法でAlO膜14を成膜する工程が、図3(A)に示すようにTMAの原料ガスを絶縁膜12の表面に吸着させてTMA膜14Aを作製する工程S104Aから始まる形態について説明するが、工程S104Aの前に、工程S104Cと同様に導電膜11の表面を還元する工程を行ってもよい。 In addition, here, the step of forming the AlO film 14 by the ALD method starts from step S104A of adsorbing the TMA source gas onto the surface of the insulating film 12 to form the TMA film 14A, as shown in FIG. 3(A). The starting form will be described, but before step S104A, a step of reducing the surface of the conductive film 11 may be performed as in step S104C.

以上のように、工程S104A及びS104Bを繰り返し行いながら、繰り返し回数が予め決めた回数(1回又は複数回)に到達したときに、工程S104Bの後に工程S104Cを行うことにより、図2(D)に示すAlO膜14を作製することができる。 As described above, while repeatedly performing steps S104A and S104B, when the number of repetitions reaches a predetermined number (one or more times), step S104C is performed after step S104B. An AlO film 14 shown in can be manufactured.

このときに、工程S104Bの後に工程S104Cを行うことにより、導電膜11の表面に酸化膜11B(図3(B)参照)が形成されていても、工程S104Cで還元することができる。このため、その後に図3(D)に示すように工程S104Aを行う際に、第2領域A2に選択的にTMA膜14Cを吸着させるための選択性を強化することができる。TMA膜14Cは、その後に図3(B)に示す工程S104Bで酸化されてAlO膜14B(図3(C)参照)になり、AlO膜14Bを繰り返し作製することで、AlO膜14B(図3(C)参照)の膜厚が厚くなり、図2(D)に示すAlO膜14が得られる。このように、工程S104Bの後に工程S104Cを行うことにより、第2領域A2に選択的にAlO膜14を形成する際の選択性を強化することができる。 At this time, by performing step S104C after step S104B, even if the oxide film 11B (see FIG. 3B) is formed on the surface of the conductive film 11, it can be reduced in step S104C. Therefore, when performing step S104A as shown in FIG. 3(D) thereafter, the selectivity for selectively adsorbing the TMA film 14C to the second region A2 can be enhanced. The TMA film 14C is then oxidized to become the AlO film 14B (see FIG. 3C) in step S104B shown in FIG. (C)) becomes thicker, and the AlO film 14 shown in FIG. 2(D) is obtained. In this way, by performing step S104C after step S104B, it is possible to enhance the selectivity when selectively forming the AlO film 14 in the second region A2.

したがって、SAM13を利用して所望の領域にAlO膜14を選択的に形成する際の選択性を強化できる成膜方法を提供することができる。 Therefore, it is possible to provide a film forming method that can enhance the selectivity when selectively forming the AlO film 14 in a desired region using the SAM 13.

なお、以上では、第2領域A2の絶縁膜12の上に第2の金属の酸化膜としてAlO膜14を形成する形態について説明したが、AlO膜14の代わりに、ハフニア(HfO)又はジルコニア(ZrO)を形成してもよい。 In addition, although the embodiment in which the AlO film 14 is formed as a second metal oxide film on the insulating film 12 in the second region A2 has been described above, instead of the AlO film 14, hafnia (HfO 2 ) or zirconia may be used. (ZrO 2 ) may be formed.

ハフニア(酸化ハフニウム/HfO)膜を形成する際には、第2の金属を含有する前駆体ガスとして、テトラキス(ジメチルアミノ)ハフニウム、テトラキス(ジエチルアミノ)ハフニウム、又は、テトラキス(エチルメチルアミノ)ハフニウムを用いればよい。ジルコニア(二酸化ジルコニウム/ZrO)膜を形成する際には、第2の金属を含有する前駆体ガスとして、テトラキス(ジメチルアミノ)ジルコニウム、テトラキス(ジエチルアミノ)ジルコニウム、又は、テトラキス(エチルメチルアミノ)ジルコニウムを用いればよい。 When forming a hafnia (hafnium oxide/HfO 2 ) film, the precursor gas containing the second metal is tetrakis(dimethylamino)hafnium, tetrakis(diethylamino)hafnium, or tetrakis(ethylmethylamino)hafnium. You can use When forming a zirconia (zirconium dioxide/ZrO 2 ) film, tetrakis(dimethylamino)zirconium, tetrakis(diethylamino)zirconium, or tetrakis(ethylmethylamino)zirconium is used as the precursor gas containing the second metal. You can use

また、第2領域A2に選択的にAlO膜14を形成する際の選択性を強化することができるため、スループットを向上することができ、生産性の高い半導体製造プロセスを実現する成膜方法を提供することができる。 In addition, since the selectivity when selectively forming the AlO film 14 in the second region A2 can be enhanced, throughput can be improved, and a film formation method that realizes a highly productive semiconductor manufacturing process can be developed. can be provided.

なお、以上では、工程S101から工程S105の処理をすべて同一の処理容器で行う形態について説明したが、工程S102の還元処理、工程S103のSAM13の形成処理、工程S104のAlOの成膜、及び工程S105のSAM13の除去処理は、すべて成膜装置の異なる処理容器で行ってもよい。例えば、各工程での加熱温度等の処理条件を独立的に設定したい場合に有用である。 In addition, although the embodiment in which all the processes from Step S101 to Step S105 are performed in the same processing container has been described above, the reduction treatment in Step S102, the SAM 13 formation treatment in Step S103, the AlO film formation in Step S104, and the All of the SAM 13 removal processing in S105 may be performed in different processing containers of the film forming apparatus. For example, it is useful when it is desired to independently set processing conditions such as heating temperature in each step.

また、工程S103のSAM13の形成処理、及び、工程S105のSAM13の除去処理を同一の処理容器で行い、工程S102の還元処理と、工程S104のAlOの成膜とは別の処理容器で行うようにしてもよい。例えば、工程S102の還元処理をウェットプロセスで行う場合に有用である。また、工程S104のAlOの成膜を独立した処理容器で行いたい場合に有用である。また、工程S102の還元処理と、工程S104Cの還元処理とが同様の処理である場合には、工程S102の還元処理と、工程S104のAlOの成膜とを同一の処理容器で行ってもよい。 In addition, the SAM 13 formation process in step S103 and the SAM 13 removal process in step S105 are performed in the same processing container, and the reduction process in step S102 and the AlO film formation in step S104 are performed in different processing containers. You can also do this. For example, this is useful when the reduction treatment in step S102 is performed in a wet process. Further, it is useful when it is desired to perform the AlO film formation in step S104 in an independent processing container. Further, if the reduction treatment in step S102 and the reduction treatment in step S104C are the same treatment, the reduction treatment in step S102 and the AlO film formation in step S104 may be performed in the same processing container. .

なお、工程S101の準備と、工程S102の還元処理とは、同一の処理容器で行うことになる。 Note that the preparation in step S101 and the reduction treatment in step S102 are performed in the same processing container.

<成膜システム>
次に、本開示の一実施形態に係る成膜方法を実施するためのシステムについて説明する。
<Film forming system>
Next, a system for implementing a film forming method according to an embodiment of the present disclosure will be described.

本開示の一実施形態に係る成膜方法は、バッチ装置、枚葉装置、セミバッチ装置のいずれの形態であってもよい。ただし、上記それぞれのステップにおいて最適な温度が異なる場合があり、また、基板の表面が酸化して表面状態が変化したときに各ステップの実施に支障をきたす場合がある。そのような点を考慮すると、各ステップを最適な温度に設定しやすく、かつ全てのステップを真空中で行うことができるマルチチャンバータイプの枚葉式成膜システムが好適である。 The film forming method according to an embodiment of the present disclosure may be in any form of a batch device, a single wafer device, or a semi-batch device. However, the optimum temperature may differ in each of the above steps, and when the surface of the substrate is oxidized and the surface state changes, it may be difficult to carry out each step. Taking these points into consideration, a multi-chamber type single-wafer film forming system is preferred because it allows each step to be easily set at an optimal temperature and all steps can be performed in a vacuum.

以下、このようなマルチチャンバータイプの枚葉式成膜システムについて説明する。 Hereinafter, such a multi-chamber type single wafer deposition system will be explained.

図4は、一実施形態に係る成膜方法を実施するための成膜システムの一例を示す模式図である。ここでは特に断らない限り、基板10に対して処理を行う場合について説明する。 FIG. 4 is a schematic diagram showing an example of a film forming system for carrying out a film forming method according to an embodiment. Here, unless otherwise specified, a case will be described in which processing is performed on the substrate 10.

図4に示すように、成膜システム100は、酸化還元処理装置200、SAM形成装置300、成膜装置400、プラズマ処理装置500を有している。これら装置は、平面形状が七角形をなす真空搬送室101の4つの壁部にそれぞれゲートバルブGを介して接続されている。真空搬送室101内は、真空ポンプにより排気されて所定の真空度に保持される。すなわち、成膜システム100は、マルチチャンバータイプの真空処理システムであり、上述した成膜方法を、真空を破ることなく連続して行えるものである。 As shown in FIG. 4, the film forming system 100 includes a redox processing apparatus 200, a SAM forming apparatus 300, a film forming apparatus 400, and a plasma processing apparatus 500. These devices are connected to four walls of the vacuum transfer chamber 101, which has a heptagonal planar shape, via gate valves G, respectively. The inside of the vacuum transfer chamber 101 is evacuated by a vacuum pump and maintained at a predetermined degree of vacuum. That is, the film forming system 100 is a multi-chamber type vacuum processing system, and is capable of continuously performing the above-described film forming method without breaking the vacuum.

酸化還元処理装置200は、一例として、基板10(図2(A)参照)に対する還元処理を行う処理装置である。 The redox processing apparatus 200 is, for example, a processing apparatus that performs a reduction process on the substrate 10 (see FIG. 2(A)).

SAM形成装置300は、一例として、基板10(図2(C)参照)のSAM13を形成するために、チオール系の有機化合物のガスを供給して、SAM13を選択的に形成する装置である。 The SAM forming apparatus 300 is, for example, an apparatus that selectively forms the SAM 13 on the substrate 10 (see FIG. 2C) by supplying a thiol-based organic compound gas.

成膜装置400は、一例として、基板10(図2(D)参照)のAlO膜14をALD法により成膜する装置である。 The film forming apparatus 400 is, for example, an apparatus that forms the AlO film 14 on the substrate 10 (see FIG. 2(D)) by an ALD method.

プラズマ処理装置500は、一例として、SAM13をエッチング除去する処理を行うためのものである。 The plasma processing apparatus 500 is for performing a process of etching and removing the SAM 13, for example.

真空搬送室101の他の3つの壁部には3つのロードロック室102がゲートバルブG1を介して接続されている。ロードロック室102を挟んで真空搬送室101の反対側には大気搬送室103が設けられている。3つのロードロック室102は、ゲートバルブG2を介して大気搬送室103に接続されている。ロードロック室102は、大気搬送室103と真空搬送室101との間で基板10を搬送する際に、大気圧と真空との間で圧力を制御するために設けられている。 Three load lock chambers 102 are connected to the other three walls of the vacuum transfer chamber 101 via gate valves G1. An atmospheric transfer chamber 103 is provided on the opposite side of the vacuum transfer chamber 101 with the load lock chamber 102 in between. The three load lock chambers 102 are connected to an atmospheric transfer chamber 103 via a gate valve G2. The load lock chamber 102 is provided to control the pressure between atmospheric pressure and vacuum when the substrate 10 is transferred between the atmospheric transfer chamber 103 and the vacuum transfer chamber 101.

大気搬送室103のロードロック室102の取り付け壁部とは反対側の壁部には基板10を収容するキャリア(FOUP等)Cを取り付ける3つのキャリア取り付けポート105を有している。また、大気搬送室103の側壁には、基板10のアライメントを行うアライメントチャンバ104が設けられている。大気搬送室103内には清浄空気のダウンフローが形成されるようになっている。 A wall of the atmospheric transfer chamber 103 opposite to the mounting wall of the load lock chamber 102 has three carrier mounting ports 105 for mounting carriers (FOUPs, etc.) C for accommodating the substrates 10. Further, an alignment chamber 104 for aligning the substrate 10 is provided on a side wall of the atmospheric transfer chamber 103. A downflow of clean air is formed in the atmospheric transfer chamber 103.

真空搬送室101内には、第1の搬送機構106が設けられている。第1の搬送機構106は、酸化還元処理装置200、SAM形成装置300、成膜装置400、プラズマ処理装置500、ロードロック室102に対して基板10を搬送する。第1の搬送機構106は、独立に移動可能な2つの搬送アーム107a,107bを有している。 A first transport mechanism 106 is provided within the vacuum transport chamber 101 . The first transport mechanism 106 transports the substrate 10 to the redox processing apparatus 200, the SAM forming apparatus 300, the film forming apparatus 400, the plasma processing apparatus 500, and the load lock chamber 102. The first transport mechanism 106 has two independently movable transport arms 107a and 107b.

大気搬送室103内には、第2の搬送機構108が設けられている。第2の搬送機構108は、キャリアC、ロードロック室102、アライメントチャンバ104に対して基板10を搬送するようになっている。 A second transport mechanism 108 is provided within the atmospheric transport chamber 103 . The second transport mechanism 108 transports the substrate 10 to the carrier C, the load lock chamber 102, and the alignment chamber 104.

成膜システム100は、全体制御部110を有している。全体制御部110は、CPU(コンピュータ)を有する主制御部と、入力装置(キーボード、マウス等)と、出力装置(プリンタ等)と、表示装置(ディスプレイ等)と、記憶装置(記憶媒体)とを有している。主制御部は、酸化還元処理装置200、SAM形成装置300、成膜装置400、プラズマ処理装置500、真空搬送室101、およびロードロック室102の各構成部等を制御する。全体制御部110の主制御部は、例えば、記憶装置に内蔵された記憶媒体、または記憶装置にセットされた記憶媒体に記憶された処理レシピに基づいて、成膜システム100に、実施形態の成膜方法を行うための動作を実行させる。なお、各装置に下位の制御部を設け、全体制御部110を上位の制御部として構成してもよい。 The film forming system 100 has an overall control section 110. The overall control unit 110 includes a main control unit including a CPU (computer), an input device (keyboard, mouse, etc.), an output device (printer etc.), a display device (display etc.), and a storage device (storage medium). have. The main control unit controls each component of the redox processing apparatus 200, the SAM forming apparatus 300, the film forming apparatus 400, the plasma processing apparatus 500, the vacuum transfer chamber 101, and the load lock chamber 102. The main control unit of the overall control unit 110 controls the film forming system 100 to perform the film forming process according to the embodiment based on, for example, a processing recipe stored in a storage medium built into the storage device or a storage medium set in the storage device. Execute the action to perform the membrane method. Note that each device may be provided with a lower-level control section, and the overall control section 110 may be configured as a higher-level control section.

以上のように構成される成膜システムにおいては、第2の搬送機構108により大気搬送室103に接続されたキャリアCから基板10を取り出し、アライメントチャンバ104を経由した後に、いずれかのロードロック室102内に搬入する。そして、ロードロック室102内を真空排気した後、第1の搬送機構106により、基板10を、酸化還元処理装置200、SAM形成装置300、成膜装置400、およびプラズマ処理装置500に搬送して、実施形態の成膜処理を行う。その後、必要に応じて、プラズマ処理装置500によりSAM13のエッチング除去を行う。 In the film forming system configured as described above, the substrate 10 is taken out from the carrier C connected to the atmospheric transport chamber 103 by the second transport mechanism 108, and after passing through the alignment chamber 104, it is transferred to one of the load lock chambers. 102. After evacuating the inside of the load lock chamber 102, the substrate 10 is transported to the redox processing apparatus 200, the SAM forming apparatus 300, the film forming apparatus 400, and the plasma processing apparatus 500 by the first transport mechanism 106. , performs the film forming process of the embodiment. Thereafter, the SAM 13 is removed by etching using the plasma processing apparatus 500, if necessary.

以上の処理が終了した後、第1の搬送機構106により基板10をいずれかのロードロック室102に搬送し、第2の搬送機構108によりロードロック室102内の基板10をキャリアCに戻す。 After the above processing is completed, the first transport mechanism 106 transports the substrate 10 to one of the load lock chambers 102, and the second transport mechanism 108 returns the substrate 10 in the load lock chamber 102 to the carrier C.

以上のような処理を、複数の基板10について同時並行的に行って、所定枚数の基板10の選択的成膜処理が完了する。 The above-described processing is performed simultaneously on a plurality of substrates 10, and selective film formation processing on a predetermined number of substrates 10 is completed.

これらの各処理を独立した枚葉装置で行うので、各処理に最適な温度に設定しやすく、また、一連の処理を真空を破ることなく行えるので、処理の過程での酸化を抑制することができる。 Since each of these processes is performed using independent single-wafer equipment, it is easy to set the optimum temperature for each process, and a series of processes can be performed without breaking the vacuum, which helps to suppress oxidation during the process. can.

<成膜処理およびSAM形成装置の例>
次に、酸化還元処理装置200、成膜装置400のような成膜装置、およびSAM形成装置300の一例について説明する。
<Example of film forming process and SAM forming apparatus>
Next, an example of a film forming apparatus such as the oxidation-reduction processing apparatus 200, the film forming apparatus 400, and the SAM forming apparatus 300 will be described.

図5は、成膜装置およびSAM形成装置として用いることができる処理装置の一例を示す断面図である。 FIG. 5 is a cross-sectional view showing an example of a processing apparatus that can be used as a film forming apparatus and a SAM forming apparatus.

酸化還元処理装置200、成膜装置400、およびSAM形成装置300は、同様の構成を有する装置とすることができ、例えば図5に示すような処理装置600として構成することができる。 The oxidation-reduction processing apparatus 200, the film forming apparatus 400, and the SAM forming apparatus 300 may have similar configurations, and may be configured as a processing apparatus 600 as shown in FIG. 5, for example.

処理装置600は、気密に構成された略円筒状の処理容器(チャンバ)601を有しており、その中には基板10を水平に支持するためのサセプタ602が、処理容器601の底壁中央に設けられた円筒状の支持部材603により支持されて配置されている。サセプタ602にはヒーター605が埋め込まれており、このヒーター605はヒーター電源606から給電されることにより基板10を所定の温度に加熱する。なお、サセプタ602には、基板10を支持して昇降させるための複数の昇降ピン(図示せず)がサセプタ602の表面に対して突没可能に設けられている。 The processing apparatus 600 has a substantially cylindrical processing container (chamber) 601 that is configured to be airtight, and a susceptor 602 for horizontally supporting the substrate 10 is located in the center of the bottom wall of the processing container 601. It is supported by a cylindrical support member 603 provided in the. A heater 605 is embedded in the susceptor 602, and this heater 605 heats the substrate 10 to a predetermined temperature by being supplied with power from a heater power source 606. Note that the susceptor 602 is provided with a plurality of lifting pins (not shown) for supporting and raising/lowering the substrate 10 so as to be projectable and retractable from the surface of the susceptor 602 .

処理容器601の天壁には、成膜またはSAM形成のための処理ガスを処理容器601内にシャワー状に導入するためのシャワーヘッド610がサセプタ602と対向するように設けられている。シャワーヘッド610は、後述するガス供給機構630から供給されたガスを処理容器601内に吐出するためのものであり、その上部にはガスを導入するためのガス導入口611が形成されている。また、シャワーヘッド610の内部にはガス拡散空間612が形成されており、シャワーヘッド610の底面にはガス拡散空間612に連通した多数のガス吐出孔613が形成されている。 A shower head 610 is provided on the ceiling wall of the processing container 601 to face the susceptor 602 for introducing a processing gas for film formation or SAM formation into the processing container 601 in a shower-like manner. The shower head 610 is for discharging gas supplied from a gas supply mechanism 630, which will be described later, into the processing container 601, and has a gas introduction port 611 formed in its upper part for introducing the gas. Further, a gas diffusion space 612 is formed inside the shower head 610, and a large number of gas discharge holes 613 communicating with the gas diffusion space 612 are formed on the bottom surface of the shower head 610.

処理容器601の底壁には、下方に向けて突出する排気室621が設けられている。排気室621の側面には排気配管622が接続されており、この排気配管622には真空ポンプや圧力制御バルブ等を有する排気装置623が接続されている。そして、この排気装置623を作動させることにより処理容器601内を所定の減圧(真空)状態とすることが可能となっている。 The bottom wall of the processing container 601 is provided with an exhaust chamber 621 that projects downward. An exhaust pipe 622 is connected to the side surface of the exhaust chamber 621, and an exhaust device 623 having a vacuum pump, a pressure control valve, etc. is connected to the exhaust pipe 622. By operating this exhaust device 623, it is possible to bring the inside of the processing container 601 into a predetermined reduced pressure (vacuum) state.

処理容器601の側壁には、真空搬送室101との間で基板10を搬入出するための搬入出口627が設けられており、搬入出口627はゲートバルブGにより開閉されるようになっている。 A loading/unloading port 627 for loading/unloading the substrate 10 to/from the vacuum transfer chamber 101 is provided on the side wall of the processing container 601, and the loading/unloading port 627 is opened/closed by a gate valve G.

ガス供給機構630は、AlO膜14の成膜、またはSAM13の形成に必要なガスの供給源と、各供給源からガスを供給する個別配管、個別配管に設けられた開閉バルブおよびガスの流量制御を行うマスフローコントローラのような流量制御器等を有し、さらに、個別配管からのガスをガス導入口611を介してシャワーヘッド610に導くガス供給配管635を有している。 The gas supply mechanism 630 includes a gas supply source necessary for forming the AlO film 14 or the SAM 13, individual piping for supplying gas from each supply source, on-off valves provided in the individual piping, and gas flow rate control. It has a flow rate controller such as a mass flow controller that performs this, and further has a gas supply pipe 635 that guides gas from the individual pipes to the shower head 610 via the gas inlet 611.

ガス供給機構630は、処理装置600がAlO膜14のALD成膜を行う場合、有機化合物原料ガスと反応ガスをシャワーヘッド610に供給する。さらに、ガス供給機構630は、処理装置600がSAMの形成を行う場合、SAMを形成するための化合物の蒸気を処理容器601内に供給する。また、ガス供給機構630は、パージガスや伝熱ガスとしてNガスやArガス等の不活性ガスも供給できるように構成されている。 The gas supply mechanism 630 supplies an organic compound raw material gas and a reaction gas to the shower head 610 when the processing apparatus 600 performs ALD film formation of the AlO film 14 . Further, when the processing apparatus 600 forms a SAM, the gas supply mechanism 630 supplies vapor of a compound for forming the SAM into the processing container 601. Further, the gas supply mechanism 630 is configured to be able to also supply an inert gas such as N 2 gas or Ar gas as a purge gas or heat transfer gas.

このように構成される処理装置600においては、ゲートバルブGを開にして搬入出口627から基板10を処理容器601内に搬入し、サセプタ602上に載置する。サセプタ602はヒーター605により所定温度に加熱されており、処理容器601内に不活性ガスが導入されることにより基板10が加熱される。そして、排気装置623の真空ポンプにより処理容器601内を排気して、処理容器601内の圧力を所定圧力に調整する。 In the processing apparatus 600 configured as described above, the gate valve G is opened, the substrate 10 is carried into the processing container 601 from the carry-in/out port 627, and placed on the susceptor 602. The susceptor 602 is heated to a predetermined temperature by a heater 605, and the substrate 10 is heated by introducing an inert gas into the processing container 601. Then, the inside of the processing container 601 is evacuated by the vacuum pump of the exhaust device 623, and the pressure inside the processing container 601 is adjusted to a predetermined pressure.

次いで、処理装置600がAlO膜14のALD成膜を行う場合、ガス供給機構630から、有機化合物原料ガスと反応ガスを、処理容器601内のパージを挟んで交互に処理容器601内に供給する。さらに、処理装置600がSAMの形成を行う場合、ガス供給機構630から、SAMを形成するための有機化合物の蒸気を処理容器601内に供給する。 Next, when the processing apparatus 600 performs ALD deposition of the AlO film 14, the organic compound source gas and the reaction gas are alternately supplied into the processing container 601 from the gas supply mechanism 630 with a purge in the processing container 601 in between. . Further, when the processing apparatus 600 forms a SAM, the gas supply mechanism 630 supplies vapor of an organic compound for forming the SAM into the processing container 601 .

以上、本開示に係る成膜方法の実施形態について説明したが、本開示は上記実施形態等に限定されない。特許請求の範囲に記載された範疇内において、各種の変更、修正、置換、付加、削除、及び組合わせが可能である。それらについても当然に本開示の技術的範囲に属する。 Although the embodiments of the film forming method according to the present disclosure have been described above, the present disclosure is not limited to the above embodiments and the like. Various changes, modifications, substitutions, additions, deletions, and combinations are possible within the scope of the claims. These naturally fall within the technical scope of the present disclosure.

10 基板
11 導電膜
11A 自然酸化膜
12 絶縁膜
13 SAM
14 AlO膜
15 下地基板
10 Substrate 11 Conductive film 11A Natural oxide film 12 Insulating film 13 SAM
14 AlO film 15 Base substrate

Claims (15)

第1領域の表面に形成された第1の金属製の金属層と、第2領域の表面に形成された絶縁層とを有する基板を準備する工程と、
自己組織化膜の原料ガスを供給し、前記金属層の表面に自己組織化膜を形成する工程と、
前記自己組織化膜を形成した後に、第2の金属を含有する前駆体ガスの供給と、酸化ガスの供給とを複数回繰り返し、原子層堆積法により前記絶縁層の上に第2の金属の酸化膜を形成する工程と、
前記前駆体ガスの供給と、前記酸化ガスの供給とを繰り返し行う度に、前記酸化ガスの供給の後で前記前駆体ガスの供給の前に、還元ガスを供給して前記第1の金属の表面に形成された前記第1の金属の酸化膜を還元する工程と
を含む、成膜方法。
preparing a substrate having a first metal layer formed on the surface of the first region and an insulating layer formed on the surface of the second region;
supplying a raw material gas for the self-assembled film to form a self-assembled film on the surface of the metal layer;
After forming the self-assembled film, the supply of the precursor gas containing the second metal and the supply of the oxidizing gas are repeated multiple times to deposit the second metal on the insulating layer by atomic layer deposition. a step of forming an oxide film;
Every time the supply of the precursor gas and the supply of the oxidizing gas are repeated, a reducing gas is supplied after the supply of the oxidizing gas and before the supply of the precursor gas to reduce the amount of the first metal. and reducing an oxide film of the first metal formed on the surface.
前記第1の金属の酸化膜を還元する工程は、前記前駆体ガスの供給と、前記酸化ガスの供給とを複数回繰り返したときに、前記酸化ガスの供給の後で前記前駆体ガスの供給の前に行う工程である、請求項1に記載の成膜方法。 The step of reducing the oxide film of the first metal includes supplying the precursor gas after supplying the oxidizing gas when supplying the precursor gas and supplying the oxidizing gas are repeated multiple times. The film forming method according to claim 1, which is a step performed before. 前記自己組織化膜を形成する工程の前に、前記基板に還元ガスを供給して、前記第1の金属の表面に形成された、前記第1の金属の自然酸化膜を還元する工程をさらに含む、請求項1又は2に記載の成膜方法。Before the step of forming the self-organized film, further comprising a step of supplying a reducing gas to the substrate to reduce the natural oxide film of the first metal formed on the surface of the first metal. The film forming method according to claim 1 or 2, comprising: 前記自然酸化膜を還元する工程の還元剤は、水素(H The reducing agent used in the step of reducing the natural oxide film is hydrogen (H 2 )ガス、水素(H) gas, hydrogen (H 2 )プラズマ、酸素を含む有機分子である請求項3に記載の成膜方法。4. The film forming method according to claim 3, wherein the film forming method is plasma or organic molecules containing oxygen. 前記酸素を含む有機分子は、アルコールである請求項4に記載の成膜方法。 5. The film forming method according to claim 4, wherein the oxygen-containing organic molecule is alcohol. 前記アルコールは、イソプロピルアルコール(IPA)である請求項5に記載の成膜方法。 6. The film forming method according to claim 5, wherein the alcohol is isopropyl alcohol (IPA). 前記第2の金属は、アルミニウム(Al)、ハフニウム(Hf)、又は、ジルコニウム(Zr)である、請求項1乃至6のいずれか1項に記載の成膜方法。 7. The film forming method according to claim 1, wherein the second metal is aluminum (Al), hafnium (Hf), or zirconium (Zr). 前記第2の金属はアルミニウム(Al)であり、前記前駆体ガスは、トリメチルアルミニウムである、請求項に記載の成膜方法。 8. The film forming method according to claim 7 , wherein the second metal is aluminum (Al) and the precursor gas is trimethylaluminum. 前記第2の金属はハフニウム(Hf)であり、前記前駆体ガスは、テトラキス(ジメチルアミノ)ハフニウム、テトラキス(ジエチルアミノ)ハフニウム、又は、テトラキス(エチルメチルアミノ)ハフニウムである、請求項に記載の成膜方法。 8. The second metal is hafnium (Hf), and the precursor gas is tetrakis(dimethylamino)hafnium, tetrakis(diethylamino)hafnium, or tetrakis(ethylmethylamino)hafnium. Film formation method. 前記第2の金属はジルコニウム(Zr)であり、前記前駆体ガスは、テトラキス(ジメチルアミノ)ジルコニウム、テトラキス(ジエチルアミノ)ジルコニウム、又は、テトラキス(エチルメチルアミノ)ジルコニウムである、請求項に記載の成膜方法。 8. The second metal is zirconium (Zr), and the precursor gas is tetrakis(dimethylamino)zirconium, tetrakis(diethylamino)zirconium, or tetrakis(ethylmethylamino)zirconium. Film formation method. 前記酸化ガスは、水蒸気(HO)、過酸化水素(H)、酸素(O)、又はオゾン(O)である、請求項1乃至10のいずれか一項に記載の成膜方法。 The oxidizing gas according to any one of claims 1 to 10 , wherein the oxidizing gas is water vapor (H 2 O), hydrogen peroxide (H 2 O 2 ), oxygen (O 2 ), or ozone (O 3 ). Film formation method. 前記還元ガスは、アルコール、又は、水素(H)である、請求項1乃至11のいずれか一項に記載の成膜方法。 The film forming method according to any one of claims 1 to 11 , wherein the reducing gas is alcohol or hydrogen ( H2 ). 前記還元ガスのアルコールは、イソプロピルアルコール(IPA)である、請求項12に記載の成膜方法。 13. The film forming method according to claim 12 , wherein the alcohol of the reducing gas is isopropyl alcohol (IPA). 前記自己組織化膜の原料ガスは、チオール系の自己組織化膜の原料ガスである、請求項1乃至13のいずれか一項に記載の成膜方法。 14. The film forming method according to claim 1, wherein the source gas for the self-assembled film is a thiol-based source gas for the self-assembled film. 前記第2の金属の酸化膜を形成する工程の後に、前記金属層の表面から前記自己組織化膜を除去する工程をさらに含む、請求項1乃至14のいずれか一項に記載の成膜方法。
The film forming method according to any one of claims 1 to 14 , further comprising the step of removing the self-organized film from the surface of the metal layer after the step of forming the second metal oxide film. .
JP2020019137A 2020-02-06 2020-02-06 Film forming method Active JP7353200B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2020019137A JP7353200B2 (en) 2020-02-06 2020-02-06 Film forming method
KR1020210009884A KR102571409B1 (en) 2020-02-06 2021-01-25 Film forming method
US17/166,657 US11598001B2 (en) 2020-02-06 2021-02-03 Film forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020019137A JP7353200B2 (en) 2020-02-06 2020-02-06 Film forming method

Publications (2)

Publication Number Publication Date
JP2021125607A JP2021125607A (en) 2021-08-30
JP7353200B2 true JP7353200B2 (en) 2023-09-29

Family

ID=77178150

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020019137A Active JP7353200B2 (en) 2020-02-06 2020-02-06 Film forming method

Country Status (3)

Country Link
US (1) US11598001B2 (en)
JP (1) JP7353200B2 (en)
KR (1) KR102571409B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023107638A (en) * 2022-01-24 2023-08-03 東京応化工業株式会社 Water repellent agent for electroconductor surface, method for making electroconductor surface water-repellent, method for selectively making region having electroconductor surface water-repellent, surface treatment method, and method for forming film on selective region of substrate surface
JP7315744B1 (en) * 2022-03-14 2023-07-26 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
JP2023160629A (en) * 2022-04-22 2023-11-02 東京エレクトロン株式会社 Film deposition method and substrate treatment apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011121936A (en) 2009-10-23 2011-06-23 Air Products & Chemicals Inc Group-iv metal precursor for metal-containing film
US20120052681A1 (en) 2010-08-31 2012-03-01 Micron Technology, Inc. Methods of selectively forming a material
US20190157076A1 (en) 2017-11-22 2019-05-23 Lam Research Corporation Selective growth of sio2 on dielectric surfaces in the presence of copper
JP2019096877A (en) 2017-11-20 2019-06-20 東京エレクトロン株式会社 Method of selective deposition to form fully self-aligned via
US20190295870A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
JP2020013994A (en) 2018-07-09 2020-01-23 Jsr株式会社 Substrate processing method, substrate processing system, and self-organizing material

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US7030001B2 (en) 2004-04-19 2006-04-18 Freescale Semiconductor, Inc. Method for forming a gate electrode having a metal
US20100261058A1 (en) * 2009-04-13 2010-10-14 Applied Materials, Inc. Composite materials containing metallized carbon nanotubes and nanofibers
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US20170207193A1 (en) * 2014-07-20 2017-07-20 X-Celeprint Limited Apparatus and methods for micro-transfer-printing
US9646883B2 (en) * 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
JP2017212320A (en) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 Method and system for forming titanium oxide film, and method for forming contact structure
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TWI772459B (en) * 2017-07-14 2022-08-01 荷蘭商Asm Ip控股公司 Methods for preparing self-assembled monolayers
US10892161B2 (en) * 2017-11-14 2021-01-12 Applied Materials, Inc. Enhanced selective deposition process
US11152268B2 (en) * 2018-03-20 2021-10-19 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
JP7101551B2 (en) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 Methods and systems for selectively forming target membranes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011121936A (en) 2009-10-23 2011-06-23 Air Products & Chemicals Inc Group-iv metal precursor for metal-containing film
US20120052681A1 (en) 2010-08-31 2012-03-01 Micron Technology, Inc. Methods of selectively forming a material
JP2019096877A (en) 2017-11-20 2019-06-20 東京エレクトロン株式会社 Method of selective deposition to form fully self-aligned via
US20190157076A1 (en) 2017-11-22 2019-05-23 Lam Research Corporation Selective growth of sio2 on dielectric surfaces in the presence of copper
US20190295870A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
JP2020013994A (en) 2018-07-09 2020-01-23 Jsr株式会社 Substrate processing method, substrate processing system, and self-organizing material

Also Published As

Publication number Publication date
JP2021125607A (en) 2021-08-30
KR102571409B1 (en) 2023-08-28
US11598001B2 (en) 2023-03-07
KR20210100532A (en) 2021-08-17
US20210246547A1 (en) 2021-08-12

Similar Documents

Publication Publication Date Title
TWI808199B (en) Method and system for selectively forming a film
CN110581067B (en) Etching method and etching apparatus
KR102571409B1 (en) Film forming method
US9190281B2 (en) Method of manufacturing semiconductor device
CN110783188B (en) Etching method and etching apparatus
JP2019062142A (en) Selective film formation method and semiconductor device manufacturing method
KR102589043B1 (en) tabernacle method
US20210087691A1 (en) Film forming method
JPWO2006090645A1 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR102497066B1 (en) Film forming method
KR101812900B1 (en) Pattern forming method
WO2022070909A1 (en) Film deposition method and film deposition device
KR102582899B1 (en) tabernacle method
WO2024070696A1 (en) Film formation method and film formation device
WO2021060109A1 (en) Film formation method
WO2022080169A1 (en) Embedding method and film forming device
JP6108530B2 (en) Semiconductor device manufacturing method, program, and substrate processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221005

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230822

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230919

R150 Certificate of patent or registration of utility model

Ref document number: 7353200

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150