JP7297923B2 - 3次元メモリデバイス及び方法 - Google Patents

3次元メモリデバイス及び方法 Download PDF

Info

Publication number
JP7297923B2
JP7297923B2 JP2021557455A JP2021557455A JP7297923B2 JP 7297923 B2 JP7297923 B2 JP 7297923B2 JP 2021557455 A JP2021557455 A JP 2021557455A JP 2021557455 A JP2021557455 A JP 2021557455A JP 7297923 B2 JP7297923 B2 JP 7297923B2
Authority
JP
Japan
Prior art keywords
layer
type doped
doped semiconductor
contact
semiconductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021557455A
Other languages
English (en)
Other versions
JP2022538954A (ja
Inventor
チャン・クン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/CN2020/092504 external-priority patent/WO2021237491A1/en
Priority claimed from PCT/CN2020/092512 external-priority patent/WO2021208194A1/en
Priority claimed from PCT/CN2020/092506 external-priority patent/WO2021237492A1/en
Priority claimed from PCT/CN2020/092501 external-priority patent/WO2021237489A1/en
Priority claimed from PCT/CN2020/092499 external-priority patent/WO2021237488A1/en
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2022538954A publication Critical patent/JP2022538954A/ja
Application granted granted Critical
Publication of JP7297923B2 publication Critical patent/JP7297923B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • G11C16/14Circuits for erasing electrically, e.g. erase voltage switching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/145Read-only memory [ROM]
    • H01L2924/1451EPROM
    • H01L2924/14511EEPROM

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

[関連出願の相互参照]
本出願は、2020年5月27日に出願された「3次元メモリデバイス」と題する国際出願第PCT/CN2020/092499号、2020年5月27日に出願された「3次元メモリデバイスを形成するための方法」と題する国際出願第PCT/CN2020/092501号、2020年5月27日に出願された「3次元メモリデバイス」と題する国際出願第PCT/CN2020/092504号、2020年5月27日に出願された「3次元メモリデバイスを形成するための方法」と題する国際出願第PCT/CN2020/092506号、2020年5月27日に出願された「3次元メモリデバイス」と題する国際出願第PCT/CN2020/092512号、および、2020年5月27日に出願された「3次元メモリデバイスを形成するための方法」と題する国際出願第PCT/CN2020/092513号、の優先権の利益を主張し、その全体が参照により本明細書に組み込まれる。
本開示の実施形態は、3次元(3D)メモリデバイスおよびその製造方法に関する。
平面メモリセルは、プロセス技術、回路設計、プログラミングアルゴリズム、および製造プロセスを改善することによって、より小さいサイズに縮小される。しかしながら、メモリセルの特徴サイズが下限に近づくにつれて、平面プロセスおよび製造技術は困難になり、費用がかかるようになる。その結果、平面メモリセルのメモリ密度は、上限に近づく。
3Dメモリ構造は、平面メモリセルにおける密度限界に対処できる。3Dメモリ構造は、メモリアレイへの信号、およびメモリアレイからの信号を制御する周辺デバイスを含む。
3Dメモリデバイスおよびそれを形成するための方法の実施形態が本明細書に開示される。
一例では、3Dメモリデバイスは、基板と、基板上の周辺回路と、周辺回路の上方にある交互配置された導電層および誘電体層を含むメモリスタックと、メモリスタック上方にあるP型ドープ半導体層と、P型ドープ半導体層内のNウェルと、各々がメモリスタックを貫通してP型ドープ半導体層内に垂直に延在する複数のチャネル構造と、複数のチャネル構造の上端に接触しその少なくとも一部がP型ドープ半導体層上にある導電層と、メモリスタック上方にありP型ドープ半導体層に接触する第1のソースコンタクトと、メモリスタック上方にありNウェルに接触する第2のソースコンタクトと、を含む。
別の例では、3Dメモリデバイスは、基板と、基板の上方にある交互配置された導電層および誘電体層を含むメモリスタックと、メモリスタック上のP型ドープ半導体層と、P型ドープ半導体層内のNウェルと、各々がメモリスタックを貫通してP型ドープ半導体層内に垂直に延在する複数のチャネル構造と、を含む。複数のチャネル構造のそれぞれは、メモリ膜と半導体チャネルとを含む。メモリ膜の上端は、半導体チャネルの上端よりも下方にある。3Dメモリデバイスは、複数のチャネル構造の半導体チャネルに接触する導電層をさらに含む。導電層の少なくとも一部は、P型ドープ半導体層上にある。
さらに別の例では、3Dメモリデバイスは、第1の半導体構造と、第2の半導体構造と、第1の半導体構造と第2の半導体構造との間の接合インターフェイスと、を含む。第1半導体構造は、周辺回路を含む。第2の半導体構造は、交互配置された導電層および誘電体層を含むメモリスタックと、P型ドープ半導体層と、P型ドープ半導体層内のNウェルと、各々がメモリスタックを貫通してP型ドープ半導体層内に垂直に延在し、周辺回路に電気的に接続された複数のチャネル構造と、金属シリサイド層および複数のチャネル構造を電気的に接続する金属層を含む導電層と、を含む。
本明細書に組み込まれ、本明細書の一部を形成する添付図面は、本開示の実施形態を示し、本開示の原理を説明し、説明と共に、当業者が本開示の作成し使用できるために、さらに役立つ。
本開示のいくつかの実施形態による、例示的な3Dメモリデバイスの断面の側面図である。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスの断面の側面図である。 本開示のいくつかの実施形態による、さらに別の例示的な3Dメモリデバイスの断面の側面図である。 本開示のいくつかの実施形態による、さらに別の例示的な3Dメモリデバイスの断面の側面図である。 本開示のいくつかの実施形態による、さらに別の例示的な3Dメモリデバイスの断面の側面図である。 本開示のいくつかの実施形態による、さらに別の例示的な3Dメモリデバイスの断面の側面図である。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための方法のフローチャートである。 本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための別の方法のフローチャートである。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための方法のフローチャートである。 本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための別の方法のフローチャートである。
本開示の実施形態は、添付の図面を参照して説明される。
特定の構成および配置について説明するが、これは例示のみを目的として行われることを理解されたい。当業者は、本開示の要旨および範囲から逸脱することなく、他の構成および配置を使用できることを認識されよう。本開示が他の様々な用途にも使用できることも、当業者には明らかであろう。
本明細書における「一実施形態(one embodiment)」、「実施形態(an embodiment)」、「例示的な実施形態(an example embodiment)」、「いくつかの実施形態(some embodiments)」などへの言及は、記載された実施形態が特定の特徴、構造、または特性を含み得るが、必ずしも全ての実施形態が、この特定の特徴、構造、または特性を含むとは限らないことに留意されたい。さらに、そのような語句は、必ずしも同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、または特性が実施形態に関連して記載されている場合、それは、明示的に記載されているか否かにかかわらず、他の実施形態に関連してそのような特徴、構造、または特性を達成することは、当業者の知識の範囲内である。
一般に、専門用語は、文脈における使用から少なくとも部分的に理解され得る。例えば、本明細書で使用される「1つまたは複数の」という用語は、文脈に少なくとも部分的に応じて、単数形の意味で、任意の特徴、構造、または特性を説明するために使用されてもよく、あるいは、複数形の意味で特徴、構造、または特性の組み合わせを説明するために使用され得る。同様に、「1つの(a)」、「1つの(an)」、または「その(the)」などの用語は、やはり、文脈に少なくとも部分的に応じて、単数用法または複数用法を伝えると理解され得る。さらに、「に基づく」という用語は、必ずしも排他的な要因のセットを伝えることを意図していないと理解されてもよく、代わりに、文脈に少なくとも部分的に応じて、必ずしも明示的に説明されていない追加の要因の存在を可能にし得る。
本開示における「の上に(on)」、「の上方に(above)」、および「より上に(over)」の意味は、最も広い形で解釈されるべきであり、それにより、「の上に(on)」は、何か「の直接上に(directly on)」を意味するだけでなく、それらの間にある中間の特徴または層と共に何かの「の上に(on)」の意味も含み、「の上方に(above)」または「より上に(over)」は、何か「の上方に(above)」または「より上に(over)」の意味を意味するだけでなく、それらの間にある中間の特徴または層なしに何かの「の上方に(above)」または「より上に(over)」(すなわち、何かの直接上に)あるという意味もみ得ることが、容易に理解できるはずである。
さらに、「の真下に(beneath)」、「の下方に(below)」、「の下側に(lower)」、「の上方に(above)」、「の上側に(upper)」などの空間的に相対的な用語は、本明細書では、図に示すように、1つの要素または特徴と別の要素または特徴との相対関係を説明するのを容易にするために使用され得る。この空間的に相対的な用語は、図に示す向きに加えて、使用中または動作中のデバイスの異なる向きを包含することを意図している。装置は、他の方向(90度回転されて、または他の向きに)に向けられてもよく、本明細書で使用される空間的に相対的な記述語は、それに応じて同様に解釈され得る。
本明細書で使用される場合、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体は、パターニングされ得る。基板の上部に加えられる材料は、パターニングされてもよく、またはパターニングされないままであってもよい。さらに、基板は、シリコン、ゲルマニウム、ヒ化ガリウム、リン化インジウム等などの広範囲の半導体材料を含み得る。あるいは、基板は、ガラス、プラスチック、またはサファイアウェハなどの非導電性材料から作製され得る。
本明細書で使用される場合、「層」という用語は、厚さを有する領域を含む材料部分を指す。層は、下部構造または上部構造の全体にわたって延在し得、あるいは、下部構造または上部構造の範囲よりも小さい範囲を有し得る。さらに、層は、均一な連続構造、または、この連続構造の厚さよりも薄い厚さを有する不均一な連続構造の領域であり得る。例えば、層は、連続構造の間、連続構造の天面および底面において、任意の一対の水平平面に位置し得る。層は、水平方向、垂直方向、および/またはテーパ面に沿って延びることができる。基板は、1層であってもよく、その中に1つまたは複数の層を含むことができ、および/または、1つまたは複数の層を、その上、その上方、および/または、その下方に有することができる。層は複数の層を含み得る。例えば、相互接続層は、(相互接続線、および/または、垂直相互接続アクセス(ビア)コンタクトが形成される)1つまたは複数の導体およびコンタクト層と、1つまたは複数の誘電体層を含み得る。
本明細書で使用される場合、「公称/公称で」という用語は、製品またはプロセスの設計段階中に設定される、構成要素またはプロセス動作の特性またはパラメータの所望のまたは目標の値を、所望の値の上方および/または下方の値の範囲と共に指す。値の範囲は、製造プロセスまたは公差のわずかな変動に起因し得る。本明細書で使用される場合、「約」という用語は、対象の半導体デバイスに関連する特定の技術ノードに基づいて変化し得る所与の量の値を示す。特定の技術ノードに基づいて、「約」という用語は、例えば、値の10~30%(例えば、値の±10%、±20%、または±30%)の範囲内で変化する所与の量の値を示し得る。
本明細書で使用される場合、用語「3Dメモリデバイス」は、メモリストリングが基板に対して垂直方向に延在するように、横方向に向けられた基板上のメモリ・セル・トランジスタの垂直に向けられたストリング(NANDメモリストリングなどの「メモリストリング」と本明細書では呼ばれる)を有する半導体デバイスを指す。本明細書で使用される場合、用語「垂直の/垂直に」は、基板の側面に対して、公称で直交していることを意味する。
3D NANDメモリデバイスなどのいくつかの3Dメモリデバイスでは、スリット構造(例えば、ゲート線スリット(GLS))を使用して、デバイス前面からアレイ共通ソース(ACS)などのメモリアレイのソースへの電気的接続を提供する。しかしながら、前面ソースコンタクトは、ワード線とソースコンタクトとの間にリーク電流および寄生容量の両方をもたらすので、間にスペーサが存在する場合でも、3Dメモリデバイスの電気的性能に影響を及ぼす可能性がある。スペーサの形成はまた、製造プロセスを複雑にする。電気的性能に影響を及ぼすことに加えて、スリット構造は通常、壁状ポリシリコンおよび/または金属充填を含み、それは、局所的な応力を導入してウェハの曲がりまたは反りを引き起こし、それによって製造歩留まりを低下させる可能性がある。
さらに、いくつかの3D NANDメモリデバイスでは、例えば側壁選択エピタキシャル成長(SEG)として知られるチャネル構造の側壁を囲むように、半導体プラグが選択的に成長される。チャネル構造の下端、例えば底部SEG、に形成される別のタイプの半導体プラグと比較して、側壁SEGの形成は、チャネルホール(「SONO」パンチとしても知られる)の底面でのメモリ膜および半導体チャネルのエッチングを回避する。それによって、特に、マルチデッキ構造を有する96以上のレベルを有するなどの高度な技術を備える3D NANDメモリデバイスを製造する場合に、プロセスウィンドウを増加させる。基板とスタック構造との間の犠牲層を、スリット開口部を介する複数の堆積およびエッチングプロセスを含む側壁SEGで置き換えることによって、側壁SEGが、通常、形成される。しかしながら、3D NANDメモリデバイスのレベルが増加し続けるにつれて、スタック構造を貫通して延在するスリット開口部のアスペクト比が大きくなるので、コスト増加および歩留り低下の理由から、既知の手法を使用して側壁SEGを形成することにおいて、スリット開口部を介する堆積およびエッチングプロセスは、困難かつ望ましくないものになる。
さらに、側壁SEG構造は、裏面プロセスと組み合わることができ、基板の裏面からソースコンタクトを形成して、前面ソースコンタクトとワード線との間の漏れ電流および寄生容量を回避し、有効デバイス面積を増加させることができる。しかしながら、裏面プロセスは基板を薄くする必要があるため、薄化プロセスにおいてウェハレベルで厚さの均一性を制御することは困難であり、それによって側壁SEG構造および裏面プロセスを有する3D NANDメモリデバイスの製造歩留まりが制限される。
本開示による様々な実施形態は、裏面ソースコンタクトを有する3Dメモリデバイスを提供する。ソースコンタクトを表面側から裏面側に移動させることにより、実効的なメモリ・セル・アレイ面積を大きくできるので、メモリセル当たりのコストを低減でき、スペーサ形成プロセスを省略できる。例えば、ワード線とソースコンタクトとの間のリーク電流および寄生容量を回避し、(ソースコンタクトとしての)前側スリット構造により引き起こされる局所的な応力を低減することによって、デバイス性能も改善できる。側壁SEG(例えば、半導体プラグ)は、基板前面でスタック構造を貫通して延在する開口部を介する、堆積またはエッチングプロセスを回避するために、基板裏面から形成できる。その結果、製造プロセスの複雑さおよびコストを低減でき、製品歩留まりを引き上げることができる。また、側壁SEGの製造プロセスは、もはやスタック構造を貫通する開口部のアスペクト比の影響を受けない、すなわち、メモリスタックのレベルによって制限されないので、3Dメモリデバイスのスケーラビリティも改善できる。
メモリスタックが形成される基板は、側壁SEG形成前に裏面から除去され、チャネル構造を露出させることができる。これにより、コスト低減のために、例えばダミーウェハに、基板の選択を拡大できる。いくつかの実施形態では、裏面薄化プロセスを自動的に停止するために、1つまたは複数の停止層が使用され、それにより、基板が完全に除去され、ウェハ厚さ均一性制御の課題を回避し、裏面プロセスの製造の複雑さを低減できる。いくつかの実施形態では、同一停止層または別の停止層が使用され、チャネルホールのエッチングを自動的に停止し、これにより、異なるチャネル構造間の溝切り変動をより良好に制御し、裏面プロセスウィンドウをさらに増加できる。
基板を除去した後、導電層を裏面から形成して、複数のチャネル構造のソースを電気的に接続し、それによって、チャネル構造のアレイ共通ソース(ACS)の伝導度を増加できる。いくつかの実施形態では、導電層は、チャネル構造の半導体チャネルに接触して接触抵抗を低減する金属シリサイド層と、金属シリサイド層に接触して全抵抗をさらに低減する金属層とを備える。その結果、半導体層(N型ドープまたはP型ドープのいずれか)の厚さは、ACSの一部として、ACS伝導度に影響を与えることなく低減できる。
例えば、異なる消去動作機構を有する様々な3Dメモリデバイス構造およびその製造方法が、異なる要件および用途に対応するために本開示で開示される。いくつかの実施形態では、側壁SEGは、3Dメモリデバイスによるゲート誘起ドレインリーク(GIDL)消去を有効にするための、N型ドープ半導体層の一部である。いくつかの実施形態では、側壁SEGは、3DメモリデバイスによるPウェル・バルク消去を有効にするための、P型ドープ半導体層の一部である。
図1Aは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイス100の断面の側面図を示す。いくつかの実施形態では、3Dメモリデバイス100は、第1の半導体構造102と、第1の半導体構造102より上に積層された第2の半導体構造104とを含む接合チップである。いくつかの実施形態によれば、第1および第2の半導体構造102および104は、それらの間の接合インターフェイス106で連結される。図1に示すように、第1の半導体構造102は、シリコン(例えば、単結晶シリコン、c-Si)、シリコンゲルマニウム(SiGe)、ヒ化ガリウム(GaAs)、ゲルマニウム(Ge)、SOI、または任意の他の適切な材料を含み得る基板101を含むことができる。
3Dメモリデバイス100の第1の半導体構造102は、基板101上に周辺回路108を含むことができる。基板101を有する3Dメモリデバイス100内の構成要素の空間的関係をさらに示すために、x軸およびy軸が、図1に含まれていることに留意されたい。基板101は、x方向に横(すなわち、横方向)に延在する二つの横方向の面(例えば、天面および底面)を含む。本明細書で使用される場合、1つの構成要素(例えば、層またはデバイス)が、半導体デバイス(例えば、3Dメモリデバイス100)の他の構成要素(例えば、層またはデバイス)の「上に」、「上方に」、または「下方に」あるかどうかは、基板が半導体デバイスのy方向の最下面に位置決めされるとき、半導体デバイス(例えば、基板101)の基板に対して、y方向(すなわち、垂直方向)に判定される。空間的関係を説明するための同じ概念が、本開示全体にわたって適用される。
いくつかの実施形態では、周辺回路108は、3Dメモリデバイス100を制御および検知するように構成される。周辺回路108は、ページバッファ、デコーダ(例えば、行デコーダおよび列デコーダ)、センス増幅器、ドライバ(例えば、ワード線ドライバ)、チャージポンプ、電流もしくは電圧基準、または回路の任意の能動もしくは受動構成要素(例えば、トランジスタ、ダイオード、抵抗器、またはコンデンサ)を含むが、これらに限定されない、3Dメモリデバイス100の動作を容易にするために使用される任意の適切なデジタル、アナログ、および/または混合信号制御および検知回路とすることができる。周辺回路108は、基板101上に形成されたトランジスタを含むことができ、その中で、トランジスタの全体または一部は、基板101内に(例えば、基板101の天面の下)および/または基板101上に、直接形成される。分離領域(例えば、シャロー・トレンチ・アイソレーション(STI))およびドープ領域(例えば、トランジスタのソース領域およびドレイン領域)も基板101内に形成できる。いくつかの実施形態によれば、トランジスタは、高度な論理プロセス(例えば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどの技術ノード)備えており、高速である。いくつかの実施形態では、周辺回路108は、プロセッサおよびプログラマブル論理デバイス(PLD)などの論理回路、またはスタティック・ランダム・アクセス・メモリ(SRAM)およびダイナミックRAM(DRAM)などのメモリ回路を含む、高度な論理プロセスと互換性のある任意の他の回路をさらに含み得ることが理解されよう。
いくつかの実施形態では、3Dメモリデバイス100の第1の半導体構造102は、周辺回路108との間で電気信号を転送するために、周辺回路108の上方に相互接続層(図示せず)を、さらに含む。相互接続層は、横方向の相互接続線および垂直方向の相互接続アクセス(VIA)コンタクトを含む複数の相互接続(本明細書では「コンタクト」とも呼ばれる)を含むことができる。本明細書で使用される場合、「相互接続」という用語は、ミドル・エンド・オブ・ライン(MEOL)相互接続、およびバック・エンド・オブ・ライン(BEOL)相互接続などの任意の適切なタイプの相互接続を広く含むことができる。相互接続層は、相互接続線およびVIAコンタクトが形成され得る1つまたは複数の層間誘電体(ILD)層(「金属間誘電体(IMD)層」としても知られる)を、さらに含むことができる。すなわち、相互接続層は、複数のILD層内に相互接続線およびVIAコンタクトを含むことができる。相互接続層内の相互接続線およびVIAコンタクトは、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。相互接続層内のILD層は、酸化シリコン、窒化シリコン、酸窒化シリコン、低誘電率(low-k)誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。
図1Aに示すように、3Dメモリデバイス100の第1の半導体構造102は、接合インターフェイス106において、相互接続層および周辺回路108の上方に、接合層110をさらに含むことができる。接合層110は、複数の接合コンタクト111、および接合コンタクト111を電気的に絶縁する誘電体を含むことができる。接合コンタクト111は、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。接合層110の残りの領域は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体で形成することができる。接合コンタクト111、および接合層110内の周囲の誘電体は、ハイブリッド接合に使用することができる。
同様に、図1Aに示すように、3Dメモリデバイス100の第2の半導体構造104はまた、接合インターフェイス106、および第1の半導体構造102の接合層110の上方に、接合層112を含むことができる。接合層112は、複数の接合コンタクト113、および接合コンタクト113を電気的に絶縁する誘電体を含むことができる。接合コンタクト113は、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。接合層112の残りの領域は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体で形成することができる。接合コンタクト113、および接合層112内の周囲の誘電体は、ハイブリッド接合に使用することができる。いくつかの実施形態によれば、接合コンタクト113は、接合インターフェイス106で接合コンタクト111に接触している。
以下で詳細に説明するように、第2の半導体構造104は、接合インターフェイス106で、対面方式で第1の半導体構造102の上に接合することができる。いくつかの実施形態では、接合インターフェイス106は、ハイブリッド接合(「金属/誘電体ハイブリッド接合」としても知られる)の結果として、接合層110と112との間に配置される。これは、直接接合技術(例えば、はんだまたは接着剤などの中間層を使用せずに、表面間の接合を形成する)であり、金属―金属接合および誘電体―誘電体接合を、同時に達成できる いくつかの実施形態では、接合インターフェイス106は、接合層112および110が接触し、接合される場所である。実際には、接合インターフェイス106は、第1の半導体構造102の接合層110の天面、および第2の半導体構造104の接合層112の底面を含む、特定の厚さを有する層であり得る。
いくつかの実施形態では、3Dメモリデバイス100の第2の半導体構造104は、電気信号を転送するために、接合層112の上方に相互接続層(図示せず)を、さらに含む。相互接続層は、MEOL相互接続、およびBEOL相互接続などの複数の相互接続を含むことができる。相互接続層は、相互接続線、およびVIAコンタクトが形成され得る1つまたは複数のILD層を、さらに含むことができる。相互接続層内の相互接続線、およびVIAコンタクトは、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。相互接続層内のILD層は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。
いくつかの実施形態では、3Dメモリデバイス100は、メモリセルがNANDメモリストリングのアレイの形態で提供されるNANDフラッシュ・メモリ・デバイスである。図1Aに示すように、3Dメモリデバイス100の第2の半導体構造104は、NANDメモリストリングのアレイとして機能するチャネル構造124のアレイを含むことができる。図1Aに示すように、各チャネル構造124は、それぞれが導電層116および誘電体層118を含む複数の対を貫通して、垂直に延在できる。交互配置された導電層116および誘電体層118は、メモリスタック114の一部である。メモリスタック114内の導電層116および誘電体層118の対の数(例えば、32、64、96,128,160,192,224,256、またはそれ以上)は、3Dメモリデバイス100内のメモリセル数を決定する。いくつかの実施形態では、メモリスタック114は、互いに積み重ねられた複数のメモリデッキを含む、マルチデッキ構造(図示せず)を有してもよいことが理解されよう。各メモリデッキ内の導電層116および誘電体層118の対の数は、同じであっても異なっていてもよい。
メモリスタック114は、複数の交互配置された導電層116および誘電体層118を含むことができる。メモリスタック114内の導電層116および誘電体層118は、垂直方向に交互であり得る。言い換えれば、メモリスタック114の頂部または底部のものを除いて、各導電層116は、両側で2つの誘電体層118と隣接でき、各誘電体層118は両側で2つの導電層116と隣接できる。導電層116は、W、Co、Cu、Al、ポリシリコン、ドープシリコン、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。各導電層116は、接着層およびゲート誘電体層によって囲まれたゲート電極(ゲート線)を含むことができる。導電層116のゲート電極は、ワード線として横方向に延在し、メモリスタック114の1つまたは複数の階段構造で終わることができる。誘電体層118は、酸化シリコン、窒化シリコン、酸窒化シリコン、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。
図1Aに示すように、3Dメモリデバイス100の第2の半導体構造104はまた、メモリスタック114の上方に、N型ドープ半導体層120を含むことができる。N型ドープ半導体層120は、上述したような「側壁SEG」の一例であり得る。N型ドープ半導体層120は、シリコンなどの半導体材料を含むことができる。いくつかの実施形態では、N型ドープ半導体層120は、以下で詳細に説明するように、堆積技術によって形成されたポリシリコンを含む。N型ドープ半導体層120は、リン(P)、ヒ素(Ar)、またはアンチモン(Sb)などの任意の適切なN型ドーパントでドープすることができ、これは、自由電子に寄与し、真性半導体の導電率を増加させる。例えば、N型ドープ半導体層120は、P、Ar、またはSbなどのN型ドーパントでドープされたポリシリコン層であってもよい。
いくつかの実施形態では、各チャネル構造124は、半導体層(例えば、半導体チャネル128)および複合誘電体層(例えば、メモリ膜126)で、充填されたチャネルホールを含む。いくつかの実施形態では、半導体チャネル128は、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどのシリコンを含む。いくつかの実施形態では、メモリ膜126は、トンネル層、記憶層(「電荷トラップ層」としても知られる)、およびブロッキング層を含む複合層である。チャネル構造124の残りの空間は、酸化シリコンなどの誘電体材料を含むキャップ層、および/またはエアギャップで部分的または完全に充填することができる。チャネル構造124は、円筒形状(例えば、ピラー形状)を有することができる。いくつかの実施形態によれば、メモリ膜126のキャップ層、半導体チャネル128、トンネル層、記憶層、およびブロッキング層は、ピラーの中心から外面に向かって半径方向に、この順序で配置される。トンネル層は、酸化シリコン、酸窒化シリコン、またはそれらの任意の組み合わせを含むことができる。記憶層は、窒化シリコン、酸窒化シリコン、ケイ素、またはそれらの任意の組み合わせを含むことができる。ブロッキング層は、酸化シリコン、酸窒化シリコン、高k誘電体、またはそれらの任意の組み合わせを含むことができる。一例では、メモリ膜126は、酸化シリコン/酸窒化シリコン/酸化シリコン(ONO)の複合層を含むことができる。
いくつかの実施形態では、チャネル構造124は、チャネル構造124の底部(例えば、下端)に、チャネルプラグ129をさらに含む。本明細書で使用される場合、構成要素(例えば、チャネル構造124)の「上端」は、基板101が3Dメモリデバイス100の最下面に位置するときに、y方向において基板101からより遠い端部であり、構成要素(例えば、チャネル構造124)の「下端」は、y方向において基板101により近い端部である。チャネルプラグ129は、半導体材料(例えば、ポリシリコン)を含むことができる。いくつかの実施形態では、チャネルプラグ129は、NANDメモリストリングのドレインとして機能する。
図1Aに示すように、各チャネル構造124は、メモリスタック114の交互配置された導電層116および誘電体層118を貫通してN型ドープ半導体層120に垂直に延在できる。各チャネル構造124の上端は、N型ドープ半導体層120の天面と同一平面またはその下方にあり得る。すなわち、いくつかの実施形態によれば、チャネル構造124は、N型ドープ半導体層120の天面を超えて延在しない。いくつかの実施形態では、メモリ膜126の上端は、図1Aに示すように、チャネル構造124内の半導体チャネル128の上端より下方にある。いくつかの実施形態では、メモリ膜126の上端は、N型ドープ半導体層120の天面より下方にあり、半導体チャネル128の上端は、N型ドープ半導体層120の天面と同一平面またはそれより下方にある。例えば、図1Aに示すように、メモリ膜126はN型ドープ半導体層120の底面で終了してもよく、一方で、半導体チャネル128はN型ドープ半導体層120の底面の上方に延在してもよく、それにより、N型ドープ半導体層120は、N型ドープ半導体層120内へ延在する半導体チャネル128の頂部127を囲んでもよい。いくつかの実施形態では、N型ドープ半導体層120内に延在する半導体チャネル128の頂部127のドーピング濃度は、半導体チャネル128の残りのドーピング濃度とは異なる。例えば、半導体チャネル128は、頂部127を除いて非ドープのポリシリコンを含むことができ、これは、周囲のN型ドープ半導体層120との電気的接続を形成する際にその導電性を高めるために、ドープされたポリシリコンを含むことができる。
いくつかの実施形態では、3Dメモリデバイス100の第2の半導体構造104は、チャネル構造124の上端の上方にあり、かつ接触する導電層122を含む。導電層122は、複数のチャネル構造124を電気的に接続できる。図1Aの側面図には示されていないが、導電層122は、複数のチャネル構造124に接触する連続導電層(例えば、平面図では、ソースコンタクト132が通過することを可能にするための穴(メッシュ)を、その中に有する導電性プレート)であってもよいことが理解されよう。その結果、導電層122およびN型ドープ半導体層120は、同一ブロック内のNANDメモリストリングのアレイのソース間、すなわちACS間、の電気接続を共に提供することができる。図1Aに示すように、いくつかの実施形態では、導電層122は、横方向に2つの部分、すなわち、N型ドープ半導体層120上の第1の部分(チャネル構造124の領域の外側)と、N型ドープ半導体層120に当接し、チャネル構造124の上端に接触する第2の部分(チャネル構造124の領域内)とを含む。すなわち、いくつかの実施形態によれば、導電層122の少なくとも一部(すなわち、第1の部分)は、N型ドープ半導体層120上にある。いくつかの実施形態によれば、N型ドープ半導体層120内に延在する各チャネル構造124の上端を囲む導電層122の残りの部分(すなわち、第2の部分)は、半導体チャネル128の頂部127に接触している。以下で詳細に説明するように、メモリスタック114の形成、ならびに半導体チャネル128の導電層122および頂部127の形成は、N型ドープ半導体層120の対向する両側で行われ、これにより、メモリスタック114を貫通して延在する開口部を介しての堆積またはエッチングプロセスを回避することができ、それにより、製造の複雑さおよびコストが低減され、歩留まりおよび垂直スケーラビリティを向上できる。
いくつかの実施形態では、導電層122は、金属シリサイド層121および金属シリサイド層121の上方の金属層123を含む、垂直方向の複数の層を含む。金属シリサイド層121および金属層123のそれぞれは、連続膜であり得る。金属シリサイド層121は、N型ドープ半導体層120(導電層122の第1の部分内)、およびチャネル構造124(導電層122の第2の部分内)の上端の上方にあり、かつ接触して配置できる。いくつかの実施形態では、金属シリサイド層121の一部は、N型ドープ半導体層120内に延在する半導体チャネル128の頂部127を取り囲んで接触し、複数のチャネル構造124と電気的接続を行う。金属シリサイド層121は、金属シリサイド、例えば、銅シリサイド、コバルト・シリサイド、ニッケル・シリサイド、チタン・シリサイド、タングステン・シリサイド、銀シリサイド、アルミニウム・シリサイド、金シリサイド、白金シリサイド、任意の他の適切な金属シリサイド、またはそれらの任意の組み合わせを含むことができる。いくつかの実施形態によれば、金属層123は、金属シリサイド層121の上方にあり、かつ接触する。金属層123は、金属、例えば、W、Co、Cu、Al、ニッケル(Ni)、チタン(Ti)、任意の他の適切な金属、またはそれらの任意の組み合わせを含むことができる。金属層123内の金属は、任意の適切な導電性金属化合物および金属合金、例えば、窒化チタンおよび窒化タンタル、も広く含むことができることが理解されよう。金属シリサイド層121は、導電層122と半導体チャネル128の頂部127との間の接触抵抗を低減でき、導電層122内の金属層123のバリア層として機能することができる。
導電層122とN型ドープ半導体層120とを組み合わせることによって、チャネル構造124間(すなわち、同一ブロック内のNANDメモリストリングのACSにおいて)の伝導度を、N型ドープ半導体層120単独と比較して増加させることができ、それによって、3Dメモリデバイス100の電気的性能を改善できる。導電層122を導入することによって、チャネル構造124間で同一伝導度/抵抗を維持するために、N型ドープ半導体層120の厚さを、例えば、50nm未満などの、約50nm未満に低減することができる。いくつかの実施形態では、N型ドープ半導体層120の厚さは、約10nm~約30nm、例えば10nm~30nm(例えば、10nm、11nm、12nm、13nm、14nm、15nm、16nm、17nm、18nm、19nm、20nm、21nm、22nm、23nm、24nm、25nm、26nm、27nm、28nm、29nm、30nm、これらのいずれかの値によって下限で区切られた任意の範囲、またはこれらの値のいずれか2つによって定義される任意の範囲)である。チャネル構造124の半導体チャネル128の頂部127を囲む導電層122と組み合わせたN型ドープ半導体層120は、3Dメモリデバイス100の消去動作のためのGIDL支援ボディバイアスを有効にできる。NANDメモリストリングのソース選択ゲートの周りのGIDLは、NANDメモリストリング内にホール電流を生成して、消去動作のボディ電位を上昇させることができる。すなわち、いくつかの実施形態によれば、3Dメモリデバイス100は、消去動作を実行するときに、GIDL支援ボディバイアスを生成するように構成される。
図1Aに示すように、3Dメモリデバイス100の第2の半導体構造104は、各々がメモリスタック114の交互配置された導電層116および誘電体層118を貫通して垂直に延在する絶縁構造130を、さらに含むことができる。いくつかの実施形態によれば、N型ドープ半導体層120内にさらに延在するチャネル構造124とは異なり、絶縁構造130は、N型ドープ半導体層120の底面で停止する、すなわち、N型ドープ半導体層120内に垂直に延在しない。すなわち、絶縁構造130の天面は、N型ドープ半導体層120の底面と同一平面上にあり得る。各絶縁構造130はまた、横方向に延在し、チャネル構造124を複数のブロックに分離することができる。すなわち、メモリスタック114は、絶縁構造130によって複数のメモリブロックに分割することができ、それにより、チャネル構造124のアレイを、各メモリブロックに分離することができる。いくつかの実施形態によれば、前面ACSコンタクトを含む上述の既存の3DNANDメモリデバイスのスリット構造とは異なり、絶縁構造130は、その中に(すなわち、ソースコンタクトとして機能しない)コンタクトを含まず、したがって、導電層116(ワード線を含む)との寄生容量および漏れ電流をもたらさない。いくつかの実施形態では、各絶縁構造130は、酸化シリコン、窒化シリコン、酸窒化シリコン、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の誘電体材料で充填された開口部(例えば、スリット)を含む。一例では、各絶縁構造130は、酸化シリコンで充填されてもよい。
さらに、以下で詳細に説明するように、絶縁構造130を形成するための開口部は、N型ドープ半導体層120および導電層122の第2の部分を形成するために使用されないので、交互配置された導電層116および誘電体層118の数が増加するにつれて、開口部(例えば、50より大きい)のアスペクト比が増加しても、N型ドープ半導体層120および導電層122の形成に影響を与えないであろう。
図1に示すように、3Dメモリデバイス100は、前面ソースコンタクトの代わりに、メモリスタック114の上方にあり、N型ドープ半導体層120に接触する裏面ソースコンタクト132を含むことができる。ソースコンタクト132およびメモリスタック114(およびそれを貫通する絶縁構造130)は、N型ドープ半導体層120の両側に配置することができ、したがって「裏面」ソースコンタクトと見なすことができる。いくつかの実施形態では、ソースコンタクト132は、N型ドープ半導体層120を介して、チャネル構造124の半導体チャネル128に電気的に接続される。いくつかの実施形態では、ソースコンタクト132は、絶縁構造130と横方向に整列しておらず、それらの間の電気的接続の抵抗を低減するためにチャネル構造124に接近している。例えば、ソースコンタクト132は、絶縁構造130とチャネル構造124との間に横方向(例えば、図1のx方向)に、あってもよい。ソースコンタクト132は、任意の適切なタイプのコンタクトを含むことができる。いくつかの実施形態では、ソースコンタクト132はVIAコンタクトを含む。いくつかの実施形態では、ソースコンタクト132は、横方向に延在する壁状コンタクトを含む。ソースコンタクト132は、金属層(例えば、W、Co、Cu、もしくはAl)または接着層(例えば、窒化チタン(TiN))に囲まれたシリサイド層などの、1つまたは複数の導電層を含むことができる。
図1Aに示すように、3Dメモリデバイス100は、例えば、3Dメモリデバイス100と外部回路との間で電気信号を転送する、信号出力パッド用のソースコンタクト132の上方にあり、かつ電気的に接続されたBEOL相互接続層133をさらに含むことができる。いくつかの実施形態では、相互接続層133は、N型ドープ半導体層120上の1つまたは複数のILD層134、およびILD層134上の再配線層136を含む。いくつかの実施形態によれば、ソースコンタクト132の上端は、ILD層134の天面および再配線層136の底面と同一平面上にあり、ソースコンタクト132は、ILD層134および導電層122を貫通してN型ドープ半導体層120に垂直に延在する。相互接続層133内のILD層134は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。相互接続層133内の再配線層136は、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。一例では、再配線層136はAlを含むことができる。いくつかの実施形態では、相互接続層133は、3Dメモリデバイス100の不動態化および保護のための最外層として、パッシベーション層138をさらに含む。再配線層136の一部は、コンタクトパッド140としてパッシベーション層138から露出することができる。すなわち、3Dメモリデバイス100の相互接続層133はまた、ワイヤボンディングおよび/またはインターポーザとのボンディングのためのコンタクトパッド140を含むことができる。
いくつかの実施形態では、3Dメモリデバイス100の第2の半導体構造104は、N型ドープ半導体層120を貫通するコンタクト142および144を、さらに含む。いくつかの実施形態によれば、N型ドープ半導体層120はポリシリコンを含むことができるので、コンタクト142および144は、シリコン貫通コンタクト(TSC)である。いくつかの実施形態では、コンタクト142は、N型ドープ半導体層120およびILD層134を貫通して延在し、再配線層136に接触しており、それにより、N型ドープ半導体層120は、相互接続層133のソースコンタクト132および再配線層136を介して、コンタクト142に電気的に接続される。いくつかの実施形態では、コンタクト144は、コンタクトパッド140に接触するようにN型ドープ半導体層120およびILD層134を貫通して延在する。コンタクト142および144はそれぞれ、金属層(例えば、W、Co、Cu、もしくはAl)、または接着層(例えば、TiN)によって囲まれたシリサイド層などの1つまたは複数の導電層を含むことができる。いくつかの実施形態では、少なくともコンタクト144は、コンタクト144をN型ドープ半導体層120から電気的に分離するためのスペーサ(例えば、誘電体層)をさらに含む。
いくつかの実施形態では、3Dメモリデバイス100は、各々がメモリスタック114の外側で垂直に延在する周辺コンタクト146および148をさらに含む。各周辺コンタクト146または148は、メモリスタック114の外側の周辺領域において、接合層112からN型ドープ半導体層120まで垂直に延在するように、メモリスタック114の深さよりも大きい深さを有することができる。いくつかの実施形態では、コンタクト142は周辺コンタクト146の下方にあり、かつ接触しており、それにより、N型ドープ半導体層120は、少なくともソースコンタクト132、相互接続層133、コンタクト142、および周辺コンタクト146を介して、第1の半導体構造102内の周辺回路108に電気的に接続される。いくつかの実施形態では、周辺コンタクト148はコンタクト144の下方にあり、かつ接触しており、それにより、第1の半導体構造102内の周辺回路108は、少なくともコンタクト144および周辺コンタクト148を介して、信号出力パッド用のコンタクトパッド140に電気的に接続される。周辺コンタクト146および148はそれぞれ、金属層(例えば、W、Co、Cu、もしくはAl)、または接着層(例えば、TiN)によって囲まれたシリサイド層などの1つまたは複数の導電層を含むことができる。いくつかの実施形態では、導電層122はメモリスタック114の領域内にあり、すなわち、周辺領域内に横方向に延在せず、それにより、コンタクト142および144は、それぞれ周辺コンタクト148および144に接触するために導電層122を貫通して垂直に延在しない。
図1に示すように、3Dメモリデバイス100はまた、メモリスタック114内の構造と直接接触する、相互接続構造の一部としての様々なローカルコンタクト(「C1」としても知られる)を含む。いくつかの実施形態では、ローカルコンタクトは、それぞれのチャネル構造124の下端の下方あり、かつ接触するチャネル・ローカル・コンタクト150を含む。各チャネル・ローカル・コンタクト150は、ビット線ファンアウトのためのビット線コンタクト(図示せず)に、電気的に接続することができる。いくつかの実施形態では、ローカルコンタクトは、ワード線ファンアウトのためにメモリスタック114の階段構造において、それぞれの導電層116(ワード線を含む)の下方にあり、かつ接触するワード線ローカルコンタクト152をさらに含む。チャネル・ローカル・コンタクト150およびワード線ローカルコンタクト152などのローカルコンタクトは、少なくとも接合層112および110を介して、第1の半導体構造102の周辺回路108に電気的に接続することができる。チャネル・ローカル・コンタクト150およびワード線ローカルコンタクト152などのローカルコンタクトはそれぞれ、金属層(例えば、W、Co、Cu、もしくはAl)または接着層(例えば、TiN)によって囲まれたシリサイド層などの1つまたは複数の導電層を含むことができる。
図1Bは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイス150の断面の側面図を示す。3Dメモリデバイス150は、導電層122およびチャネル構造124の上端の異なる構造を除いて、3Dメモリデバイス100と同様である。説明を容易にするために、3Dメモリデバイス150および100の両方における他の同一構造の詳細は、繰り返さないことが理解されよう。
図1Bに示すように、いくつかの実施形態によれば、各チャネル構造124は、N型ドープ半導体層120に当接するチャネルプラグ125をさらに含む。いくつかの実施形態では、各チャネルプラグ125は、半導体チャネル128のそれぞれの頂部127を取り囲んで接触する。チャネルプラグ125の天面は、N型ドープ半導体層120の天面と同一平面とすることができる。チャネルプラグ125は、半導体チャネル128の頂部127と同一材料、例えば、ドープされたポリシリコンを有することができ、したがって、チャネル構造124の半導体チャネル128の一部として見ることができる。すなわち、N型ドープ半導体層120によって囲まれたドープポリシリコン構造全体は、本開示におけるチャネル構造124の上端として見ることができる。したがって、いくつかの実施形態によれば、3Dメモリデバイス100および150の両方の導電層122(およびその中の金属シリサイド層121)は、チャネル構造124の上端に接触している。
図1Aに示す、導電層122の第2の部分がN型ドープ半導体層120の天面の下方にあり、チャネル構造124の上端を囲む3Dメモリデバイス100の導電層122とは異なり、図1Bにおいては、チャネル構造124の上端もチャネルプラグ125を含むために、導電層122全体は、N型ドープ半導体層120の天面の上方にある。図1Bに示すように、チャネル構造124の上端の天面は、N型ドープ半導体層120の天面と同一平面上にあり、導電層122は、N型ドープ半導体層120およびチャネル構造124の上端上に配置される。言い換えれば、N型ドープ半導体層120と半導体チャネル128の頂部127との間の凹部を充填する3Dメモリデバイス100内の導電層122の一部は、3Dメモリデバイス150内のチャネルプラグ125によって置き換えることができ、それにより、導電層122は、N型ドープ半導体層120およびチャネル構造124の天面上の同一平面内に形成することができる。
図1Cは、本開示のいくつかの実施形態による、さらに別の例示的な3Dメモリデバイス160の断面の側面図を示す。3Dメモリデバイス160は、導電層122の異なる構造を除いて、3Dメモリデバイス100と同様である。説明を容易にするために、3Dメモリデバイス160および100の両方における他の同一構造の詳細は、繰り返さないことが理解されよう。
図1Cに示すように、いくつかの実施形態によれば、導電層122の金属層123は、半導体チャネル128に接触しており、金属層123の一部は、金属シリサイド層121の上方にあり、かつ接触する。金属シリサイド層121の一部がN型ドープ半導体層120の天面の下方にあり、半導体チャネル128の頂部127を囲む3Dメモリデバイス100の導電層122とは異なり、3Dメモリデバイス160では、金属層123のみがN型ドープ半導体層120の天面の下方にあり、半導体チャネル128の頂部127を囲む。それでもなお、導電層122の第1の部分は、3Dメモリデバイス100,150、および160におい同一構造を有し、すなわち、N型ドープ半導体層120上の金属シリサイド層121と、金属シリサイド層121の上方にあり、かつ接触する金属層123と、を有する。導電層122の第2の部分(チャネル構造124の領域内)に関しては、製造プロセス(例えば、N型ドープ半導体層120と半導体チャネル128の頂部127との間の凹部がどのように充填されるか)に対応して、以下に詳細に説明する導電層122を形成するための異なる例が、3Dメモリデバイス100,150、および160の様々な構造の原因となり得る。
例えば、以下で詳細に説明するように、図1Cの3Dメモリデバイス160の金属シリサイド層121は、チャネル構造124のチャネルホールのエッチングを自動的に停止するための停止層の一部であってもよい。停止層は、N型ドープ半導体層120の裏面からチャネル構造124の上端を露出させるようにパターニングされてもよく、停止層の残りの部分は、金属シリサイド層121として3Dメモリデバイス160内に残ってもよい。次いで、金属層123を形成して、N型ドープ半導体層120と半導体チャネル128の頂部127との間、ならびに金属シリサイド層121上の凹部を充填することができる。対照的に、3Dメモリデバイス100および150の同一停止層は、導電層122の形成前に除去されてもよい。したがって、3Dメモリデバイス100および150内の金属シリサイド層121は、3Dメモリデバイス100内のチャネルプラグ125なし、または3Dメモリデバイス150内のチャネルプラグ125ありのいずれかで、チャネル構造124の上端に接触するように、N型ドープ半導体層120の裏面から停止層を除去した後に形成することができ、これにより、チャネル構造124との接触抵抗を低下させることができるが、3Dメモリデバイス160内の導電層122と比較してプロセス数が増加する。
図2Aは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイス200の断面の側面図を示す。いくつかの実施形態では、3Dメモリデバイス200は、第1の半導体構造202と、第1の半導体構造202の上に積層された第2の半導体構造204とを含む接合チップである。いくつかの実施形態によれば、第1および第2の半導体構造202および204は、それらの間の接合インターフェイス206で連結される。図2Aに示すように、第1の半導体構造202は、基板201を含むことができ、それは、シリコン(例えば、単結晶シリコン、c-Si)、SiGe、GaAs、Ge、SOI、または任意の他の適切な材料を含むことができる。
3Dメモリデバイス200の第1の半導体構造202は、基板201上に周辺回路208を含むことができる。いくつかの実施形態では、周辺回路208は、3Dメモリデバイス200を制御および検知するように構成される。周辺回路208は、ページバッファ、デコーダ(例えば、行デコーダおよび列デコーダ)、検知増幅器、ドライバ(例えば、ワード線ドライバ)、チャージポンプ、電流もしくは電圧基準、または回路の任意の能動もしくは受動構成要素(例えば、トランジスタ、ダイオード、抵抗器、またはコンデンサ)を含むが、これらに限定されない、3Dメモリデバイス200の動作を容易にするために使用される任意の適切なデジタル、アナログ、および/または混合信号制御および検知回路とすることができる。周辺回路208は、基板201上に形成されたトランジスタを含むことができ、その中で、トランジスタの全体または一部は、基板201内に(例えば、基板201の天面の下)および/または基板201上に、直接形成される。分離領域(例えば、シャロー・トレンチ・アイソレーション(STI))およびドープ領域(例えば、トランジスタのソース領域およびドレイン領域)も、基板201内に形成できる。いくつかの実施形態によれば、トランジスタは、高度な論理プロセス(例えば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどの技術ノード)備えており、高速である。いくつかの実施形態では、周辺回路208は、プロセッサおよびPLDなどの論理回路、またはSRAMおよびDRAMなどのメモリ回路を含む、高度な論理プロセスと互換性のある任意の他の回路をさらに含み得ることが理解されよう。
いくつかの実施形態では、3Dメモリデバイス200の第1の半導体構造202は、周辺回路208との間で電気信号を転送するために、周辺回路208の上方に相互接続層(図示せず)をさらに含む。相互接続層は、横方向の相互接続線およびVIAコンタクトを含む複数の相互接続(本明細書では「コンタクト」とも呼ばれる)を含むことができる。本明細書で使用される場合、「相互接続」という用語は、MEOL相互接続、およびBEOL相互接続などの任意の適切なタイプの相互接続を広く含むことができる。相互接続層は、相互接続線およびVIAコンタクトが形成され得る1つまたは複数のILD層(「(IMD層」としても知られる)をさらに含むことができる。すなわち、相互接続層は、複数のILD層内に相互接続線およびVIAコンタクトを含むことができる。相互接続層内の相互接続線およびVIAコンタクトは、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。相互接続層内のILD層は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。
図2Aに示すように、3Dメモリデバイス200の第1の半導体構造202は、接合インターフェイス206において、相互接続層および周辺回路208の上方に、接合層210をさらに含むことができる。接合層210は、複数の接合コンタクト211と、接合コンタクト211を電気的に絶縁する誘電体とを含むことができる。接合コンタクト211は、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。接合層210の残りの領域は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体で形成することができる。接合コンタクト211および接合層210内の周囲の誘電体は、ハイブリッド接合に使用することができる。
同様に、図2Aに示すように、3Dメモリデバイス200の第2の半導体構造204はまた、接合インターフェイス206、および第1の半導体構造202の接合層210の上方に接合層212を含むことができる。接合層212は、複数の接合コンタクト213と、接合コンタクト213を電気的に絶縁する誘電体とを含むことができる。接合コンタクト213は、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。接合層212の残りの領域は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体で形成することができる。接合コンタクト213および接合層212内の周囲の誘電体は、ハイブリッド接合に使用することができる。いくつかの実施形態によれば、接合コンタクト213は、接合インターフェイス206で接合コンタクト211に接触している。
以下で詳細に説明するように、第2の半導体構造204は、接合インターフェイス206で、対面方式で第1の半導体構造202の上に接合することができる。いくつかの実施形態では、接合インターフェイス206は、ハイブリッド接合(「金属/誘電体ハイブリッド接合」としても知られる)の結果として、接合層210と212との間に配置される。これは、直接接合技術(例えば、はんだまたは接着剤などの中間層を使用せずに表面間の接合を形成する)であり、金属―金属接合および誘電体―誘電体接合を同時に達成できる いくつかの実施形態では、接合インターフェイス206は、接合層212および210が接触し、接合される場所である。実際には、接合インターフェイス206は、第1の半導体構造202の接合層210の天面および第2の半導体構造204の接合層212の底面を含む、特定の厚さを有する層であり得る。
いくつかの実施形態では、3Dメモリデバイス200の第2の半導体構造204は、電気信号を転送するために、接合層212の上方に相互接続層(図示せず)をさらに含む。相互接続層は、MEOL相互接続、およびBEOL相互接続などの複数の相互接続を含むことができる。相互接続層は、相互接続線、およびVIAコンタクトが形成され得る1つまたは複数のILD層を、さらに含むことができる。相互接続層内の相互接続線、およびVIAコンタクトは、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。相互接続層内のILD層は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。
いくつかの実施形態では、3Dメモリデバイス200は、メモリセルがNANDメモリストリングのアレイの形態で提供されるNANDフラッシュ・メモリ・デバイスである。図2Aに示すように、3Dメモリデバイス200の第2の半導体構造204は、NANDメモリストリングのアレイとして機能するチャネル構造224のアレイを含むことができる。図2Aに示すように、各チャネル構造224は、それぞれが導電層216および誘電体層218を含む複数の対を貫通して垂直に延在できる。交互配置された導電層216および誘電体層218は、メモリスタック214の一部である。メモリスタック214内の導電層216および誘電体層218の対の数(例えば、32、64、96,128,160,192,224,256、またはそれ以上)は、3Dメモリデバイス200内のメモリセル数を決定する。いくつかの実施形態では、メモリスタック214は、互いに積み重ねられた複数のメモリデッキを含む、マルチデッキ構造(図示せず)を有してもよいことが理解されよう。各メモリデッキ内の導電層216および誘電体層218の対の数は、同じであっても異なっていてもよい。
メモリスタック214は、複数の交互配置された導電層216および誘電体層218を含むことができる。メモリスタック214内の導電層216および誘電体層218は、垂直方向に交互であり得る。言い換えれば、メモリスタック214の頂部または底部のものを除いて、各導電層216は両側で2つの誘電体層218と隣接でき、各誘電体層218は両側で2つの導電層216と隣接できる。導電層216は、W、Co、Cu、Al、ポリシリコン、ドープシリコン、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。各導電層216は、接着層およびゲート誘電体層によって囲まれたゲート電極(ゲート線)を含むことができる。導電層216のゲート電極は、ワード線として横方向に延在し、メモリスタック214の1つまたは複数の階段構造で終わることができる。誘電体層218は、酸化シリコン、窒化シリコン、酸窒化シリコン、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。
図2Aに示すように、3Dメモリデバイス200の第2の半導体構造204はまた、メモリスタック114の上方に、P型ドープ半導体層220を含むことができる。P型ドープ半導体層220は、上述したような「側壁SEG」の一例であり得る。P型ドープ半導体層220は、シリコンなどの半導体材料を含むことができる。いくつかの実施形態では、P型ドープ半導体層220は、以下で詳細に説明するように、堆積技術によって形成されたポリシリコンを含む。P型ドープ半導体層220は、ホウ素(B)、ガリウム(Ga)、またはアルミニウム(Al)などの任意の適切なP型ドーパントでドープすることができ、真性半導体には「正孔」と呼ばれる価電子の欠損を作り出す。例えば、P型ドープ半導体層220は、B、Ga、またはAlなどのP型ドーパントでドープされたポリシリコン層であってもよい。
いくつかの実施形態では、3Dメモリデバイス200の第2の半導体構造204は、P型ドープ半導体層220内に、Nウェル221をさらに含む。Nウェル221は、リン(P)、ヒ素(Ar)、またはアンチモン(Sb)などの任意の適切なN型ドーパントでドープすることができ、これは、自由電子に寄与し、真性半導体の導電率を増加させる。いくつかの実施形態では、Nウェル221は、P型ドープ半導体層220の底面からドープされる。Nウェル221は、P型ドープ半導体層220の厚さ全体に、すなわちP型ドープ半導体層220の天面に、またはP型ドープ半導体層220の厚さ全体の一部に、垂直に延在してもよいことが理解されよう。
いくつかの実施形態では、各チャネル構造224は、半導体層(例えば、半導体チャネル228)および複合誘電体層(例えば、メモリ膜226)で、充填されたチャネルホールを含む。いくつかの実施形態では、半導体チャネル228は、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどのシリコンを含む。いくつかの実施形態では、メモリ膜226は、トンネル層、記憶層(「電荷トラップ層」としても知られる)、およびブロッキング層を含む複合層である。チャネル構造224の残りの空間は、酸化シリコンなどの誘電体材料を含むキャップ層、および/またはエアギャップで部分的または完全に充填することができる。チャネル構造224は、円筒形状(例えば、ピラー形状)を有することができる。いくつかの実施形態によれば、メモリ膜226のキャップ層、半導体チャネル228、トンネル層、記憶層、およびブロッキング層は、ピラーの中心から外面に向かって半径方向に、この順序で配置される。トンネル層は、酸化シリコン、酸窒化シリコン、またはそれらの任意の組み合わせを含むことができる。記憶層は、窒化シリコン、酸窒化シリコン、ケイ素、またはそれらの任意の組み合わせを含むことができる。ブロッキング層は、酸化シリコン、酸窒化シリコン、高k誘電体、またはそれらの任意の組み合わせを含むことができる。一例では、メモリ膜226は、酸化シリコン/酸窒化シリコン/酸化シリコン(ONO)の複合層を含むことができる。
いくつかの実施形態では、チャネル構造224は、チャネル構造224の底部(例えば、下端)にチャネルプラグ227をさらに含む。本明細書で使用される場合、構成要素(例えば、チャネル構造224)の「上端」は、基板201が3Dメモリデバイス200の最下面に位置するときに、y方向において基板201からより遠い端部であり、構成要素(例えば、チャネル構造224)の「下端」は、y方向において基板201により近い端部である。チャネルプラグ227は、半導体材料(例えば、ポリシリコン)を含むことができる。いくつかの実施形態では、チャネルプラグ227は、NANDメモリストリングのドレインとして機能する。
図2Aに示すように、各チャネル構造224は、メモリスタック214の交互配置された導電層216および誘電体層218を通ってP型ドープ半導体層220に垂直に延在できる。各チャネル構造224の上端は、P型ドープ半導体層220の天面と同一平面またはそれより下方にあり得る。すなわち、いくつかの実施形態によれば、チャネル構造224は、P型ドープ半導体層220の天面を超えて延在しない。いくつかの実施形態では、メモリ膜226の上端は、図2Aに示すように、チャネル構造224内の半導体チャネル228の上端より下方にある。いくつかの実施形態では、メモリ膜226の上端は、P型ドープ半導体層220の天面より下方にあり、半導体チャネル228の上端は、P型ドープ半導体層220の天面と同一平面またはそれより下方にある。例えば、図2Aに示すように、メモリ膜226は、P型ドープ半導体層220の底面で終了してもよく、一方で、半導体チャネル228は、P型ドープ半導体層220の底面の上方に延在してもよく、それにより、P型ドープ半導体層220は、P型ドープ半導体層220内に延在する半導体チャネル228の頂部229を囲んで、かつ接触してもよい。いくつかの実施形態では、P型ドープ半導体層220内に延在する半導体チャネル228の頂部229のドーピング濃度は、半導体チャネル228の残りのドーピング濃度とは異なる。例えば、半導体チャネル228は、頂部229を除いて非ドープのポリシリコンを含むことができ、これは、周囲のP型ドープ半導体層220との電気的接続を形成する際にその導電性を高めるために、ドープされたポリシリコンを含むことができる。
いくつかの実施形態では、3Dメモリデバイス200の第2の半導体構造204は、チャネル構造224の上端の上方にあり、かつ接触する導電層222を含む。導電層222は、複数のチャネル構造224を電気的に接続できる。図2Aの側面図には示されていないが、導電層222は、複数のチャネル構造224に接触する連続導電層(例えば、平面図では、ソースコンタクト232が通過することを可能にするための穴(メッシュ)を、その中に有する導電性プレート)であってもよいことが理解されよう。その結果、導電層222およびP型ドープ半導体層220は、同一ブロック内のNANDメモリストリングのアレイのソース間、すなわちACS間、の電気接続を共に提供することができる。図2Aに示すように、いくつかの実施形態では、導電層222は、横方向に2つの部分、すなわち、P型ドープ半導体層220上の第1の部分(チャネル構造224の領域の外側)、P型ドープ半導体層220に当接し、チャネル構造224の上端に接触する第2の部分(チャネル構造224の領域内)を含む。すなわち、いくつかの実施形態によれば、導電層222の少なくとも一部(すなわち、第1の部分)は、P型ドープ半導体層220上にある。いくつかの実施形態によれば、P型ドープ半導体層220内に延在する各チャネル構造224の上端を囲む導電層222の残りの部分(すなわち、第2の部分)は、半導体チャネル228の頂部229に接触している。以下で詳細に説明するように、メモリスタック214の形成、ならびに半導体チャネル228の導電層222および頂部229の形成は、P型ドープ半導体層220の対向する両側で行われ、これにより、メモリスタック114を貫通して延在する開口部を介しての堆積またはエッチングプロセスを回避することができ、それにより、製造の複雑さおよびコストが低減され、歩留まりおよび垂直スケーラビリティを向上できる。
いくつかの実施形態では、導電層222は、金属シリサイド層219および金属シリサイド層219の上方の金属層223を含む、垂直方向の複数の層を含む。金属シリサイド層219および金属層223のそれぞれは、連続膜であり得る。金属シリサイド層219は、P型ドープ半導体層220(導電層222の第1の部分内)、およびチャネル構造224(導電層222の第2の部分内)の上端の上方にあり、かつ接触して配置することができる。いくつかの実施形態では、金属シリサイド層219の一部は、P型ドープ半導体層220内に延在する半導体チャネル228の頂部229を取り囲んで接触し、複数のチャネル構造224との電気的接続を行う。金属シリサイド層219は、金属シリサイド、例えば、銅シリサイド、コバルト・シリサイド、ニッケル・シリサイド、チタン・シリサイド、タングステン・シリサイド、銀シリサイド、アルミニウム・シリサイド、金シリサイド、白金シリサイド、任意の他の適切な金属シリサイド、またはそれらの任意の組み合わせを含むことができる。いくつかの実施形態によれば、金属層223は、金属シリサイド層219の上方にあり、かつ接触する。金属層223は、金属、例えば、W、Co、Cu、Al、Ni、Ti、任意の他の適切な金属、またはそれらの任意の組み合わせを含むことができる。金属層223内の金属は、任意の適切な導電性金属化合物および金属合金、例えば、窒化チタンおよび窒化タンタルも、広く含むことができることが理解されよう。金属シリサイド層219は、導電層222と半導体チャネル228の頂部229との間の接触抵抗を低減でき、導電層222内の金属層223のバリア層として機能することができる。
導電層222とP型ドープ半導体層220とを組み合わせることによって、チャネル構造224間(すなわち、同一ブロック内のNANDメモリストリングのACSにおいて)の伝導度を、P型ドープ半導体層220単独と比較して増加させることができ、それによって3Dメモリデバイス200の電気的性能を改善できる。導電層222を導入することによって、チャネル構造224間で同一伝導度/抵抗を維持するために、P型ドープ半導体層220の厚さを、例えば、50nm未満などの、約50nm未満に低減することができる。いくつかの実施形態では、P型ドープ半導体層220の厚さは、約10nm~約30nm、例えば10nm~30nm(例えば、10nm、11nm、12nm、13nm、14nm、15nm、16nm、17nm、18nm、19nm、20nm、21nm、22nm、23nm、24nm、25nm、26nm、27nm、28nm、29nm、30nm、これらのいずれかの値によって下限で区切られた任意の範囲、またはこれらの値のいずれか2つによって定義される任意の範囲)である。チャネル構造224の半導体チャネル228の頂部229を囲む導電層222と組み合わせたN型ドープ半導体層220は、3Dメモリデバイス200のPウェル・バルク消去動作を有効にできる。本明細書で開示される3Dメモリデバイス200の設計は、消去動作および読み出し動作をそれぞれ形成するために、正孔電流経路および電子電流経路の分離を達成することができる。いくつかの実施形態では、3Dメモリデバイス200は、電子源(例えば、Nウェル221)とチャネル構造224の半導体チャネル228との間に電子電流経路を形成して、いくつかの実施形態よる、読み出し動作を実行するときに、NANDメモリストリングに電子を提供するように構成される。逆に、いくつかの実施形態によれば、3Dメモリデバイス200は、正孔ソース(例えば、P型ドープ半導体層220)とチャネル構造224の半導体チャネル228との間に正孔電流経路を形成して、Pウェル・バルク消去動作を実行するときに、NANDメモリストリングに正孔を提供するように構成される。
図2Aに示すように、3Dメモリデバイス200の第2の半導体構造204は、各々がメモリスタック214の交互配置された導電層216および誘電体層218を貫通して垂直に延在する絶縁構造230を、さらに含むことができる。いくつかの実施形態によれば、P型ドープ半導体層220内にさらに延在するチャネル構造224とは異なり、絶縁構造230は、P型ドープ半導体層220の底面で停止する、すなわちP型ドープ半導体層220内に垂直に延在しない。すなわち、絶縁構造230の天面は、P型ドープ半導体層220の底面と同一平面上にあり得る。各絶縁構造230はまた、横方向に延在し、チャネル構造224を複数のブロックに分離することができる。すなわち、メモリスタック214は、絶縁構造230によって複数のメモリブロックに分割することができ、それにより、チャネル構造224のアレイを、各メモリブロックに分離することができる。いくつかの実施形態によれば、前面ACSコンタクトを含む上述の既存の3DNANDメモリデバイスのスリット構造とは異なり、絶縁構造230は、その中に(すなわち、ソースコンタクトとして機能しない)コンタクトを含まず、したがって、導電層216(ワード線を含む)との寄生容量および漏れ電流を、もたらさない。いくつかの実施形態では、各絶縁構造230は、酸化シリコン、窒化シリコン、酸窒化シリコン、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の誘電体材料で充填された開口部(例えば、スリット)を含む。一例では、各絶縁構造230は、酸化シリコンで充填されてもよい。
さらに、以下で詳細に説明するように、絶縁構造230を形成するための開口部は、P型ドープ半導体層220を形成するために使用されないので、交互配置された導電層216および誘電体層218の数が増加するにつれて、開口部(例えば、50より大きい)のアスペクト比が増加しても、P型ドープ半導体層220および導電層222の形成に影響を与えないであろう。
図2Aに示すように、3Dメモリデバイス200は、前面ソースコンタクトの代わりに、メモリスタック214の上方にあり、それぞれNウェル221およびP型ドープ半導体層220に接触する裏面ソースコンタクト231および232を含むことができる。ソースコンタクト231および232、ならびにメモリスタック214(およびそれらを貫通する絶縁構造230)は、P型ドープ半導体層220の両側に配置することができ、したがって「裏面」ソースコンタクトとして見なすことができる。いくつかの実施形態では、P型ドープ半導体層220に接触するソースコンタクト232は、P型ドープ半導体層220を介して、チャネル構造224の半導体チャネル228に電気的に接続される。いくつかの実施形態では、Nウェル221に接触するソースコンタクト231は、P型ドープ半導体層220を介して、チャネル構造224の半導体チャネル228に電気的に接続される。いくつかの実施形態では、ソースコンタクト232は、絶縁構造230と横方向に整列しておらず、それらの間の電気的接続の抵抗を低減するためにチャネル構造224に接近している。図2Aに示すように、ソースコンタクト231は絶縁構造230と横方向に整列しているが、いくつかの例では、ソースコンタクト231は絶縁構造230と横方向に整列しておらず、チャネル構造224(例えば、絶縁構造230とチャネル構造224との間で横方向)に接近して、それらの間の電気的接続の抵抗も低減することができることが理解されよう。上述したように、ソースコンタクト231および232は、それぞれ読み出し動作および消去動作中に、電子電流および正孔電流を別々に制御するために使用することができる。ソースコンタクト231および232は、任意の適切なタイプのコンタクトを含むことができる。いくつかの実施形態では、ソースコンタクト231および232はVIAコンタクトを含む。いくつかの実施形態では、ソースコンタクト231および232は、横方向に延在する壁状コンタクトを含む。ソースコンタクト231および232は、金属層(例えば、W、Co、Cu、もしくはAl)、または接着層(例えば、TiN)によって囲まれたシリサイド層などの1つまたは複数の導電層を含むことができる。
図2に示すように、3Dメモリデバイス100は、例えば、3Dメモリデバイス200と外部回路との間で電気信号を転送する、信号出力パッド用のソースコンタクト231および232の上方にあり、かつ電気的に接続されたBEOL相互接続層233を、さらに含むことができる。いくつかの実施形態では、相互接続層233は、P型ドープ半導体層220上の1つまたは複数のILD層234、およびILD層234上の再配線層236を含む。ソースコンタクト231または232の上端は、ILD層234の天面および再配線層236の底面と同一平面上にある。ソースコンタクト231および232は、ILD層234上で電気的に分離することができる。いくつかの実施形態では、ソースコンタクト232は、ILD層234および導電層222を貫通してP型ドープ半導体層220内に垂直に延在し、P型ドープ半導体層220と電気的接続を行う。いくつかの実施形態では、ソースコンタクト231は、ILD層234、導電層222、およびP型ドープ半導体層220を貫通してNウェル221内に垂直に延在し、Nウェル221と電気的接続を行う。ソースコンタクト231は、その側壁を囲んでP型ドープ半導体層220から電気的に分離されるスペーサ(例えば、誘電体層)を含むことができる。再配線層236は、2つの電気的に分離された相互接続、すなわち、ソースコンタクト232に接触する第1の相互接続部236-1、およびソースコンタクト231に接触する第2の相互接続部236-2、を含むことができる。
相互接続層233内のILD層234は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むが、これらに限定されない、誘電体材料を含むことができる。相互接続層233内の再配線層236は、W、Co、Cu、Al、シリサイド、またはそれらの任意の組み合わせを含むが、これらに限定されない、導電性材料を含むことができる。一例では、再配線層236はAlを含む。いくつかの実施形態では、相互接続層233は、3Dメモリデバイス200の不動態化および保護のための最外層として、パッシベーション層238をさらに含む。再配線層236の一部は、コンタクトパッド240としてパッシベーション層238から露出することができる。すなわち、3Dメモリデバイス200の相互接続層233はまた、ワイヤボンディングおよび/またはインターポーザとのボンディングのためのコンタクトパッド240を含むことができる。
いくつかの実施形態では、3Dメモリデバイス200の第2の半導体構造204は、P型ドープ半導体層220を貫通する、コンタクト242,243および244をさらに含む。いくつかの実施形態によれば、P型ドープ半導体層220はポリシリコンを含むことができるので、コンタクト242,243および244は、TSCである。いくつかの実施形態では、コンタクト242は、P型ドープ半導体層220およびILD層234を貫通して延在し、再配線層236の第1の相互接続部236-1に接触しており、それにより、P型ドープ半導体層220は、相互接続層233のソースコンタクト232および第1の相互接続部236-1を介して、コンタクト242に電気的に接続される。いくつかの実施形態では、コンタクト243は、P型ドープ半導体層220およびILD層234を貫通して延在し、再配線層236の第2の相互接続部236-2に接触しており、それにより、Nウェル221は、ソースコンタクト231および相互接続層233の第2の相互接続部236-2を介して、コンタクト243に電気的に接続される。いくつかの実施形態では、コンタクト244は、コンタクトパッド240に接触するようにP型ドープ半導体層220およびILD層234を貫通して延在する。コンタクト242,243、および244はそれぞれ、金属層(例えば、W、Co、Cu、もしくはAl)、または接着層(例えば、TiN)によって囲まれたシリサイド層などの1つまたは複数の導電層を含むことができる。いくつかの実施形態では、少なくともコンタクト243および244は各々、コンタクト243および244をP型ドープ半導体層220から電気的に分離するためのスペーサ(例えば、誘電体層)をさらに含む。
いくつかの実施形態では、3Dメモリデバイス200は、各々がメモリスタック214の外側に垂直に延在する周辺コンタクト246,247、248をさらに含む。各周辺コンタクト246,247、または248は、メモリスタック214の外側の周辺領域において、接合層212からP型ドープ半導体層220まで垂直に延在するように、メモリスタック214の深さよりも大きい深さを有することができる。いくつかの実施形態では、周辺コンタクト242はコンタクト242の下方にあり、かつ接触しており、それにより、P型ドープ半導体層220は、少なくともソースコンタクト232、相互接続層233の第1の相互接続部236-1、コンタクト246、および周辺コンタクト246を介して、第1の半導体構造202内の周辺回路208に電気的に接続される。いくつかの実施形態では、周辺コンタクト247はコンタクト243の下方にあり、かつ接触しており、それにより、Nウェル221は、少なくともソースコンタクト231、相互接続層233の第2の相互接続部236-2、コンタクト243、および周辺コンタクト247を介して、第1の半導体構造202内の周辺回路208に電気的に接続される。すなわち、読み出し動作および消去動作のための電子電流および正孔電流は、異なる電気接続を介して、周辺回路208によって別々に制御することができる。いくつかの実施形態では、周辺コンタクト248はコンタクト244の下方にあり、かつ接触しており、それにより、第1の半導体構造202内の周辺回路208は、少なくともコンタクト244および周辺コンタクト248を介して、信号出力パッド用のコンタクトパッド240に電気的に接続される。周辺コンタクト246、247、248はそれぞれ、金属層(例えば、W、Co、Cu、もしくはAl)、または接着層(例えば、TiN)によって囲まれたシリサイド層などの1つまたは複数の導電層を含むことができる。いくつかの実施形態では、導電層222は、メモリスタック214の領域内にあり、すなわち、周辺領域内に横方向に延在せず、それにより、コンタクト242、244、および243は、それぞれ周辺コンタクト246、248、および247に接触するために導電層222を貫通して垂直に延在しない。
図2Aに示すように、3Dメモリデバイス200はまた、メモリスタック214内の構造と直接接触する、相互接続構造の一部としての様々なローカルコンタクト(「C1」としても知られる)を含む。いくつかの実施形態では、ローカルコンタクトは、それぞれのチャネル構造224の下端の下方にあり、かつ接触するチャネル・ローカル・コンタクト250を含む。各チャネル・ローカル・コンタクト250は、ビット線ファンアウトのためのビット線コンタクト(図示せず)に、電気的に接続することができる。いくつかの実施形態では、ローカルコンタクトは、ワード線ファンアウトのためにメモリスタック214の階段構造において、それぞれの導電層216(ワード線を含む)の下方にあり、かつ接触するワード線ローカルコンタクト252をさらに含む。チャネル・ローカル・コンタクト250およびワード線ローカルコンタクト252などのローカルコンタクトは、少なくとも接合層212および210を介して、第1の半導体構造202の周辺回路208に電気的に接続することができる。チャネル・ローカル・コンタクト250およびワード線ローカルコンタクト252などのローカルコンタクトはそれぞれ、金属層(例えば、W、Co、Cu、もしくはAl)または接着層(例えば、TiN)によって囲まれたシリサイド層などの1つまたは複数の導電層を含むことができる。
図2Bは、本開示のいくつかの実施形態による、さらに別の例示的な3Dメモリデバイス250の断面の側面図を示す。3Dメモリデバイス250は、導電層222およびチャネル構造224の上端の異なる構造を除いて、3Dメモリデバイス200と同様である。説明を容易にするために、3Dメモリデバイス250および200の両方における他の同一構造の詳細は、繰り返さないことが理解されよう。
図2Bに示すように、いくつかの実施形態によれば、各チャネル構造224は、P型ドープ半導体層220に当接するチャネルプラグ225をさらに含む。いくつかの実施形態では、各チャネルプラグ225は、半導体チャネル228のそれぞれの頂部229を取り囲んで接触する。チャネルプラグ225の天面は、P型ドープ半導体層220の天面と同一平面とすることができる。チャネルプラグ225は、半導体チャネル228の頂部229と同一材料、例えば、ドープされたポリシリコンを有することができ、したがって、チャネル構造224の半導体チャネル228の一部として見ることができる。すなわち、P型ドープ半導体層220によって囲まれたドープされたポリシリコン構造全体を、本開示におけるチャネル構造224の上端として見ることができる。したがって、いくつかの実施形態によれば、3Dメモリデバイス200および250の両方の導電層222(およびその中の金属シリサイド層219)は、チャネル構造224の上端に接触している。
図2Aに示される、導電層222の第2の部分がP型ドープ半導体層220の天面の下方にあり、チャネル構造224の上端を囲む3Dメモリデバイス200の導電層222とは異なり、図2Bにおいては、チャネル構造224の上端もチャネルプラグ225を含むため、導電層222全体は、P型ドープ半導体層220の天面の上方にある。図2Bに示すように、チャネル構造224の上端の天面は、P型ドープ半導体層220の天面と同一平面上にあり、導電層222は、P型ドープ半導体層220およびチャネル構造224の上端上に配置される。言い換えれば、P型ドープ半導体層220と半導体チャネル228の頂部229との間の凹部を充填する3Dメモリデバイス200内の導電層222の一部は、3Dメモリデバイス250内のチャネルプラグ225によって置き換えることができ、それにより、導電層222は、P型ドープ半導体層220およびチャネル構造224の天面上の同一平面内に形成することができる。
図2Cは、本開示のいくつかの実施形態による、さらに別の例示的な3Dメモリデバイス260の断面の側面図を示す。3Dメモリデバイス260は、導電層222の異なる構造を除いて、3Dメモリデバイス100と同様である。説明を容易にするために、3Dメモリデバイス260および200の両方における他の同一構造の詳細は、繰り返さないことが理解さよう。
図2Cに示すように、いくつかの実施形態によれば、導電層222の金属層223は、半導体チャネル228に接触しており、金属層223の一部は、金属シリサイド層219の上方にあり、かつ接触する。金属シリサイド層219の一部がP型ドープ半導体層220の天面の下方にあり、半導体チャネル228の頂部229を囲む3Dメモリデバイス200の導電層222とは異なり、3Dメモリデバイス260では、金属層223のみがP型ドープ半導体層220の天面の下方にあり、半導体チャネル228の頂部229を囲む。それでもなお、導電層222の第1の部分は、3Dメモリデバイス200,250、および260において同一構造を有し、すなわち、P型ドープ半導体層220上の金属シリサイド層219と、金属シリサイド層219の上方にあり、かつに接触する金属層223と、を有する。導電層222の第2の部分(チャネル構造224の領域内)に関しては、製造プロセス(例えば、P型ドープ半導体層220と半導体チャネル228の頂部229との間の凹部がどのように充填されるか)に対応して、以下に詳細に説明する導電層222を形成するための異なる例が、3Dメモリデバイス200,250、および260の様々な構造の原因となり得る。
例えば、以下で詳細に説明するように、図2Cの3Dメモリデバイス260の金属シリサイド層219は、チャネル構造224のチャネルホールのエッチングを自動的に停止するための停止層の一部であってもよい。停止層は、P型ドープ半導体層220の裏面からチャネル構造224の上端を露出させるようにパターニングされてもよく、停止層の残りの部分は、金属シリサイド層219として3Dメモリデバイス260内に残ってもよい。次いで、金属層223を形成して、P型ドープ半導体層220と半導体チャネル228の頂部229との間、ならびに金属シリサイド層219上の凹部を充填することができる。対照的に、3Dメモリデバイス200および250の同一停止層は、導電層222の形成前に除去されてもよい。したがって、3Dメモリデバイス200および250内の金属シリサイド層219は、3Dメモリデバイス200内のチャネルプラグ225なし、または3Dメモリデバイス250内のチャネルプラグ225ありのいずれかで、チャネル構造224の上端に接触するように、P型ドープ半導体層220の裏面から停止層を除去した後に形成することができ、これにより、チャネル構造224との接触抵抗を低下させることができるが、3Dメモリデバイス260内の導電層222と比較してプロセス数が増加する。
図3Aは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Bは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Cは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Dは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Eは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Fは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Gは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Hは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Iは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Jは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Kは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Lは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Mは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Nは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Oは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図3Pは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図5Aは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための方法500のフローチャートを示す。図5Bは、本開示のいくつかの実施形態による、例示的な3Dメモリデバイスを形成するための別の方法501のフローチャートを示す。図3A~図3P、図5A、および図5Bに示す3Dメモリデバイスの例は、図1A~図1Cに示す3Dメモリデバイス100,150、および160を含む。図3A~図3P、図5A、および図5Bを一緒に説明する。方法500および501に示される工程は網羅的ではなく、図示された工程のいずれかの前、後、または間に他の工程も実行できることが理解されよう。さらに、工程のいくつかは、同時に、または図5Aおよび図5Bに示す順序とは異なる順序で、実行されてもよい。
図5Aを参照すると、方法500は工程502で開始し、周辺回路が、第1の基板上に形成される。第1の基板はシリコン基板とすることができる。図3Gに示すように、複数のトランジスタは、フォトリソグラフィ、エッチング、薄膜堆積、熱成長、注入、化学機械研磨(CMP)、および任意の他の適切なプロセスを含むが、これらに限定されない、複数のプロセスを使用してシリコン基板350上に形成される。いくつかの実施形態では、ドープ領域(図示せず)は、例えば、トランジスタのソース領域および/またはドレイン領域として機能する、イオン注入および/または熱拡散によって、シリコン基板350内に形成される。いくつかの実施形態では、分離領域(例えば、STI)もまた、ウェットエッチングおよび/またはドライエッチング、ならびに薄膜堆積によって、シリコン基板350内に形成される。トランジスタは、シリコン基板350上に周辺回路352を形成できる。
図3Gに示すように、周辺回路352の上方に接合層348が形成される。接合層348は、周辺回路352に電気的に接続された接合コンタクトを含む。接合層348を形成するために、ILD層は、化学蒸着(CVD)、物理蒸着(PVD)、原子層堆積(ALD)、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して堆積される。ILD層を貫通する接合コンタクトは、ウェットエッチングおよび/またはドライエッチング、例えば反応性イオンエッチング(RIE)を使用して形成され、その後、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスが続く。
各々がメモリスタックおよびN型ドープ半導体層を貫通して垂直に延在するチャネル構造を、第2の基板の上方に形成することができる。方法500は、図5Aに示すように、工程504に進み、ここでは、第2の基板上に犠牲層、犠牲層上に第1の停止層、第1の停止層上にN型ドープ半導体層、そして、N型ドープ半導体層上に誘電体スタックが、順次形成される。犠牲層は、半導体デバイスを形成できる第2の基板の前面に形成できる。第2の基板は、シリコン基板とすることができる。第2の基板は最終製品から除去されるため、第2の基板のコストを低減するために、第2の基板は、ダミーウェハ(例えばキャリア基板、いくつか例を挙げると、ガラス、サファイア、プラスチック、シリコンなどの任意の適切な材料で作られる)の一部であってもよいことが理解されよう。いくつかの実施形態では、基板はキャリア基板であり、N型ドープ半導体層はポリシリコンを含み、誘電体スタックは、交互配置されたスタック誘電体層およびスタック犠牲層を含む。いくつかの実施形態では、スタック誘電体層およびスタック犠牲層は、N型ドープ半導体層上に交互に堆積されて、誘電体スタックを形成する。いくつかの実施形態では、犠牲層は、2つのパッド酸化物層(バッファ層としても知られる)と、2つのパッド酸化物層の間に挟まれた第2の停止層とを含む。いくつかの実施形態では、第1の停止層は高k誘電体を含み、第2の停止層は窒化シリコンを含み、2つのパッド酸化物層の各々は、酸化シリコンを含む。
図3Aに示すように、キャリア基板302上に犠牲層303が形成され、犠牲層303上に停止層305が形成され、停止層305上にN型ドープ半導体層306が形成される。N型ドープ半導体層306は、P、As、またはSbなどのN型ドーパントでドープされたポリシリコンを含むことができる。犠牲層303は、後に選択的に除去することができ、N型ドープ半導体層306の材料とは異なる、任意の適切な犠牲材料を含むことができる。いくつかの実施形態では、犠牲層303は、2つのパッド酸化物層の間に挟まれた停止層304を有する複合誘電体層である。以下で詳細に説明するように、停止層304は、キャリア基板302を裏面から除去するときにCMP/エッチング停止層として機能でき、したがって、窒化シリコンなどのキャリア基板302の材料以外の任意の適切な材料を含むことができる。同様に、停止層305は、チャネルホールを前面からエッチングするときにエッチング停止層として機能でき、したがって、ポリシリコン(停止層305上のN型ドープ半導体層306の材料)に対して高いエッチング選択性(例えば、約5超)を有する任意の適切な材料を含むことができる。一例では、停止層305は、後のプロセスで最終製品から除去されてもよく、例えば、いくつか例を挙げると、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、または酸化チタンなどの高k誘電体を含んでもよい。別の例では、停止層305の少なくとも一部は最終製品中に残っていてもよく、金属シリサイド、例えば、いくつか例を挙げると、銅シリサイド、コバルト・シリサイド、ニッケル・シリサイド、チタン・シリサイド、タングステン・シリサイド、銀シリサイド、アルミニウム・シリサイド、金シリサイド、白金シリサイド、を含んでもよい。いくつかの例では、異なる層の間の応力を緩和し、剥離を回避するために、キャリア基板302と停止層304との間、および停止層304と停止層305との間、にパッド酸化物層(例えば、酸化シリコン層)を、形成することができることが理解されよう。
犠牲層303を形成するために、いくつかの実施形態によれば、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコン、窒化シリコン、および酸化シリコンが、キャリア基板302上に順次堆積される。いくつかの実施形態によれば、停止層305を形成するために、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の薄膜堆積プロセスを使用して、高k誘電体が犠牲層303上に堆積される。いくつかの実施形態では、N型ドープ半導体層306を形成するために、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない、1つまたは複数の薄膜堆積プロセスを使用して、ポリシリコンが停止層305上に堆積され、続いてイオン注入および/または熱拡散を使用して、P、AsまたはSbなどのN型ドーパントを、堆積されたポリシリコンにドープする。いくつかの実施形態では、N型ドープ半導体層306を形成するために、P、As、またはSbなどのN型ドーパントのin-situ方式ドーピングは、停止層305上にポリシリコンを堆積するときに実行される。停止層305が金属シリサイドを含むいくつかの実施形態では、犠牲層303上に金属層が堆積され、続いて、ポリシリコンが堆積されて金属層上にN型ドープ半導体層306が形成される。次いで、金属層を停止層305としての金属シリサイド層に変換するために、熱処理(例えば、アニーリング、焼結、または任意の他の適切なプロセス)によって、ポリシリコンおよび金属層に対して、シリサイド化(ケイ素化)プロセスを実行することができる。
図3Bに示すように、第1の誘電体層(本明細書では「スタック犠牲層」312と呼ぶ)および第2の誘電体層(本明細書では「スタック誘電体層(stack dielectric layers)」310と呼び、本明細書では共に「誘電体層対(dielectric layer pairs)」と呼ぶ)の複数の対を含む誘電体スタック308が、N型ドープ半導体層306上に形成される。いくつかの実施形態によれば、誘電体スタック308は、交互配置されたスタック犠牲層312およびスタック誘電体層310を含む。スタック誘電体層310およびスタック犠牲層312は、キャリア基板302の上方のN型ドープ半導体層306上に交互に堆積されて、誘電体スタック308を形成することができる。いくつかの実施形態では、各スタック誘電体層310は酸化シリコンの層を含み、各スタック犠牲層312は窒化シリコンの層を含む。誘電体スタック308は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の薄膜堆積プロセスによって形成することができる。図3Bに示すように、誘電体スタック308の縁部に階段構造を形成できる。階段構造は、複数のいわゆる「トリムエッチング」サイクルを、誘電体スタック308の誘電体層対に対して、キャリア基板302に向かって実行することによって形成することができる。誘電体スタック308の誘電体層対に繰り返しトリムエッチサイクルが適用されるため、誘電体スタック308は、図3Bに示すように、1つまたは複数の傾斜した縁部と、底部よりも短い上部誘電体層対とを有することができる。
方法500は、図5Aに示すように、工程506に進み、各々が誘電体スタックおよびN型ドープ半導体層を貫通して垂直に延在し、第1の停止層で停止する、複数のチャネル構造が形成される。いくつかの実施形態では、チャネル構造を形成するために、各々が誘電体スタックおよびN型ドープ半導体層を貫通して垂直に延在し、第1の停止層で停止するチャネルホールがエッチングされ、メモリ膜および半導体チャネルが各チャネルホールの側壁に沿って順次堆積される。
図3Bに示すように、各チャネルホールは、誘電体スタック308およびN型ドープ半導体層306を貫通して垂直に延在し、停止層305で停止する開口部である。いくつかの実施形態では、複数の開口部が形成され、それにより、各開口部が後のプロセスで個々のチャネル構造314を成長させるための位置になる。いくつかの実施形態では、チャネル構造314のチャネルホールを形成するための製造プロセスは、深堀りディープRIE(DRIE)などのウェットエッチングおよび/またはドライエッチングを含む。いくつかの実施形態によれば、チャネルホールのエッチングは、停止層305の材料(例えば、酸化アルミニウムまたは金属シリサイド)とN型ドープ半導体層306の材料(すなわち、ポリシリコン)との間のエッチング選択性のために、高k誘電体層(例えば、酸化アルミニウム層)または金属シリサイド層などの停止層305によって停止されるまで継続する。いくつかの実施形態では、エッチング速度およびエッチング時間などのエッチング条件は、そこに形成されたチャネルホールおよびチャネル構造314間の溝切り変動を最小にするように、各チャネルホールが停止層305に到達し、停止層305によって確実に停止するように、制御できる。特定のエッチング選択性に応じて、1つまたは複数のチャネルホールが停止層305内にわずかに延在することができ、これは本開示では依然として、停止層305によって停止していると見なされることが理解されよう。
図3Bに示すように、ブロッキング層317、記憶層316、およびトンネル層315を含むメモリ膜と、半導体チャネル318とが、チャネルホールの側壁および底面に沿って、この順序で形成される。いくつかの実施形態では、ブロッキング層317、記憶層316、およびトンネル層315は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、チャネルホールの側壁および底面に沿って、この順序で最初に堆積され、メモリ膜を形成する。次いで、半導体チャネル318は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、トンネル層315より上にポリシリコン(例えば、ドープされていないポリシリコン)などの半導体材料を堆積することによって形成することができる。いくつかの実施形態では、第1の酸化シリコン層、窒化シリコン層、第2の酸化シリコン層、およびポリシリコン層(「SONO」構造)が順次堆積されて、メモリ膜のブロッキング層317、記憶層316、およびトンネル層315、ならびに半導体チャネル318を形成する。
図3Bに示すように、チャネルホール内および半導体チャネル318より上にキャップ層を形成して、(例えば、エアギャップの有無にかかわらず)チャネルホールを完全にまたは部分的に充填する。キャップ層は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンなどの誘電材料を堆積させることによって形成できる。次いで、チャネルホールの頂部にチャネルプラグを形成できる。いくつかの実施形態では、誘電体スタック308の天面にあるメモリ膜、半導体チャネル318、およびキャップ層の一部は、CMP、ウェットエッチング、および/またはドライエッチングによって除去され、平坦化される。次いで、半導体チャネル318の一部およびチャネルホールの頂部のキャップ層をウェットエッチングおよび/またはドライエッチングすることによって、チャネルホールの頂部に凹部を形成できる。次いで、CVD、PVD、ALD、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって、ポリシリコンなどの半導体材料を凹部内に堆積させることによって、チャネルプラグを形成できる。いくつかの実施形態によれば、これにより、誘電体スタック308およびN型ドープ半導体層306を貫通してチャネル構造314が形成され、停止層305で停止する。
方法500は、図5Aに示すように、工程508に進み、チャネル構造がメモリスタックおよびN型ドープ半導体層を貫通して垂直に延在するように、誘電体スタックが、例えばいわゆる「ゲート置換」プロセスを使用してメモリスタックと置き換えられる。いくつかの実施形態では、誘電体スタックをメモリスタックと置き換えるために、誘電体スタックを貫通して垂直に延在し、N型ドープ半導体層で停止する開口部がエッチングされる。そして、スタック犠牲層が、開口部を介してスタック導電層と置き換えられて、交互配置されたスタック誘電体層およびスタック導電層を含むメモリスタックが形成される。
図3Cに示すように、スリット320は、誘電体スタック308を通って垂直に延在し、N型ドープ半導体層306で停止する開口部である。いくつかの実施形態では、スリット320を形成するための製造プロセスは、DRIEなどのウェットエッチングおよび/またはドライエッチングを含む。次に、スリット320を介してゲート置換を実行して、誘電体スタック308をメモリスタック330(図3Eに示す)に置換することができる。
図3Dに示すように、スリット320を介してスタック犠牲層312(図3Cに示す)を除去することによって、横方向凹部322が最初に形成される。いくつかの実施形態では、スタック犠牲層312は、スリット320を介してエッチング液を適用することによって除去され、スタック誘電体層310の間に交互配置された横方向凹部322を生成する。エッチング液は、スタック誘電体層310に選択的なスタック犠牲層312をエッチングする任意の適切なエッチング液を含むことができる。
図3Eに示すように、スタック導電層328(ゲート電極および接着層を含む)は、スリット320を介して、横方向凹部322(図3Dに示す)内に堆積される。いくつかの実施形態では、ゲート誘電体層332は、スタック導電層328の前に横方向凹部322内に堆積され、それにより、スタック導電層328がゲート誘電体層332上に堆積される。金属層などのスタック導電層328は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して堆積させることができる。いくつかの実施形態では、高k誘電体層などのゲート誘電体層332は、側壁に沿ってスリット320の底部にも形成される。いくつかの実施形態によれば、交互配置されたスタック導電層328およびスタック誘電体層310を含むメモリスタック330がこれにより形成され、誘電体スタック308(図3Dに示す)が置き換えられる。
方法500は、図5Aに示すように、工程510に進み、メモリスタックを貫通して垂直に延在する絶縁構造が形成される。いくつかの実施形態では、絶縁構造を形成するために、メモリスタックを形成した後、1つまたは複数の誘電体材料が開口部内に堆積され、開口部を充填する。図3Eに示すように、メモリスタック330を貫通し垂直に延在し、N型ドープ半導体層306の天面で停止する、絶縁構造336が形成される。絶縁構造336は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンなどの1つまたは複数の誘電材料をスリット320内に堆積させることにより形成され、(エアギャップの有無にかかわらず)スリット320を完全にまたは部分的に充填できる。いくつかの実施形態では、絶縁構造336は、ゲート誘電体層332(例えば、高k誘電体を含む)および誘電体キャップ層334(例えば、酸化シリコンを含む)を含む。
図3Fに示すように、絶縁構造336の形成後、チャネル・ローカル・コンタクト344およびワード線ローカルコンタクト342を含むローカルコンタクト、ならびに周辺コンタクト338および340が形成される。CVD、PVD、ALD、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンまたは窒化シリコンなどの誘電体材料をメモリスタック330の上部に堆積させることによって、メモリスタック330上にローカル誘電体層を形成することができる。チャネル・ローカル・コンタクト344、ワード線ローカルコンタクト342、ならびに周辺コンタクト338および340は、ウェットエッチングおよび/またはドライエッチング、例えばRIEを使用して、ローカル誘電体層(および任意の他のILD層)を介してコンタクト開口部をエッチングすることによって形成でき、続いて、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、コンタクト開口部を導電性材料で充填する。
図3Fに示すように、接合層346は、チャネル・ローカル・コンタクト344、ワード線ローカルコンタクト342、ならびに周辺コンタクト338および340の上方に形成される。接合層346は、チャネル・ローカル・コンタクト344、ワード線ローカルコンタクト342、ならびに周辺コンタクト338および340に、電気的に接続された接合コンタクトを含む。接合層346を形成するために、ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して堆積され、接合コンタクトは、ウェットエッチングおよび/またはドライエッチング、例えばRIEを使用してILD層を通して形成され、その後、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスが続く。
方法500は、図5Aに示すように、工程512に進み、メモリスタックが周辺回路の上方にあるように、第1の基板および第2の基板が対面方式で接合される。接合は、ハイブリッド接合を含むことができる。図3Gに示すように、キャリア基板302およびその上に形成された構成要素(例えば、メモリスタック330およびそれを貫通して形成されたチャネル構造314)は、上下反対に裏返される。いくつかの実施形態によれば、下向きの接合層346は、上向きの接合層348と、すなわち対面方式で、接合され、それによってキャリア基板302とシリコン基板350との間に接合インターフェイス354を形成する。いくつかの実施形態では、処理プロセス、例えばプラズマ処理、湿式処理、および/または熱処理が、接合前に接合面に適用される。接合後、接合層346内の接合コンタクトおよび接合層348内の接合コンタクトは、整列され、互いに接触しており、それにより、それを通って形成されたメモリスタック330およびチャネル構造314は、周辺回路352に電気的に接続され、周辺回路352の上方にあることができる。
方法500は、図5Aに示すように、工程514に進み、第2の基板、犠牲層、および第1の停止層が順次除去されて、複数のチャネル構造の各々の端部が露出される。除去は、第2の基板の裏面から実行できる。いくつかの実施形態では、第2の基板、犠牲層、および第1の停止層を順次除去するために、第2の基板が除去され、犠牲層の第2の停止層で停止し、犠牲層の残りの部分が除去され、第1の停止層で停止する。
図3Hに示すように、キャリア基板302(図3Gに示すキャリア基板302と停止層304との間のパッド酸化物層)は、停止層304(例えば、窒化シリコン層)によって停止されるまで裏面から完全に除去される。キャリア基板302は、CMP、研削、ドライエッチング、および/またはウェットエッチングを使用して完全に除去することができる。いくつかの実施形態では、キャリア基板302は、剥離される。キャリア基板302がシリコンを含み、停止層304が窒化シリコンを含むいくつかの実施形態では、キャリア基板302はシリコンCMPを使用して除去され、シリコンCMPは、シリコン以外の材料を有する停止層304に到達するとき、すなわち、裏面CMP停止層として作用するときに、自動的に停止できる。いくつかの実施形態では、基板302(シリコン基板)は、水酸化テトラ・メチル・アンモニウム(TMAH)によるウェットエッチングを使用して除去され、TMAHは、シリコン以外の材料を有する停止層304に到達するとき、すなわち、裏面エッチング停止層として作用するときに、自動的に停止される。停止層304は、薄化後の厚さ均一性の懸念なしに、キャリア基板302を完全に確実に除去できる。
図3Iに示すように、次いで、リン酸およびフッ化水素酸などの適切なエッチング液を用いたウェットエッチングを使用して、犠牲層303の残りの部分(例えば、図3Hに示す、停止層304、および停止層304と停止層305との間の別のパッド酸化物層)を、異なる材料(例えば、高k誘電体)を有する停止層305によって停止されるまで、同様に完全に除去できる。上述したように、各チャネル構造314は停止層305を超えて犠牲層303またはキャリア基板302内に延在していないため、キャリア基板302および犠牲層303の除去は、チャネル構造314に影響を及ぼさない。図3Jに示すように、停止層305が(金属シリサイドを含む導電層とは対照的に)高k誘電体を含むいくつかの実施形態では、停止層305(図3Iに示す)は、ウェットエッチングおよび/またはドライエッチングを使用して完全に除去され、チャネル構造314の上端を露出させる。
方法500は、図5Aに示すように、工程516に進み、複数のチャネル構造の端部に接触する導電層が形成される。いくつかの実施形態では、導電層は、複数のチャネル構造およびN型ドープ半導体層の端部に接触する金属シリサイド層と、金属シリサイド層に接触する金属層とを含む。いくつかの実施形態では、導電層を形成するために、N型ドープ半導体層に当接するメモリ膜の一部が除去されて、半導体チャネルの一部を囲む凹部が形成され、半導体チャネルの一部がドープされる。いくつかの実施形態では、導電層を形成するために、金属シリサイド層は、半導体チャネルのドープ部分に接触する凹部内、およびN型ドープ半導体層に接触する凹部の外側に、形成される。
図3Jに示すように、N型ドープ半導体層306に当接する記憶層316、ブロッキング層317、およびトンネル層315(図3Iに示す)の一部が除去されて、N型ドープ半導体層306内に延在する半導体チャネル318の頂部を囲む凹部357が形成される。いくつかの実施形態では、2つのウェットエッチング・プロセスが順次実行される。例えば、ポリシリコンを含むN型ドープ半導体層306をエッチングすることなく、リン酸などの適切なエッチング液を用いたウェットエッチングを使用して、窒化シリコンを含む記憶層316が、選択的に除去される。エッチングがメモリスタック330によって囲まれた記憶層316の残りの部分に影響を及ぼし続けないように、エッチング時間および/またはエッチング速度を制御することによって、記憶層316のエッチングを制御できる。次に、N型ドープ半導体層306およびポリシリコンを含む半導体チャネル318をエッチングすることなく、フッ化水素酸などの適切なエッチング液を用いたウェットエッチングを使用して、酸化シリコンを含むブロッキング層317およびトンネル層315を、選択的に除去できる。エッチングがメモリスタック330によって囲まれた残りのブロッキング層317およびトンネル層315に影響を及ぼし続けないように、エッチング時間および/またはエッチング速度を制御することによって、ブロッキング層317およびトンネル層315のエッチングを制御できる。いくつかの実施形態では、パターニングされた停止層305をエッチングマスクとして使用して、単一のドライエッチングプロセスが実行される。例えば、停止層305は、ドライエッチングを実行するときに除去されなくてもよく、代わりに、エッチングマスクとして他の領域を依然として覆いながら、チャネル構造314の上端で記憶層316、ブロッキング層317、およびトンネル層315のみを露出させるようにパターニングされてもよい。次に、ドライエッチングを実行して、N型ドープ半導体層306に当接する記憶層316、ブロッキング層317、およびトンネル層315の一部をエッチングできる。エッチングがメモリスタック330によって囲まれた記憶層316、ブロッキング層317、およびトンネル層315の残りに影響を及ぼし続けないように、エッチング時間および/またはエッチング速度を制御することによって、ドライエッチングを制御できる。パターニングされた停止層305は、ドライエッチングが終了した後に、除去できる。
それでもなお、N型ドープ半導体層306に当接する記憶層316、ブロッキング層317、およびトンネル層315の部分を裏面から除去することは、それほど困難ではなく、高アスペクト比(例えば、50より大きい)の誘電体スタック308/メモリスタック330を貫通する開口部(例えば、図3Dのスリット320)を介した表面ウェットエッチングを使用する既知の解決策と比較して、より高い生産収率を有する。スリット320の高アスペクト比によってもたらされる問題を回避することで、製造の複雑さおよびコストを低減することができ、歩留まりを高めることができる。また、垂直方向のスケーラビリティ(例えば、誘電体スタック308/メモリスタック330のレベルの増加)も、向上できる。
いくつかの実施形態によれば、図3Jに示すように、N型ドープ半導体層306に当接する各チャネル構造314のメモリ膜(ブロッキング層317、記憶層316、およびトンネル層315を含む)の頂部を除去して凹部357を形成し、半導体チャネル318の頂部を露出させることができる。いくつかの実施形態では、凹部357によって露出された半導体チャネル318の頂部は、その導電性を高めるためにドープされる。例えば、傾斜イオン注入プロセスを実行して、凹部357によって露出された半導体チャネル318の頂部(例えば、ポリシリコンを含む)に、任意の適切なドーパントを所望のドーピング濃度にドープすることができる。
図3Kに示すように、導電層359は、半導体チャネル318のドープされた頂部を囲み、かつ接触する凹部357(図3Jに示す)内に、ならびにN型ドープ半導体層306上の凹部357の外側に、形成される。いくつかの実施形態では、導電層359を形成するために、半導体チャネル318のドープされた頂部に接触する凹部357内、およびN型ドープ半導体層306に接触する凹部357の外側に、金属シリサイド層360が形成され、金属シリサイド層360上に金属層362が形成される。一例では、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、凹部357の側壁および底面上、ならびにN型ドープ半導体層306上に、金属膜(例えば、Co、Ni、またはTi)を、堆積できる。金属膜は、N型ドープ半導体層306のポリシリコン、および半導体チャネル318のドープされた頂部と接触できる。次いで、熱処理(例えば、アニーリング、焼結、または任意の他の適切なプロセス)によって金属膜およびポリシリコン上に、シリサイド化プロセスを実行して、凹部357の側壁および底面に沿って、かつN型ドープ半導体層306上に、金属シリサイド層360を形成できる。次いで、凹部357の残りの空間を充填するために、金属シリサイド層360上に、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、別の金属膜(例えば、W、Al、Ti、TiN、Co、および/またはNi)を堆積することによって、金属層362を金属シリサイド層360上に形成できる。別の例では、2つの金属膜を別々に堆積する代わりに、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、単一の金属膜(例えば、Co、Ni、またはTi)を、凹部357内に堆積させて凹部357を充填し、N型ドープ半導体層306上に堆積できる。次いで、熱処理(例えば、アニーリング、焼結、または任意の他の適切なプロセス)によって、金属膜およびポリシリコン上に、シリサイド化プロセスを実行することができ、それにより、金属膜の一部が、凹部357の側壁および底面に沿って、かつN型ドープ半導体層306上に金属シリサイド層360を形成し、一方で、金属膜の残りが、金属シリサイド層360上の金属層362になる。CMPプロセスを実行して、余分な金属層362を除去できる。いくつかの実施形態によれば、図3Kに示すように、これにより、金属シリサイド層360および金属層362を含む導電層359(図1Aの3Dメモリデバイス100の導電層122の一例として)が、形成される。いくつかの実施形態では、導電層359は、周辺領域を覆わないようにパターニングおよびエッチングされる。
いくつかの実施形態では、導電層を形成するために、ドープされたポリシリコンが半導体チャネルのドープされた部分に接触するように凹部内に堆積され、金属シリサイド層がドープされたポリシリコンおよびN型ドープされた半導体層に接触して形成される。図3Oに示すように、半導体チャネル318のドープされた頂部を囲み、かつ接触するチャネルプラグ365が、凹部357(図3Jに示す)に形成される。その結果、いくつかの実施形態によれば、N型ドープ半導体層306に当接するチャネル構造314(図3Hに示す)の除去された頂部は、それによってチャネルプラグ365に置き換えられる。いくつかの実施形態では、チャネルプラグ365を形成するために、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、ポリシリコンが凹部357内に堆積されて凹部357を充填し、続いて、CMPプロセスによって、N型ドープ半導体層306の天面上の余分なポリシリコンを除去する。いくつかの実施形態では、P、As、またはSbなどのN型ドーパントのin-situ方式ドーピングは、チャネルプラグ365をドープするためにポリシリコンを凹部357内に堆積するときに実行される。チャネルプラグ365および半導体チャネル318のドープされた頂部は、ドープされたポリシリコンなどの同一材料を含むことができるので、チャネルプラグ365は、チャネル構造314の半導体チャネル318の一部として見ることができる。
図3Oに示すように、金属シリサイド層360および金属層362を含む導電層359が、N型ドープ半導体層306およびチャネルプラグ365上に形成される。いくつかの実施形態では、金属膜が最初にN型ドープ半導体層306およびチャネルプラグ365上に堆積され、続いて、シリサイド化プロセスが行われ、チャネルプラグ365およびN型ドープ半導体層306に接触する金属シリサイド層360が形成される。次いで、別の金属膜を金属シリサイド層360上に堆積させて、金属層362を形成できる。いくつかの実施形態では、N型ドープ半導体層306およびチャネルプラグ365上に金属膜が堆積され、続いて、シリサイド化プロセスが行われ、それにより、N型ドープ半導体層306およびチャネルプラグ365に接触する金属膜の一部が金属シリサイド層360を形成し、金属膜の残りの部分が金属層362になる。いくつかの実施形態によれば、図3Oに示すように、これにより、金属シリサイド層360および金属層362を含む導電層359(図1Bの3Dメモリデバイス150の導電層122の一例として)が、形成される。いくつかの実施形態では、導電層359は、周辺領域を覆わないようにパターニングおよびエッチングされる。
方法500は、図5Aに示すように、工程518に進み、ソースコンタクトが、メモリスタックの上方にあり、N型ドープ半導体層に接触して形成される。図3Lに示すように、N型ドープ半導体層306上に1つまたは複数のILD層356が形成される。ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、N型ドープ半導体層306の天面に誘電体材料を堆積することによって、ILD層356が形成できる。ソースコンタクト開口部358は、ILD層356および導電層359を貫通してN型ドープ半導体層306に形成できる。いくつかの実施形態では、RIEなどのウェットエッチングおよび/またはドライエッチングを使用して、ソースコンタクト開口部358が形成される。いくつかの実施形態では、ソースコンタクト開口部358は、N型ドープ半導体層306の頂部内にさらに延在する。ILD層356を貫通するエッチングプロセスは、N型ドープ半導体層306の一部をエッチングし続けることができる。いくつかの実施形態では、ILD層356および導電層359を貫通してエッチングした後に、別個のエッチングプロセスを使用して、N型ドープ半導体層306の一部をエッチングする。
図3Mに示すように、ソースコンタクト364は、N型ドープ半導体層306の裏面のソースコンタクト開口部358(図3Lに示す)内に形成される。いくつかの実施形態によれば、ソースコンタクト364は、メモリスタック330の上方にあり、かつN型ドープ半導体層306に接触する。いくつかの実施形態では、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、ソースコンタクト開口部358内に1つまたは複数の導電性材料を堆積させて、ソースコンタクト開口部358を接着層(例えば、TiN)および導体層(例えば、W)で充填する。次いで、CMPなどの平坦化プロセスを実行して、ソースコンタクト364の天面がILD層356の天面と同一平面になるように、過剰な導電性材料を除去できる。
方法500は、図5Aに示すように、工程520に進み、相互接続層がソースコンタクトの上方にあり、かつ接触して形成される。いくつかの実施形態では、N型ドープ半導体層を貫通して相互接続層に接触するコンタクトが形成され、それにより、N型ドープ半導体層が、ソースコンタクトおよび相互接続層を介してコンタクトに電気的に接続される。
図3Nに示すように、再配線層370がソースコンタクト364の上方に、かつ接触して形成される。いくつかの実施形態では、再配線層370は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、ILD層356およびソースコンタクト364の天面に、Alなどの導電性材料を堆積することによって形成される。再配線層370上に、パッシベーション層372を形成できる。いくつかの実施形態では、パッシベーション層372は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、窒化シリコンなどの誘電材料を堆積することによって形成される。いくつかの実施形態によれば、これにより、ILD層356、再配線層370、およびパッシベーション層372を含む相互接続層376が、形成される。
図3Lに示すように、ILD層356およびN型ドープ半導体層306をそれぞれ貫通して延在するコンタクト開口部363および361が形成される。いくつかの実施形態では、コンタクト開口部363および361は、RIEなどのウェットエッチングおよび/またはドライエッチングを使用して、ILD層356およびN型ドープ半導体層306を貫通して形成される。いくつかの実施形態では、コンタクト開口部363および361は、それぞれ周辺コンタクト338および340と位置合わせされるように、リソグラフィを使用してパターニングされる。コンタクト開口部363および361のエッチングは、周辺コンタクト338および340の上端で停止して、周辺コンタクト338および340を露出させることができる。図3Lに示すように、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、コンタクト開口部363および361の側壁に沿ってスペーサ362が形成され、N型ドープ半導体層306を電気的に分離する。いくつかの実施形態では、スペーサ362が、ソースコンタクト開口部358の側壁に沿って形成されず、ソースコンタクト364とN型ドープ半導体層306との間の接触面積を増加させるように、ソースコンタクト開口部358のエッチングは、スペーサ362の形成後に実行される。
図3Mに示すように、コンタクト366および368は、N型ドープ半導体層306の裏面のコンタクト開口部363および361(図3Lに示す)にそれぞれ形成される。いくつかの実施形態によれば、コンタクト366および368は、ILD層356およびN型ドープ半導体層306を貫通して垂直に延在する。コンタクト366および368ならびにソースコンタクト364は、堆積プロセスの数を減らすために、同一の堆積プロセスを使用して形成できる。いくつかの実施形態では、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、コンタクト開口部363および361内に1つまたは複数の導電性材料を堆積させて、コンタクト開口部363および361を、接着層(例えば、TiN)および導体層(例えば、W)で充填する。次いで、CMPなどの平坦化プロセスを実行して、コンタクト366および368の天面(ならびにソースコンタクト364の天面)が、ILD層356の天面と同一平面になるように、過剰な導電性材料を除去できる。いくつかの実施形態では、コンタクト開口部363および361がそれぞれ周辺コンタクト338および340と位置合わせされると、コンタクト366および368もそれぞれ周辺コンタクト338および340の上方にあり、かつ接触する。
図3Nに示すように、再配線層370もまた、コンタクト366の上方に、かつ接触して形成される。その結果、N型ドープ半導体層306は、ソースコンタクト364、相互接続層376の再配線層370、およびコンタクト366を介して、周辺コンタクト338に電気的に接続できる。いくつかの実施形態では、N型ドープ半導体層306は、ソースコンタクト364、相互接続層376、コンタクト366、周辺コンタクト338、ならびに接合層346および348を介して、周辺回路352に電気的に接続される。
図3Nに示すように、コンタクトパッド374は、コンタクト368の上方に、かつ接触して形成される。いくつかの実施形態では、コンタクト368を覆うパッシベーション層372の一部がウェットエッチングおよび/またはドライエッチングによって除去されて、下層の再配線層370の一部が露出し、コンタクトパッド374が形成される。その結果、信号出力パッド用のコンタクトパッド374を、コンタクト368、周辺コンタクト340、ならびに接合層346および348を介して、周辺回路352に電気的に接続できる。
方法500における第1の停止層は、第1の導電層、例えば金属シリサイド層であってもよく、その一部は、方法501に関して以下に説明するように、最終製品中の導電層に残ることが理解されよう。方法500と方法501との間の同様の工程の詳細は、説明を容易にするために繰り返さなくてもよい。図5Bを参照すると、方法501は工程502で開始し、周辺回路が第1の基板上に形成される。第1の基板はシリコン基板とすることができる。
方法501は、図5Bに示すように、工程505に進み、第2の基板上の犠牲層、犠牲層上の第1の導電層、第1の導電層上のN型ドープ半導体層、およびN型ドープ半導体層上の誘電体スタックが、順次形成される。いくつかの実施形態では、第1の導電層は金属シリサイドを含む。図3Aに示すように、停止層305は、金属シリサイドを含む導電層、すなわち金属シリサイド層であってもよい。キャリア基板302、犠牲層303、およびN型ドープ半導体層306の形成に関する上記の説明は、方法501に同様に適用でき、したがって、説明を容易にするために繰り返さないことが理解されよう。
方法501は、図5Bに示すように、工程507に進み、各々が誘電体スタックおよびN型ドープ半導体層を貫通し垂直に延在し、第1の導電層で停止する、複数のチャネル構造が形成される。いくつかの実施形態では、チャネル構造を形成するために、各々が誘電体スタックおよびドープされたデバイス層を貫通して垂直に延在し、第1の導電層で停止する複数のチャネルホールが形成され、そして、メモリ膜および半導体チャネルが各チャネルホールの側壁に沿って堆積される。
方法501は、図5Bに示すように、工程508に進み、各チャネル構造が、メモリスタックおよびN型ドープ半導体層を貫通して垂直に延在するように、誘電体スタックがメモリスタックに置き換えられる。いくつかの実施形態では、誘電体スタックをメモリスタックと置き換えるために、誘電体スタックを貫通して垂直に延在する開口部がエッチングされ、N型ドープ半導体層で停止する。そして、スタック犠牲層が開口部を介してスタック導電層と置き換えられて、交互配置されたスタック誘電体層およびスタック導電層を含むメモリスタックが形成される。
方法501は、図5Bに示すように、工程510に進み、メモリスタックを貫通して垂直に延在する絶縁構造が形成される。いくつかの実施形態では、絶縁構造を形成するために、メモリスタックを形成した後、1つまたは複数の誘電体材料が開口部内に堆積され、開口部を充填する。方法501は、図5Bに示すように、工程512に進み、メモリスタックが周辺回路の上方にあるように、第1の基板および第2の基板ウェハが対面方式で接合される。接合は、ハイブリッド接合を含むことができる。
方法501は、図5Bに示すように、工程515に進み、第2の基板、犠牲層、および第1の導電層の一部を順次除去して、複数のチャネル構造の各々の端部を露出させる。除去は、第2の基板の裏面から実行できる。いくつかの実施形態では、第2の基板、犠牲層、および第1の導電層の一部を順次除去するために、第2の基板が除去され、停止層で停止し、犠牲層の残りの部分が除去され、第1の導電層で停止し、第1の導電層の一部が除去されて複数のチャネル構造の各々の端部が露出する。
キャリア基板302および犠牲層303の除去に関する上記の説明は、方法501に同様に適用でき、したがって、説明を容易にするために繰り返さないことが理解されよう。図3Pに示すように、犠牲層303(図3Gに示す)を除去した後、導電層305の一部(例えば、金属シリサイド層)を除去して、チャネル構造314の上端を露出させる。導電層305は、パターニングすることができ、それにより、例えばリソグラフィ、ウェットエッチング、および/またはドライエッチングを使用して、各チャネル構造314の真上の部分を除去して、各チャネル構造314を露出できる。いくつかの実施形態によれば、導電層305の残りの部分は、N型ドープ半導体層306上に残る。
方法501は、図5Bに示すように、工程517に進み、第2の導電層が、複数のチャネル構造の端部および第1の導電層に接触して形成される。第2の導電層は、金属を含むことができる。いくつかの実施形態では、第2の導電層を形成するために、N型ドープ半導体層に当接するメモリ膜の一部がエッチングされて半導体チャネルの一部を囲む凹部を形成し、半導体チャネルの一部がドープされる。そして、半導体チャネルのドープされた部分に接触するように凹部内に、および第1の導電層に接触するように凹部の外側に、金属が堆積される。
凹部357を形成するためにN型ドープ半導体層306に当接する記憶層316、ブロッキング層317、およびトンネル層315の一部の除去に関する上記の説明は、方法501に同様に適用でき、したがって、説明を容易にするために繰り返さないことが理解されよう。図3Pに示すように、金属層362は、半導体チャネル318のドープされた頂部を囲み、かつ接触する凹部357(図3Jに示す)内、ならびに導電層305上の凹部357の外側(例えば、金属シリサイド層)に、形成される。金属層362は、凹部357内のチャネル構造314(例えば、半導体チャネル318のドープ部分)の端部を、囲んで接触できる。金属層362はまた、凹部357の外側の導電層305の上方にあり、かつ接触できる。金属層362は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、金属膜(例えば、W、Al、Ti、TiN、Co、および/またはNi)を堆積することによって形成でき、導電層305上の凹部357および凹部357の外側を充填する。CMPプロセスを実行して、余分な金属層362を除去できる。いくつかの実施形態によれば、これにより、金属層362および導電層305を含む導電層359(図1Cの3Dメモリデバイス160の導電層122の一例として)が、形成される。いくつかの実施形態では、導電層359は、周辺領域を覆わないようにパターニングおよびエッチングされる。方法500と比較して、方法501における製造プロセス数は、最終製品中の導電層の第1の停止層(例えば、金属シリサイド層)部分を保持することによって、減らすことができる。
方法501は、図5Bに示すように、工程518に進み、メモリスタックの上方にあり、N型ドープ半導体層に接触するソースコンタクトが形成される。方法501は、図5Bに示すように、工程520に進み、相互接続層がソースコンタクトの上方にあり、かつ接触して形成される。いくつかの実施形態では、N型ドープ半導体層を貫通して相互接続層に接触するコンタクトが形成され、それにより、N型ドープ半導体層が、ソースコンタクトおよび相互接続層を介してコンタクトに電気的に接続される。
図4Aは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Bは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Cは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Dは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Eは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Fは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Gは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Hは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Iは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Jは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Kは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Lは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Mは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Nは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Oは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Pは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4Qは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図6Aは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための方法600のフローチャートを示す。図6Bは、本開示のいくつかの実施形態による、別の例示的な3Dメモリデバイスを形成するための別の方法601のフローチャートを示す。図4A~図4Q、図6A、および図6Bに示す3Dメモリデバイスの例は、図2A~図2Cに示す3Dメモリデバイス200、250、および260を含む。図4A~図4Q、図6A、および図6Bを一緒に説明する。方法600および601に示される工程は網羅的ではなく、図示された工程のいずれかの前、後、または間に他の工程も実行できることが理解されよう。さらに、工程のいくつかは、同時に、または図6Aおよび図6Bに示す順序とは異なる順序で実行されてもよい。
図6Aを参照すると、方法600は工程602で開始し、周辺回路が第1の基板上に形成される。第1の基板はシリコン基板とすることができる。図4Gに示すように、フォトリソグラフィ、エッチング、薄膜堆積、熱成長、注入、CMP、および任意の他の適切なプロセスを含むが、これらに限定されない、複数のプロセスを使用して、シリコン基板450上に複数のトランジスタが形成される。いくつかの実施形態では、例えばトランジスタのソース領域および/またはドレイン領域として機能するイオン注入および/または熱拡散によって、ドープ領域(図示せず)が、シリコン基板450内に形成される。いくつかの実施形態では、分離領域(例えば、STI)もまた、ウェットエッチングおよび/またはドライエッチングおよび薄膜堆積によって、シリコン基板450内に形成される。トランジスタは、シリコン基板450上に周辺回路452を形成できる。
図4Gに示すように、周辺回路452の上方に接合層448が形成される。接合層448は、周辺回路452に電気的に接続された接合コンタクトを含む。接合層448を形成するために、ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して堆積される。ILD層を貫通する接合コンタクトは、ウェットエッチングおよび/またはドライエッチング、例えばRIEを使用して形成され、その後、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスが続く。
各々がメモリスタックおよびNウェルを有するP型ドープ半導体層を貫通して垂直に延在するチャネル構造を、第2の基板の上方に形成することができる。方法600は、図6Aに示すように、工程604に進み、基板上の犠牲層、犠牲層上の第1の停止層、第1の停止層上にNウェルを有するP型ドープ半導体層、およびP型ドープ半導体層上の誘電体スタックが、順次形成される。犠牲層は、半導体デバイスを形成できる第2の基板の前面に形成できる。第2の基板は、シリコン基板とすることができる。第2の基板は最終製品から除去されるため、第2の基板のコストを低減するために、第2の基板は、ダミーウェハ(例えばキャリア基板、いくつか例を挙げると、ガラス、サファイア、プラスチック、シリコンなどの任意の適切な材料で作られる)の一部であってもよいことが理解されよう。いくつかの実施形態では、基板はキャリア基板であり、P型ドープ半導体層はポリシリコンを含み、誘電体スタックは、交互配置されたスタック誘電体層およびスタック犠牲層を含む。いくつかの実施形態では、スタック誘電体層およびスタック犠牲層は、P型ドープ半導体層上に交互に堆積されて、誘電体スタックを形成する。いくつかの実施形態では、犠牲層は、2つのパッド酸化物層(バッファ層としても知られる)と、2つのパッド酸化物層の間に挟まれた第2の停止層とを含む。いくつかの実施形態では、第1の停止層は高k誘電体を含み、第2の停止層は窒化シリコンを含み、2つのパッド酸化物層の各々は、酸化シリコンを含む。いくつかの実施形態では、誘電体スタックを形成する前に、P型ドープ半導体層の一部にN型ドーパントをドープして、Nウェルを形成する。
図4Aに示すように、キャリア基板402上に犠牲層403が形成され、犠牲層403上に停止層405が形成され、停止層405上にP型ドープ半導体層406が形成される。P型ドープ半導体層406は、B、Ga、またはAlなどのP型ドーパントでドープされたポリシリコンを含むことができる。犠牲層403は、後に選択的に除去することができ、P型ドープ半導体層406の材料とは異なる任意の適切な犠牲材料を含むことができる。いくつかの実施形態では、犠牲層403は、2つのパッド酸化物層の間に挟まれた停止層404を有する複合誘電体層である。以下で詳細に説明するように、停止層404は、キャリア基板402を裏面から除去するときにCMP/エッチング停止層として機能することができ、したがって、窒化シリコンなどのキャリア基板402の材料以外の任意の適切な材料を含むことができる。同様に、停止層405は、チャネルホールを前面からエッチングするときに、エッチング停止層として機能することができ、したがって、ポリシリコン(停止層405上のP型ドープ半導体層406の材料)に対して高いエッチング選択性(例えば、約5超)を有する任意の適切な材料を含むことができる。一例では、停止層405は、後のプロセスで最終製品から除去されてもよく、例えば、いくつか例を挙げると、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、または酸化チタンなどの高k誘電体を含んでもよい。別の例では、停止層405の少なくとも一部は最終製品中に残っていてもよく、金属シリサイド、例えば、いくつかの例を挙げると、銅シリサイド、コバルト・シリサイド、ニッケル・シリサイド、チタン・シリサイド、タングステン・シリサイド、銀シリサイド、アルミニウム・シリサイド、金シリサイド、白金シリサイド、を含んでもよい。いくつかの例では、異なる層の間の応力を緩和し、剥離を回避するために、キャリア基板402と停止層404との間、および停止層404と停止層405との間、にパッド酸化物層(例えば、酸化シリコン層)を、形成することができることが理解されよう。
いくつかの実施形態によれば、犠牲層403を形成するために、酸化シリコン、窒化シリコン、および酸化シリコンは、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の薄膜堆積プロセスを使用して、キャリア基板402上に順次堆積される。いくつかの実施形態によれば、停止層405を形成するために、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の薄膜堆積プロセスを使用して、犠牲層403上に高k誘電体が堆積される。いくつかの実施形態では、P型ドープ半導体層406を形成するために、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の薄膜堆積プロセスを使用して、ポリシリコンが停止層405上に堆積され、その後、イオン注入および/または熱拡散を使用して、堆積されたポリシリコンをB、Ga、またはAlなどのP型ドーパントでドープする。いくつかの実施形態では、P型ドープ半導体層406を形成するために、B、Ga、またはAlなどのP型ドーパントのin-situ方式ドーピングは、停止層405上にポリシリコンを堆積するときに実行される。停止層405が金属シリサイドを含むいくつかの実施形態では、犠牲層403上に金属層が堆積され、続いて、ポリシリコンが堆積されて、金属層上にP型ドープ半導体層406が形成される。次いで、熱処理(例えば、アニーリング、焼結、または任意の他の適切なプロセス)によってポリシリコンおよび金属層に対してシリサイド化プロセスを実行し、金属層を停止層405としての金属シリサイド層に変換できる。
図4Aに示すように、P型ドープ半導体層406の一部は、P、As、またはSbなどのN型ドーパントでドープされて、P型ドープ半導体層406内にNウェル407を形成する。いくつかの実施形態では、Nウェル407は、イオン注入および/または熱拡散を使用して形成される。イオン注入および/または熱拡散プロセスは、P型ドープ半導体層406またはその一部の厚さ全体を貫通して、Nウェル407の厚さを制御するように制御できる。
図4Bに示すように、第1の誘電体層(本明細書では「スタック犠牲層」412と呼ぶ)および第2の誘電体層(本明細書では「スタック誘電体層(stack dielectric layers)」410と呼び、本明細書では共に「誘電体層対(dielectric layer pairs)」と呼ぶ)の複数の対を含む誘電体スタック408が、P型ドープ半導体層406上に形成される。いくつかの実施形態によれば、誘電体スタック408は、交互配置されたスタック犠牲層412およびスタック誘電体層410を含む。スタック誘電体層410およびスタック犠牲層412は、キャリア基板402の上方のP型ドープ半導体層406上に交互に堆積されて、誘電体スタック408を形成することができる。いくつかの実施形態では、各スタック誘電体層410は酸化シリコンの層を含み、各スタック犠牲層412は窒化シリコンの層を含む。誘電体スタック408は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むが、これらに限定されない、1つまたは複数の薄膜堆積プロセスによって形成できる。図4Bに示すように、誘電体スタック408の縁部に階段構造を形成できる。階段構造は、複数のいわゆる「トリムエッチング」サイクルを、誘電体スタック408の誘電体層対に対して、キャリア基板402に向かって実行することによって形成できる。誘電体スタック408の誘電体層対に繰り返しトリムエッチサイクルが適用されるため、誘電体スタック408は、図4Bに示すように、1つまたは複数の傾斜した縁部と、底部よりも短い上部誘電体層対とを有することができる。
方法600は、図6Aに示すように、工程606に進み、各々が誘電体スタックおよびP型ドープ半導体層を貫通して垂直に延在し、第1の停止層で停止する、チャネル構造が形成される。いくつかの実施形態では、チャネル構造を形成するために、各々が誘電体スタックおよびP型ドープ半導体層を貫通して垂直に延在するチャネルホールがエッチングされ、第1の停止層で停止し、その後、メモリ膜および半導体チャネルが各チャネルホールの側壁に沿って堆積される。
図4Bに示すように、各チャネルホールは、誘電体スタック408およびP型ドープ半導体層406を貫通し垂直に延在し、停止層405で停止する開口部である。いくつかの実施形態では、各開口部が後のプロセスで個々のチャネル構造414を成長させるための位置になるように、複数の開口部が形成される。いくつかの実施形態では、チャネル構造414のチャネルホールを形成するための製造プロセスは、DRIEなどのウェットエッチングおよび/またはドライエッチングを含む。いくつかの実施形態によれば、停止層405の材料(例えば、酸化アルミニウムまたは金属シリサイド)とP型ドープ半導体層406の材料(すなわち、ポリシリコン)との間のエッチング選択性のために、高k誘電体層(例えば、酸化アルミニウム層)または金属シリサイド層などの停止層405によって停止されるまで、チャネルホールのエッチングが継続する。いくつかの実施形態では、エッチング速度およびエッチング時間などのエッチング条件は、そこに形成されたチャネルホールおよびチャネル構造414間の溝切り変動を最小にするように、各チャネルホールが停止層405に到達し、停止層405によって確実に停止するように、制御できる。特定のエッチング選択性に応じて、1つまたは複数のチャネルホールが停止層405内にわずかに延在することができ、これは本開示では依然として、停止層405によって停止していると見なされることが理解されよう。
図4Bに示すように、ブロッキング層417、記憶層416、およびトンネル層415を含むメモリ膜と、半導体チャネル418とが、チャネルホールの側壁および底面に沿って、この順序で形成される。いくつかの実施形態では、ブロッキング層417、記憶層416、およびトンネル層415は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、チャネルホールの側壁および底面に沿って、この順序で最初に堆積され、メモリ膜を形成する。次いで、半導体チャネル418は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、トンネル層415の上にポリシリコン(例えば、ドープされていないポリシリコン)などの半導体材料を堆積することによって形成できる。いくつかの実施形態では、第1の酸化シリコン層、窒化シリコン層、第2の酸化シリコン層、およびポリシリコン層(「SONO」構造)が順次堆積されて、メモリ膜および半導体チャネル418のブロッキング層417、記憶層416、およびトンネル層415を形成する。
図4Bに示すように、チャネルホール内および半導体チャネル418の上にキャップ層を形成して、(例えば、エアギャップの有無にかかわらず)チャネルホールを完全にまたは部分的に充填する。キャップ層は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンなどの誘電材料を堆積させることによって形成できる。次いで、チャネルホールの頂部にチャネルプラグを形成できる。いくつかの実施形態では、誘電体スタック408の天面上にあるメモリ膜、半導体チャネル418、およびキャップ層の一部は、CMP、ウェットエッチング、および/またはドライエッチングによって除去され、平坦化される。次いで、半導体チャネル418の一部およびチャネルホールの頂部のキャップ層をウェットエッチングおよび/またはドライエッチングすることによって、チャネルホールの頂部に凹部を形成できる。次いで、CVD、PVD、ALD、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって、ポリシリコンなどの半導体材料を凹部内に堆積させることによって、チャネルプラグを形成できる。いくつかの実施形態によれば、これにより、誘電体スタック408およびP型ドープ半導体層406を貫通してチャネル構造414が形成され、停止層405で停止する。
方法600は、図6Aに示すように、工程608に進み、チャネル構造がメモリスタックおよびP型ドープ半導体層を貫通して垂直に延在するように、誘電体スタックが、例えばいわゆる「ゲート置換」プロセスを使用して、メモリスタックと置き換えられる。いくつかの実施形態では、誘電体スタックをメモリスタックと置き換えるために、誘電体スタックを貫通して垂直に延在し、P型ドープ半導体層で停止する開口部がエッチングされる。そして、スタック犠牲層が、開口部を介してスタック導電層と置き換えられて、交互配置されたスタック誘電体層およびスタック導電層を含むメモリスタックが形成される。
図4Cに示すように、スリット420は、誘電体スタック408を貫通して垂直に延在し、P型ドープ半導体層406で停止する開口部である。いくつかの実施形態では、スリット420を形成するための製造プロセスは、DRIEなどのウェットエッチングおよび/またはドライエッチングを含む。図4Cに示すように、スリット420はNウェル407と横方向に整列しているが、他の例では、スリット420はNウェル407と横方向に整列していなくてもよいことが理解されよう。次に、スリット420を介してゲート置換を実行して、誘電体スタック408をメモリスタック430(図4Eに示す)に置換することができる。
図4Dに示すように、スリット420を介してスタック犠牲層412(図4Cに示す)を除去することによって、横方向凹部422が最初に形成される。いくつかの実施形態では、スタック犠牲層412は、スリット420を介してエッチング液を適用することによって除去され、スタック誘電体層410の間に交互配置された横方向凹部422を生成する。エッチング液は、誘電体層410をスタックするために、スタック犠牲層412を選択的にエッチングする任意の適切なエッチング液を含むことができる。
図4Eに示すように、スタック導電層428(ゲート電極および接着層を含む)は、スリット420を介して、横方向凹部422(図4Dに示す)内に堆積される。いくつかの実施形態では、ゲート誘電体層432は、スタック導電層428の前に横方向凹部422内に堆積され、それにより、スタック導電層428がゲート誘電体層432上に堆積される。金属層などのスタック導電層428は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、堆積させることができる。いくつかの実施形態では、高k誘電体層などのゲート誘電体層432は、側壁に沿ってスリット420の底部にも形成される。いくつかの実施形態によれば、交互配置されたスタック導電層428およびスタック誘電体層410を含むメモリスタック430がこれにより形成され、誘電体スタック408(図4Dに示す)が置き換えられる。
方法600は、図6Aに示すように、工程610に進み、メモリスタックを貫通して垂直に延在する絶縁構造が形成される。いくつかの実施形態では、絶縁構造を形成するために、メモリスタックを形成した後、1つまたは複数の誘電体材料が開口部内に堆積され、開口部を充填する。図4Eに示すように、メモリスタック430を貫通して垂直に延在し、P型ドープ半導体層406の天面で停止する、絶縁構造436が形成される。絶縁構造436は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンなどの1つまたは複数の誘電材料をスリット420内に堆積させることにより形成され、(エアギャップの有無にかかわらず)スリット420を完全にまたは部分的に充填できる。いくつかの実施形態では、絶縁構造436は、ゲート誘電体層432(例えば、高k誘電体を含む)および誘電体キャップ層434(例えば、酸化シリコンを含む)を含む。
図4Fに示すように、絶縁構造436の形成後、チャネル・ローカル・コンタクト444およびワード線ローカルコンタクト442を含むローカルコンタクト、ならびに周辺コンタクト438,439、440が形成される。CVD、PVD、ALD、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンまたは窒化シリコンなどの誘電体材料をメモリスタック430上に堆積させることによって、メモリスタック430上にローカル誘電体層を形成できる。チャネル・ローカル・コンタクト444、ワード線ローカルコンタクト442、ならびに周辺コンタクト438,439、および440は、ウェットエッチングおよび/またはドライエッチング、例えばRIEを使用して、ローカル誘電体層(および任意の他のILD層)を介してコンタクト開口部をエッチングすることによって形成でき、続いて、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、コンタクト開口部を導電性材料で充填する。
図4Fに示すように、接合層446は、チャネル・ローカル・コンタクト444、ワード線ローカルコンタクト442、ならびに周辺コンタクト438、439、および440の上方に形成される。接合層446は、チャネル・ローカル・コンタクト444、ワード線ローカルコンタクト442、ならびに周辺コンタクト438、439、および440に電気的に接続された接合コンタクトを含む。接合層446を形成するために、ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して堆積される。ILD層を貫通する接合コンタクトは、ウェットエッチングおよび/またはドライエッチング、例えばRIEを使用して形成され、その後、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスが続く。
方法600は、図6Aに示すように、工程612に進み、メモリスタックが周辺回路の上方にあるように、第1の基板および第2の基板が対面方式で接合される。接合は、ハイブリッド接合を含むことができる。図4Gに示すように、キャリア基板402およびその上に形成された構成要素(例えば、メモリスタック430およびそれを貫通して形成されたチャネル構造414)は、上下反対に裏返される。いくつかの実施形態によれば、下向きの接合層446は、上向きの接合層448と、すなわち対面方式で、接合され、それによってキャリア基板402とシリコン基板450との間に接合インターフェイス454を形成する。いくつかの実施形態では、処理プロセス、例えばプラズマ処理、湿式処理、および/または熱処理が、接合前に接合面に適用される。接合後、接合層446内の接合コンタクトおよび接合層448内の接合コンタクトは、整列され、互いに接触し、それによって、それを通って形成されたメモリスタック430およびチャネル構造414は、周辺回路452に電気的に接続でき、周辺回路452の上方にあることができる。
方法600は、図6Aに示すように、工程614に進み、第2の基板、犠牲層、および第1の停止層が順次除去され、複数のチャネル構造の各々の端部を露出させる。除去は、第2の基板の裏面から実行できる。いくつかの実施形態では、第2の基板、犠牲層、および第1の停止層を順次除去するために、第2の基板が除去され、犠牲層の第2の停止層で停止し、犠牲層の残りの部分が除去され、第1の停止層で停止する。
図4Hに示すように、キャリア基板402(および、図4Gに示す、キャリア基板402と停止層404との間のパッド酸化物層)は、停止層404(例えば、窒化シリコン層)によって停止されるまで裏面から完全に除去される。キャリア基板402は、CMP、研削、ドライエッチング、および/またはウェットエッチングを使用して、完全に除去できる。いくつかの実施形態では、キャリア基板402を剥離する。キャリア基板402がシリコンを含み、停止層404が窒化シリコンを含むいくつかの実施形態では、キャリア基板402はシリコンCMPを使用して除去され、シリコンCMPは、シリコン以外の材料を有する停止層404に到達するとき、すなわち、裏面CMP停止層として作用するときに、自動的に停止できる。いくつかの実施形態では、基板402(シリコン基板)は、TMAHによるウェットエッチングを使用して除去され、TMAHは、シリコン以外の材料を有する停止層404に到達するとき、すなわち、裏面エッチング停止層として作用するときに、自動的に停止する。停止層404は、薄化後の厚さ均一性の懸念なしに、キャリア基板402を完全に確実に除去できる。
図4Iに示すように、次いで、リン酸およびフッ化水素酸などの適切なエッチング液を用いたウェットエッチングを使用して、犠牲層403の残りの部分(例えば、図4Hに示す停止層404および停止層404と停止層405との間の別のパッド酸化物層)を、異なる材料(例えば、高k誘電体)を有する停止層405によって停止されるまで、同様に完全に除去できる。上述したように、各チャネル構造414は停止層405を超えて犠牲層403またはキャリア基板402内に延在していないため、キャリア基板402および犠牲層403の除去は、チャネル構造414に影響を及ぼさない。図4Jに示すように、停止層405が(金属シリサイドを含む導電層とは対照的に)高k誘電体を含むいくつかの実施形態では、停止層405(図3Iに示す)は、ウェットエッチングおよび/またはドライエッチングを使用して完全に除去され、チャネル構造414の上端を露出させる。
方法600は、図6Aに示すように、工程616に進み、導電層が、複数のチャネル構造の端部に接触して形成される。いくつかの実施形態では、導電層は、複数のチャネル構造およびP型ドープ半導体層の端部に接触する金属シリサイド層と、金属シリサイド層に接触する金属層とを含む。いくつかの実施形態では、導電層を形成するために、P型ドープ半導体層に当接するメモリ膜の一部が除去されて、半導体チャネルの一部を囲む凹部が形成され、半導体チャネルの一部がドープされる。いくつかの実施形態では、導電層を形成するために、金属シリサイド層は、半導体チャネルのドープ部分に接触する凹部内、およびP型ドープ半導体層に接触する凹部の外側に、形成される。
図4Jに示すように、P型ドープ半導体層406に当接する記憶層416、ブロッキング層417、およびトンネル層415(図4Iに示す)の一部が除去されて、P型ドープ半導体層406内に延在する半導体チャネル418の頂部を囲む凹部457が形成される。いくつかの実施形態では、2つのウェットエッチング・プロセスが順次実行される。例えば、ポリシリコンを含むP型ドープ半導体層406をエッチングすることなく、リン酸などの適切なエッチング液を用いたウェットエッチングを使用して、窒化シリコンを含む記憶層416が、選択的に除去される。エッチングがメモリスタック430によって囲まれた記憶層416の残りの部分に影響を及ぼし続けないように、エッチング時間および/またはエッチング速度を制御することによって、記憶層416のエッチングを制御できる。次に、P型ドープ半導体層406およびポリシリコンを含む半導体チャネル418をエッチングすることなく、フッ化水素酸などの適切なエッチング液を用いたウェットエッチングを使用して、酸化シリコンを含むブロッキング層417およびトンネル層415を、選択的に除去できる。エッチングがメモリスタック430によって囲まれた残りのブロッキング層417およびトンネル層415に影響を及ぼし続けないように、エッチング時間および/またはエッチング速度を制御することによって、ブロッキング層417およびトンネル層415のエッチングを制御できる。いくつかの実施形態では、パターニングされた停止層405をエッチングマスクとして使用して、単一のドライエッチングプロセスが実行される。例えば、停止層405は、ドライエッチングを実行するときに除去されなくてもよく、代わりに、エッチングマスクとして他の領域を依然として覆いながら、チャネル構造414の上端で記憶層416、ブロッキング層417、およびトンネル層415のみを露出させるようにパターニングされてもよい。次に、ドライエッチングを実行して、P型ドープ半導体層406に当接する記憶層416、ブロッキング層417、およびトンネル層415の一部をエッチングできる。エッチングがメモリスタック430によって囲まれた記憶層416、ブロッキング層417、およびトンネル層415の残りに影響を及ぼし続けないように、エッチング時間および/またはエッチング速度を制御することによって、ドライエッチングを制御できる。パターニングされた停止層405は、ドライエッチングが終了した後に、除去できる。
それでもなお、P型ドープ半導体層406に当接する記憶層416、ブロッキング層417、およびトンネル層415の部分を裏面から除去することは、それほど困難ではなく、高アスペクト比(例えば、50より大きい)の誘電体スタック408/メモリスタック430を貫通する開口部(例えば、図4Dのスリット420)を介した表面ウェットエッチングを使用する既知の解決策と比較して、より高い生産収率を有する。スリット420の高アスペクト比によってもたらされる問題を回避することで、製造の複雑さおよびコストを低減することができ、歩留まりを高めることができる。また、垂直方向のスケーラビリティ(例えば、誘電体スタック408/メモリスタック430のレベルの増加)も、向上できる。
いくつかの実施形態によれば、図4Jに示すように、P型ドープ半導体層406に当接する各チャネル構造414のメモリ膜(ブロッキング層417、記憶層416、およびトンネル層415を含む)の頂部を除去して凹部457を形成し、半導体チャネル418の頂部を露出させることができる。いくつかの実施形態では、凹部457によって露出された半導体チャネル418の頂部は、その導電性を高めるためにドープされる。例えば、傾斜イオン注入プロセスを実行して、凹部457によって露出された半導体チャネル418の頂部(例えば、ポリシリコンを含む)に、任意の適切なドーパントを所望のドーピング濃度にドープすることができる。
図4Kに示すように、導電層459は、半導体チャネル418のドープされた頂部を囲み、かつ接触する凹部457(図4Jに示す)内に、ならびにP型ドープ半導体層406上の凹部457の外側に、形成される。いくつかの実施形態では、導電層459を形成するために、半導体チャネル418のドープされた頂部に接触する凹部457内、およびP型ドープ半導体層406に接触する凹部457の外側に、金属シリサイド層476が形成され、金属シリサイド層476上に金属層478が形成される。一例では、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、凹部457の側壁および底面上、ならびにP型ドープ半導体層406上に、金属膜(例えば、Co、Ni、またはTi)を堆積できる。金属膜は、P型ドープ半導体層406のポリシリコンおよび半導体チャネル418のドープされた頂部と接触できる。次いで、熱処理(例えば、アニーリング、焼結、または任意の他の適切なプロセス)によって金属膜およびポリシリコン上に、シリサイド化プロセスを実行して、凹部457の側壁および底面に沿って、かつP型ドープ半導体層406上に、金属シリサイド層476を形成できる。次いで、凹部457の残りの空間を充填するために、金属シリサイド層476上に、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、別の金属膜(例えば、W、Al、Ti、TiN、Co、および/またはNi)を堆積することによって、金属層478を金属シリサイド層476上に形成できる。別の例では、2つの金属膜を別々に堆積する代わりに、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、単一の金属膜(例えば、Co、Ni、またはTi)を、凹部457内に堆積させて凹部457を充填し、P型ドープ半導体層406上に堆積できる。次いで、熱処理(例えば、アニーリング、焼結、または任意の他の適切なプロセス)によって、金属膜およびポリシリコン上に、シリサイド化プロセスを実行することができ、それにより、金属膜の一部が、凹部457の側壁および底面に沿って、かつP型ドープ半導体層406上に金属シリサイド層476を形成し、一方で、金属膜の残りが、金属シリサイド層476上の金属層478になる。CMPプロセスを実行して、余分な金属層478を除去できる。いくつかの実施形態によれば、図4Kに示すように、これにより、金属シリサイド層476および金属層478を含む導電層459(図2Aの3Dメモリデバイス200の導電層222の一例として)が、形成される。いくつかの実施形態では、導電層459は、周辺領域を覆わないようにパターニングおよびエッチングされる。
いくつかの実施形態では、導電層を形成するために、ドープされたポリシリコンが半導体チャネルのドープされた部分に接触するように凹部内に堆積され、金属シリサイド層がドープされたポリシリコンおよびP型ドープ半導体層に接触して形成される。図4Pに示すように、半導体チャネル418のドープされた頂部を囲み、かつ接触するチャネルプラグ480が、凹部457(図4Jに示す)に形成される。その結果、いくつかの実施形態によれば、P型ドープ半導体層406に当接するチャネル構造414(図4Hに示す)の除去された頂部は、それによってチャネルプラグ480に置き換えられる。いくつかの実施形態では、チャネルプラグ480を形成するために、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、ポリシリコンが凹部457内に堆積されて凹部457を充填し、続いて、CMPプロセスによって、P型ドープ半導体層406の天面上の任意の余分なポリシリコンを除去する。いくつかの実施形態では、B、Ga、またはAlなどのP型ドーパントのin-situ方式ドーピングは、チャネルプラグ480をドープするためにポリシリコンを凹部457内に堆積するときに実行される。チャネルプラグ480および半導体チャネル418のドープされた頂部は、ドープされたポリシリコンなどの同一の材料を含むことができるので、チャネルプラグ480は、チャネル構造414の半導体チャネル418の一部として見ることができる。
図4Pに示すように、金属シリサイド層476および金属層478を含む導電層459が、P型ドープ半導体層406およびチャネルプラグ480上に形成される。いくつかの実施形態では、金属膜が最初にP型ドープ半導体層406およびチャネルプラグ480上に堆積され、続いて、シリサイド化プロセスが行われ、チャネルプラグ480およびP型ドープ半導体層406に接触する金属シリサイド層476が形成される。次いで、別の金属膜を金属シリサイド層476上に堆積させて、金属層478を形成できる。いくつかの実施形態では、P型ドープ半導体層406およびチャネルプラグ480上に金属膜が堆積され、続いて、シリサイド化プロセスが行われ、それにより、P型ドープ半導体層406およびチャネルプラグ480に接触する金属膜の一部が金属シリサイド層476を形成し、金属膜の残りの部分が金属層478になる。いくつかの実施形態によれば、図4Pに示すように、これにより、金属シリサイド層476および金属層478を含む導電層459(図2Bの3Dメモリデバイス250の導電層222の一例として)が、形成される。いくつかの実施形態では、導電層459は、周辺領域を覆わないようにパターニングおよびエッチングされる。
方法600は、図6Aに示すように、工程618に進み、第1のソースコンタクトが、メモリスタックの上方あり、P型ドープ半導体層に接触して形成され、第2のソースコンタクトが、メモリスタックの上方にあり、Nウェルに接触して形成される。図4Lに示すように、P型ドープ半導体層406上に1つまたは複数のILD層456が形成される。ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、P型ドープ半導体層406の天面に誘電体材料を堆積することによって、ILD層456が形成できる。
図4Mに示すように、ソースコンタクト開口部458は、ILD層456および導電層459を貫通してP型ドープ半導体層406に形成できる。いくつかの実施形態では、RIEなどのウェットエッチングおよび/またはドライエッチングを使用して、ソースコンタクト開口部458が形成される。いくつかの実施形態では、ソースコンタクト開口部458は、P型ドープ半導体層406の頂部内にさらに延在する。ILD層456および導電層459を貫通するエッチングプロセスは、P型ドープ半導体層406の一部をエッチングし続けることができる。いくつかの実施形態では、ILD層456および導電層459を介してエッチングした後に、別個のエッチングプロセスが使用して、P型ドープ半導体層406の一部をエッチングする。
図4Mに示すように、ソースコンタクト開口部465は、ILD層456および導電層459を貫通してNウェル407に形成できる。いくつかの実施形態では、RIEなどのウェットエッチングおよび/またはドライエッチングを使用して、ソースコンタクト開口部465が形成される。いくつかの実施形態では、ソースコンタクト開口部465は、Nウェル407の頂部内にさらに延在する。ILD層456および導電層459を貫通するエッチングプロセスは、Nウェル407の一部をエッチングし続けることができる。いくつかの実施形態では、ILD層456および導電層459を貫通してエッチングした後に、別個のエッチングプロセスが使用して、Nウェル407の一部をエッチングする。ソースコンタクト開口部458のエッチングは、ソースコンタクト開口部465のエッチングの後に実行でき、またはその逆も可能である。いくつかの例では、ソースコンタクト開口部458および465は、エッチングプロセス数を減らすために、同一のエッチングプロセスによってエッチングされてもよいことが理解されよう。
図4Nに示すように、ソースコンタクト464および478は、P型ドープ半導体層406の裏面のソースコンタクト開口部458および465(図4Mに示す)にそれぞれ形成される。いくつかの実施形態によれば、ソースコンタクト464は、メモリスタック430の上方にあり、かつP型ドープ半導体層406に接触する。いくつかの実施形態によれば、ソースコンタクト479は、メモリスタック430の上方にあり、かつNウェル407に接触する。いくつかの実施形態では、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、ソースコンタクト開口部458および465内に1つまたは複数の導電性材料を堆積させて、ソースコンタクト開口部458および465を、接着層(例えば、TiN)および導体層(例えば、W)で充填する。次いで、CMPなどの平坦化プロセスを実行して、ソースコンタクト464および478の天面が互いに同一平面であり、ILD層456の天面と同一平面になるように、過剰な導電性材料を除去することができる。いくつかの例では、ソースコンタクト464および478は、製造プロセス数を減らすために同一の堆積およびCMPプロセスによって形成されてもよいことが理解されよう。
方法600は、図6Aに示すように、工程620に進み、相互接続層が、第1のソースコンタクトおよび第2のソースコンタクトの上方にあり、かつ接触して形成される。いくつかの実施形態では、相互接続層は、それぞれ第1および第2のソースコンタクトの上方にあり、かつ接触する、第1の相互接続部および第2の相互接続部を含む。
図4Oに示すように、再配線層470がソースコンタクト464および478の上方に、かつ接触して形成される。いくつかの実施形態では、再配線層470は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、ILD層456およびソースコンタクト364の天面に、Alなどの導電性材料を堆積することによって形成される。いくつかの実施形態では、再配線層470は、リソグラフィおよびエッチングプロセスによってパターニングされて、ソースコンタクト464の上方あり、かつ接触する第1の相互接続部470-1と、ソースコンタクト479の上方にあり、かつ接触する第2の相互接続部470-2とを、形成する。第1および第2の相互接続部470-1および470-2は、互いに電気的に分離できる。再配線層470上に、パッシベーション層472を形成できる。いくつかの実施形態では、パッシベーション層472は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、窒化シリコンなどの誘電材料を堆積することによって形成される。いくつかの実施形態によれば、これにより、ILD層456、再配線層470、およびパッシベーション層472を含む相互接続層476が、形成される。
図4Lに示すように、ILD層456およびP型ドープ半導体層406をそれぞれ貫通して延在するコンタクト開口部460、461、および463が形成される。いくつかの実施形態では、コンタクト開口部460、461、および463は、RIEなどのウェットエッチングおよび/またはドライエッチングを使用して、ILD層456およびP型ドープ半導体層406を貫通して形成される。いくつかの実施形態では、コンタクト開口部460、461、および463は、それぞれ周辺コンタクト438、440、および439と位置合わせされるように、リソグラフィを使用してパターニングされる。コンタクト開口部460、461、および463のエッチングは、周辺コンタクト438、439、および440の上端で停止して、周辺コンタクト438、439、および440を露出させることができる。コンタクト開口部460、461、および463のエッチングは、エッチングプロセス数を減らすために、同一のエッチングプロセスによって実行できる。エッチング深さが異なるため、コンタクト開口部460、461、および463のエッチングは、ソースコンタクト開口部465のエッチングの前に実行されてもよく、またはその逆であってもよいが、同時に実行されなくてもよいことが理解されよう。
図4Mに示すように、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、コンタクト開口部460、461、および463、ならびにソースコンタクト開口部465の側壁に沿ってスペーサ462が形成され、P型ドープ半導体層406を電気的に分離する。いくつかの実施形態では、スペーサ462は、製造プロセス数を減らすために、同一の堆積プロセスによって、コンタクト開口部460、461、および463、ならびにソースコンタクト開口部465の側壁に沿って形成される。いくつかの実施形態では、スペーサ462が、ソースコンタクト開口部458の側壁に沿って形成されず、ソースコンタクト464とP型ドープ半導体層406との間の接触面積を増加させるように、ソースコンタクト開口部458のエッチングは、スペーサ462の形成後に実行される。
図4Nに示すように、コンタクト466,468、および469は、P型ドープ半導体層406の裏面のコンタクト開口部460,461および463(図4Mに示す)にそれぞれ形成される。いくつかの実施形態によれば、コンタクト466,468、および469は、ILD層456およびP型ドープ半導体層406を貫通して垂直に延在する。コンタクト466、468、および469、ならびにソースコンタクト464および478は、堆積プロセスの数を減らすために、同一の堆積プロセスを使用して形成できる。いくつかの実施形態では、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、コンタクト開口部460、461、および463内に1つまたは複数の導電性材料を堆積させて、コンタクト開口部460、461、および463を、接着層(例えば、TiN)および導体層(例えば、W)で充填する。次いで、CMPなどの平坦化プロセスを実行して、コンタクト466、468および469の天面(ならびにソースコンタクト464および478の天面)が、ILD層456の天面と同一平面になるように、過剰な導電性材料を除去できる。いくつかの実施形態では、コンタクト開口部460、461、および463が、それぞれ周辺コンタクト438、440、および439と位置合わせされると、コンタクト466、468、および469もそれぞれ周辺コンタクト438、440、および439の上方にあり、かつ接触する。
図4Oに示すように、再配線層470の第1の相互接続部470-1は、コンタクト466の上方に、かつ接触して形成される。その結果、P型ドープ半導体層406は、ソースコンタクト464、相互接続層476の第1の相互接続部470-1、およびコンタクト466を介して、周辺コンタクト438に電気的に接続できる。いくつかの実施形態では、P型ドープ半導体層406は、ソースコンタクト464、相互接続層476の第1の相互接続部470-1、コンタクト466、周辺コンタクト438、ならびに接合層446および448を介して、周辺回路452に電気的に接続される。同様に、再配線層470の第2の相互接続部470-2は、コンタクト469の上方に、かつ接触して形成される。その結果、Nウェル407は、ソースコンタクト479、相互接続層476の第2の相互接続部470-2、およびコンタクト469を介して、周辺コンタクト438に電気的に接続できる。いくつかの実施形態では、Nウェル407は、ソースコンタクト479、相互接続層476の第2の相互接続部470-2、コンタクト469、周辺コンタクト439、ならびに接合層446および448を介して、周辺回路452に電気的に接続される。
図4Oに示すように、コンタクトパッド474は、コンタクト468の上方に、かつ接触して形成される。いくつかの実施形態では、コンタクト468を覆うパッシベーション層472の一部がウェットエッチングおよび/またはドライエッチングによって除去されて、下層の再配線層470の一部が露出し、コンタクトパッド474が形成される。その結果、信号出力パッド用のコンタクトパッド474を、コンタクト468、周辺コンタクト440、接合層446、448を介して、周辺回路452に電気的に接続できる。
方法600における第1の停止層は、第1の導電層、例えば金属シリサイド層であってもよく、その一部は、方法601に関して以下に説明するように、最終製品中の導電層に残ることが理解されよう。方法600と方法601との間の同様の工程の詳細は、説明を容易にするために繰り返さなくてもよい。図6Bを参照すると、方法601は工程602で開始し、周辺回路が第1の基板上に形成される。第1の基板はシリコン基板とすることができる。
方法601は、図6Bに示すように、工程605に進み、第2の基板上の犠牲層、犠牲層上の第1の導電層、第1の導電層上にNウェルを有するP型ドープ半導体層、およびP型ドープ半導体層上の誘電体スタックが、順次形成される。いくつかの実施形態では、第1の導電層は金属シリサイドを含む。図4Aに示すように、停止層405は、金属シリサイドを含む導電層、すなわち金属シリサイド層であってもよい。キャリア基板402、犠牲層403、およびP型ドープ半導体層406の形成に関する上記の説明は、方法601に同様に適用でき、したがって、説明を容易にするために繰り返さないことが理解されよう。
方法601は、図6Bに示すように、工程607に進み、各々が誘電体スタックおよびP型ドープ半導体層を貫通して垂直に延在し、第1の導電層で停止する、複数のチャネル構造が形成される。いくつかの実施形態では、チャネル構造を形成するために、各々が誘電体スタックおよびドープされたデバイス層を貫通して垂直に延在し、第1の導電層で停止する複数のチャネルホールが形成され、そして、メモリ膜および半導体チャネルが各チャネルホールの側壁に沿って堆積される。
方法601は、図6Bに示すように、工程608に進み、各チャネル構造が、メモリスタックおよびP型ドープ半導体層を貫通して垂直に延在するように、誘電体スタックがメモリスタックに置き換えられる。いくつかの実施形態では、誘電体スタックをメモリスタックと置き換えるために、誘電体スタックを貫通して垂直に延在する開口部がエッチングされ、P型ドープ半導体層で停止する。そして、スタック犠牲層が開口部を介してスタック導電層と置き換えられて、交互配置されたスタック誘電体層およびスタック導電層を含むメモリスタックが形成される。
方法601は、図6Bに示すように、工程610に進み、メモリスタックを貫通して垂直に延在する絶縁構造が形成される。いくつかの実施形態では、絶縁構造を形成するために、メモリスタックを形成した後、1つまたは複数の誘電体材料が開口部内に堆積され、開口部を充填する。方法601は、図6Bに示すように、工程612に進み、メモリスタックが周辺回路の上方にあるように、第1の基板および第2の基板ウェハが対面方式で接合される。接合は、ハイブリッド接合を含むことができる。
方法601は、図6Bに示すように、工程615に進み、第2の基板、犠牲層、および第1の導電層の一部を順次除去して、複数のチャネル構造の各々の端部を露出させる。除去は、第2の基板の裏面から実行できる。いくつかの実施形態では、第2の基板、犠牲層、および第1の導電層の一部を順次除去するために、第2の基板が除去され、停止層で停止し、犠牲層の残りの部分が除去され、第1の導電層で停止し、第1の導電層の一部が除去されて複数のチャネル構造の各々の端部が露出する。
キャリア基板402および犠牲層403の除去に関する上記の説明は、方法601に同様に適用でき、したがって、説明を容易にするために繰り返さないことが理解されよう。図4Qに示すように、犠牲層403(図4Gに示す)を除去した後、導電層405の一部(例えば、金属シリサイド層)を除去して、チャネル構造414の上端を露出させる。導電層405は、パターニングすることができ、それにより、例えばリソグラフィ、ウェットエッチング、および/またはドライエッチングを使用して、各チャネル構造414の真上の部分を除去して、各チャネル構造414を露出させることができる。いくつかの実施形態によれば、導電層405の残りの部分は、P型ドープ半導体層406上に残る。
方法601は、図6Bに示すように、工程617に進み、第2の導電層が、複数のチャネル構造の端部および第1の導電層に接触して形成される。第2の導電層は、金属を含むことができる。いくつかの実施形態では、第2の導電層を形成するために、P型ドープ半導体層に当接するメモリ膜の一部がエッチングされて半導体チャネルの一部を囲む凹部を形成し、半導体チャネルの一部がドープされる。そして、半導体チャネルのドープされた部分に接触するように凹部内に、および第1の導電層に接触するように凹部の外側に、金属が堆積される。
凹部457を形成するためにP型ドープ半導体層406に当接する記憶層416、ブロッキング層417、およびトンネル層415の一部の除去に関する上記の説明は、方法601に同様に適用でき、したがって、説明を容易にするために繰り返さないことが理解されよう。図4Qに示すように、金属層478は、半導体チャネル418のドープされた頂部を囲み、かつ接触する凹部457(図4Jに示す)内、ならびに導電層405上の凹部457の外側(例えば、金属シリサイド層)に、形成される。金属層478は、凹部457内のチャネル構造414(例えば、半導体チャネル418のドープ部分)の端部を、囲んで接触することができる。金属層478はまた、凹部457の外側の導電層405の上方にあり、かつ接触できる。金属層478は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスを使用して、金属膜(例えば、W、Al、Ti、TiN、Co、および/またはNi)を堆積することによって形成でき、導電層405上の凹部457および凹部457の外側を充填する。CMPプロセスを実行して、余分な金属層478を除去できる。いくつかの実施形態によれば、これにより、金属層478および導電層405を含む導電層459(図2Cの3Dメモリデバイス260の導電層222の一例として)が、形成される。いくつかの実施形態では、導電層459は、周辺領域を覆わないようにパターニングおよびエッチングされる。方法600と比較して、方法601における製造プロセス数は、最終製品中の導電層の第1の停止層(例えば、金属シリサイド層)部分を保持することによって、減らすことができる。
方法601は、図6Bに示すように、工程618に進み、メモリスタックの上方にあり、P型ドープ半導体層に接触する第1のソースコンタクトが形成され、メモリスタックの上方にあり、Nウェルに接触する第2のソースコンタクトが形成される。方法601は、図6Bに示すように、工程620に進み、相互接続層が、第1のソースコンタクトおよび第2のソースコンタクトの上方にあり、かつ接触して形成される。いくつかの実施形態では、相互接続層は、第1のソースコンタクトの上方にあり、かつ接触する第1の相互接続部と、第2のソースコンタクトの上方にあり、かつ接触する第2の相互接続部とを含む。いくつかの実施形態では、P型ドープ半導体層が第1のソースコンタクトおよび第1の相互接続部を介して第1のコンタクトに電気的に接続されるように、P型ドープ半導体層を貫通して第1の相互接続部に接触する第1のコンタクトが形成される。いくつかの実施形態では、Nウェルが第2のソースコンタクトおよび第2の相互接続部を介して第2のコンタクトに電気的に接続されるように、P型ドープ半導体層を貫通して第2の相互接続部に接触する第2のコンタクトが形成される。
本開示の一態様によれば、3Dメモリデバイスは、基板と、基板上の周辺回路と、周辺回路の上方にある交互配置された導電層および誘電体層を含むメモリスタックと、メモリスタック上のP型ドープ半導体層と、P型ドープ半導体層内のNウェルと、各々がメモリスタックを貫通してP型ドープ半導体層内に垂直に延在する複数のチャネル構造と、複数のチャネル構造の上端に接触しその少なくとも一部がP型ドープ半導体層上にある導電層と、メモリスタック上方にありP型ドープ半導体層に接触する第1のソースコンタクトと、メモリスタック上方にありNウェルに接触する第2のソースコンタクトと、を含む。
いくつかの実施形態では、P型ドープ半導体層は、ポリシリコンを含む。
いくつかの実施形態では、3Dメモリデバイスは、消去動作を実行するときに、P型ドープ半導体層とチャネル構造との間に正孔電流経路を形成するように構成される。
いくつかの実施形態では、チャネル構造の各々は、メモリ膜および半導体チャネルを含み、メモリ膜の上端は半導体チャネルの上端より下方にある。
いくつかの実施形態では、導電層は、金属シリサイド層および金属層を含む。
いくつかの実施形態では、金属シリサイド層は半導体チャネルに接触し、金属層は金属シリサイド層の上方にあり、かつ接触する。
いくつかの実施形態では、P型ドープ半導体層内に延在する半導体チャネルの一部は、ドープポリシリコンを含む。
いくつかの実施形態では、P型ドープ半導体層の厚さは約50nm未満である。
いくつかの実施形態では、3Dメモリデバイスは、ソースコンタクトの上方にあり、ソースコンタクトに電気的に接続された相互接続層をさらに含む。いくつかの実施形態では、相互接続層は、第1のソースコンタクトに接触する第1の相互接続部と、第2のソースコンタクトに接触する第2の相互接続部とを含む。
いくつかの実施形態では、3Dメモリデバイスは、P型ドープ半導体層を貫通する第1のコンタクトをさらに含む。いくつかの実施形態によれば、P型ドープ半導体層は、少なくとも第1のソースコンタクト、第1の相互接続部、および第1のコンタクトを介して、周辺回路に電気的に接続される。いくつかの実施形態では、3Dメモリデバイスは、P型ドープ半導体層を貫通する第2のコンタクトをさらに含む。いくつかの実施形態によれば、Nウェルは、少なくとも第2のソースコンタクト、第2の相互接続部、および第2のコンタクトを介して、周辺回路に電気的に接続される。
いくつかの実施形態では、3Dメモリデバイスは、P型ドープ半導体層を貫通する第3のコンタクトをさらに含む。いくつかの実施形態によれば、相互接続層は、第3のコンタクトに電気的に接続されたコンタクトパッドを含む。
いくつかの実施形態では、3Dメモリデバイスは、複数のチャネル構造を複数のブロックに分離するために、メモリスタックを貫通して垂直に延在し、横方向に延在する絶縁構造をさらに含む。いくつかの実施形態では、絶縁構造の天面は、P型ドープ半導体層の底面と同一平面上にある。
いくつかの実施形態では、3Dメモリデバイスは、周辺回路とメモリスタックとの間の接合インターフェイスをさらに含む。
いくつかの実施形態では、複数のチャネル構造の各々の上端は、P型ドープ半導体層の天面と同一平面、または、その下方にある。
本開示の別の態様によれば、3Dメモリデバイスは、基板と、基板上の交互配置された導電層および誘電体層を含むメモリスタックと、メモリスタック上のP型ドープ半導体層と、P型ドープ半導体層内のNウェルと、各々がメモリスタックを貫通してP型ドープ半導体層内に垂直に延在する複数のチャネル構造と、を含む。複数のチャネル構造のそれぞれは、メモリ膜と半導体チャネルとを含む。メモリ膜の上端は、半導体チャネルの上端よりも下方にある。3Dメモリデバイスは、複数のチャネル構造の半導体チャネルに接触する導電層をさらに含む。導電層の少なくとも一部は、P型ドープ半導体層上にある。
いくつかの実施形態では、導電層は、金属シリサイド層および金属層を含む。
いくつかの実施形態では、金属シリサイド層は半導体チャネルに接触し、金属層は金属シリサイド層の上方にあり、かつ接触する。
いくつかの実施形態では、金属層は半導体チャネルに接触し、金属層の一部は金属シリサイド層の上方にあり、かつ接触する。
いくつかの実施形態では、P型ドープ半導体層の厚さは約50nm未満である。
いくつかの実施形態では、3Dメモリデバイスは、複数のチャネル構造を複数のブロックに分離するために、メモリスタックを貫通して垂直に延在し、横方向に延在する絶縁構造をさらに含む。いくつかの実施形態では、絶縁構造の天面は、P型ドープ半導体層の底面と同一平面上にある。
いくつかの実施形態では、3Dメモリデバイスは、メモリスタックの上方にありP型ドープ半導体層に接触する第1のソースコンタクトと、メモリスタックの上方にありNウェルに接触する第2のソースコンタクトと、をさらに含む。
いくつかの実施形態では、3Dメモリデバイスは、基板の上方にある周辺回路と、周辺回路とメモリスタックとの間の接合インターフェイスと、をさらに含む。
いくつかの実施形態では、3Dメモリデバイスは、ソースコンタクトの上方にあり、ソースコンタクトに電気的に接続された相互接続層をさらに含む。いくつかの実施形態では、相互接続層は、第1のソースコンタクトに接触する第1の相互接続部と、第2のソースコンタクトに接触する第2の相互接続部とを含む。
いくつかの実施形態では、3Dメモリデバイスは、P型ドープ半導体層を貫通する第1のコンタクトをさらに含む。いくつかの実施形態によれば、P型ドープ半導体層は、少なくとも第1のソースコンタクト、第1の相互接続部、および第1のコンタクトを介して、周辺回路に電気的に接続される。いくつかの実施形態では、3Dメモリデバイスは、P型ドープ半導体層を貫通する第2のコンタクトをさらに含む。いくつかの実施形態によれば、Nウェルは、少なくとも第2のソースコンタクト、第2の相互接続部、および第2のコンタクトを介して、周辺回路に電気的に接続される。
本開示のさらに別の態様によれば、3Dメモリデバイスは、第1の半導体構造と、第2の半導体構造と、第1の半導体構造と第2の半導体構造との間の接合インターフェイスと、を含む。第1半導体構造は、周辺回路を含む。第2の半導体構造は、交互配置された導電層および誘電体層を含むメモリスタックと、P型ドープ半導体層と、P型ドープ半導体層内のNウェルと、各々がメモリスタックを貫通してP型ドープ半導体層内に垂直に延在し、周辺回路に電気的に接続された複数のチャネル構造と、金属シリサイド層および複数のチャネル構造を電気的に接続する金属層を含む導電層と、を含む。
いくつかの実施形態では、P型ドープ半導体層の厚さは約50nm未満である。
いくつかの実施形態では、チャネル構造の各々は、メモリ膜および半導体チャネルを含み、金属シリサイド層は、複数のチャネル構造の半導体チャネルに接触している。
いくつかの実施形態では、チャネル構造の各々は、メモリ膜および半導体チャネルを含み、金属層は、複数のチャネル構造の半導体チャネルに接触している。
いくつかの実施形態では、第2の半導体構造は、複数のチャネル構造を複数のブロックに分離するために、メモリスタックを貫通して垂直に延在し、横方向に延在する絶縁構造をさらに含む。
いくつかの実施形態では、絶縁構造は、P型ドープ半導体層内に垂直に延在していない。
いくつかの実施形態では、第2の半導体構造は、P型ドープ半導体層に接触する第1のソースコンタクトと、Nウェルに接触する第2のソースコンタクトと、をさらに含む。
いくつかの実施形態では、第2の半導体構造は、第1のソースコンタクトに接触する第1の相互接続部と、第2のソースコンタクトに接触する第2の相互接続部と、を含む相互接続層をさらに含む。
いくつかの実施形態では、3Dメモリデバイスは、P型ドープ半導体層を貫通する第1のコンタクトをさらに含む。いくつかの実施形態によれば、P型ドープ半導体層は、少なくとも第1のソースコンタクト、第1の相互接続部、および第1のコンタクトを介して、周辺回路に電気的に接続される。いくつかの実施形態では、3Dメモリデバイスは、P型ドープ半導体層を貫通する第2のコンタクトをさらに含む。いくつかの実施形態によれば、Nウェルは、少なくとも第2のソースコンタクト、第2の相互接続部、および第2のコンタクトを介して、周辺回路に電気的に接続される。
いくつかの実施形態では、チャネル構造の各々は、P型ドープ半導体層を超えて延在していない。
特定の実施形態の前述の説明は、本開示の一般的な性質を明らかにするので、他者は、当業者の技術の範囲内で知識を適用することによって、本開示の一般的な概念から逸脱することなく、過度の実験を行うことなく、そのような特定の実施形態を様々な用途に容易に修正および/または適合できる。したがって、そのような適合および修正は、本明細書に提示された教示および指示に基づいて、開示された実施形態の同等物の意味および範囲内にあることが意図されている。本明細書の表現または用語は、本明細書の用語または表現が教示および指示に照らして当業者によって解釈されるように、限定ではなく説明を目的とするものであることを理解されたい。
本開示の実施形態は、指定された機能およびその関係の実装を示す機能的構成要素を用いて上述されている。これらの機能的構成要素の境界は、説明の便宜上、本明細書では任意に定義されている。指定された機能およびそれらの関係が適切に実行される限り、代替の境界を定義することができる。
発明の概要および要約の部分は、発明者によって企図される本開示のすべてではないが1つまたは複数の例示的な実施形態を記載することができ、したがって、本開示および添付の特許請求の範囲を決して限定することを意図するものではない。
本開示の幅および範囲は、上述の例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびそれらの同等物に従ってのみ定義されるべきである。

Claims (16)

  1. 3次元メモリデバイスであって、
    基板と、
    前記基板の上方にある周辺回路と、
    前記周辺回路の上方にある交互配置された導電層および誘電体層を備えるメモリスタックと、
    各々が前記メモリスタックを貫通して垂直に延在する複数のチャネル構造と、
    前記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第1のソースコンタクトと、
    前記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第2のソースコンタクトと、
    前記第1のソースコンタクトに接触する第1の相互接続部と、
    前記第2のソースコンタクトに接触する第2の相互接続部と、
    前記メモリスタックの上方にあるP型ドープ半導体層であって、前記第1のソースコンタクトは、前記P型ドープ半導体層に接触する、P型ドープ半導体層と、
    を備える、3次元メモリデバイス。
  2. 前記複数のチャネル構造の上端に接触する導電層を備える
    請求項1に記載の3次元メモリデバイス。
  3. 記P型ドープ半導体層内のNウェルであって、前記第2のソースコンタクトは、前記Nウェルに接触する、Nウェル、
    をさらに備える、請求項に記載の3次元メモリデバイス。
  4. 前記P型ドープ半導体層の上方にある1つまたは複数の層間誘電体(ILD)層であって、
    前記第1のソースコンタクトは、前記1つまたは複数のILD層および前記導電層を貫通して、前記P型ドープ半導体層内に垂直に延在し、
    前記第2のソースコンタクトは、前記1つまたは複数のILD層、前記導電層、および前記P型ドープ半導体層を貫通して、前記Nウェル内に垂直に延在する、層間誘電体(ILD)層を、さらに備える、請求項に記載の3次元メモリデバイス。
  5. 前記第2のソースコンタクトは、前記P型ドープ半導体層から電気的に分離されるように、前記第2のソースコンタクトの側壁を囲むスペーサを備える、請求項3または4に記載の3次元メモリデバイス。
  6. 3次元メモリデバイスであって、
    基板と、
    前記基板の上方にある周辺回路と、
    前記周辺回路の上方にある交互配置された導電層および誘電体層を備えるメモリスタックと、
    各々が前記メモリスタックを貫通して垂直に延在する複数のチャネル構造と、
    前記複数のチャネル構造の上端に接触する導電層と、
    前記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第1のソースコンタクトと、
    前記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第2のソースコンタクトと、
    前記第1のソースコンタクトに接触する第1の相互接続部と、
    前記第2のソースコンタクトに接触する第2の相互接続部と、
    を備え、
    記第1のソースコンタクトは、前記複数のチャネル構造と垂直に整列していない、3次元メモリデバイス。
  7. 前記周辺回路と前記メモリスタックとの間の接合インターフェイスをさらに備える、請求項1からのいずれか一項に記載の3次元メモリデバイス。
  8. 前記P型ドープ半導体層を貫通する第1のコンタクトであって、前記P型ドープ半導体層は、少なくとも前記第1のソースコンタクト、前記第1の相互接続部、および前記第1のコンタクトを介して、前記周辺回路に電気的に接続される、第1のコンタクトと、
    前記P型ドープ半導体層を貫通する第2のコンタクトであって、前記Nウェルは、少なくとも前記第2のソースコンタクト、前記第2の相互接続部、および前記第2のコンタクトを介して、前記周辺回路に電気的に接続される、第2のコンタクトと、
    をさらに備える、請求項3または4に記載の3次元メモリデバイス。
  9. 3次元メモリデバイスを形成するための方法であって、
    基板の上方にある周辺回路を形成するステップと、
    前記周辺回路の上方にあり、交互配置された導電層および誘電体層を備えるメモリスタックを形成するステップと、
    各々が前記メモリスタックを貫通して垂直に延在する複数のチャネル構造を形成するステップと、
    記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第1のソースコンタクトを形成するステップと、
    前記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第2のソースコンタクトを形成するステップと、
    前記第1のソースコンタクトに接触する第1の相互接続部を形成するステップと、
    前記第2のソースコンタクトに接触する第2の相互接続部を形成するステップと、
    前記メモリスタックの上方にあり、P型ドープ半導体層を形成するステップであって、前記第1のソースコンタクトは、前記P型ドープ半導体層に接触する、ステップと、
    を含む方法。
  10. 前記複数のチャネル構造の上端に接触する導電層を形成するステップを含む
    請求項9に記載の方法。
  11. 記P型ドープ半導体層内にNウェルを形成するステップであって、前記第2のソースコンタクトは、前記Nウェルに接触する、ステッ
    をさらに含む請求項10に記載の方法。
  12. 前記P型ドープ半導体層の上方にある1つまたは複数の層間誘電体(ILD)層を形成するステップであって、
    前記第1のソースコンタクトは、前記1つまたは複数のILD層および前記導電層を貫通して、前記P型ドープ半導体層内に垂直に延在し、
    前記第2のソースコンタクトは、前記1つまたは複数のILD層、前記導電層、および前記P型ドープ半導体層を貫通して、前記Nウェル内に垂直に延在する、ステップを、さらに含む請求項11に記載の方法。
  13. 前記第2のソースコンタクトの側壁を囲むスペーサを形成するステップを、さらに含む、請求項11または12に記載の方法。
  14. 3次元メモリデバイスを形成するための方法であって、
    基板の上方にある周辺回路を形成するステップと、
    前記周辺回路の上方にあり、交互配置された導電層および誘電体層を備えるメモリスタックを形成するステップと、
    各々が前記メモリスタックを貫通して垂直に延在する複数のチャネル構造を形成するステップと、
    前記複数のチャネル構造の上端に接触する導電層を形成するステップと、
    前記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第1のソースコンタクトを形成するステップと、
    前記メモリスタックの上方にあり、前記複数のチャネル構造に電気的に接続された第2のソースコンタクトを形成するステップと、
    前記第1のソースコンタクトに接触する第1の相互接続部を形成するステップと、
    前記第2のソースコンタクトに接触する第2の相互接続部を形成するステップと、
    を含み、
    前記第1のソースコンタクトを形成するステップは、前記第1のソースコンタクトが前記複数のチャネル構造と垂直に整列しないように、前記第1のソースコンタクトを形成するステップを含む方法。
  15. 前記周辺回路と前記メモリスタックとの間に接合インターフェイスを形成するステップをさらに含む、請求項9から12のいずれか一項に記載の方法。
  16. 前記P型ドープ半導体層を貫通して第1のコンタクトを形成するステップであって、
    前記P型ドープ半導体層は、少なくとも前記第1のソースコンタクト、前記第1の相互接続部、および前記第1のコンタクトを介して、前記周辺回路に電気的に接続される、ステップと、
    前記P型ドープ半導体層を貫通して第2のコンタクトを形成するステップであって、
    前記Nウェルは、少なくとも前記第2のソースコンタクト、前記第2の相互接続部、および前記第2のコンタクトを介して、前記周辺回路に電気的に接続される、ステップと、
    をさらに含む請求項11または12に記載の方法。
JP2021557455A 2020-05-27 2020-07-07 3次元メモリデバイス及び方法 Active JP7297923B2 (ja)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
PCT/CN2020/092504 WO2021237491A1 (en) 2020-05-27 2020-05-27 Three-dimensional memory devices
PCT/CN2020/092512 WO2021208194A1 (en) 2020-04-14 2020-05-27 Three-dimensional memory devices
PCT/CN2020/092513 WO2021208195A1 (en) 2020-04-14 2020-05-27 Methods for forming three-dimensional memory devices
PCT/CN2020/092506 WO2021237492A1 (en) 2020-05-27 2020-05-27 Methods for forming three-dimensional memory devices
PCT/CN2020/092501 WO2021237489A1 (en) 2020-05-27 2020-05-27 Methods for forming three-dimensional memory devices
CNPCT/CN2020/092504 2020-05-27
CNPCT/CN2020/092513 2020-05-27
CNPCT/CN2020/092499 2020-05-27
CNPCT/CN2020/092506 2020-05-27
CNPCT/CN2020/092512 2020-05-27
CNPCT/CN2020/092501 2020-05-27
PCT/CN2020/092499 WO2021237488A1 (en) 2020-05-27 2020-05-27 Three-dimensional memory devices
PCT/CN2020/100561 WO2021237883A1 (en) 2020-05-27 2020-07-07 Three-dimensional memory devices

Publications (2)

Publication Number Publication Date
JP2022538954A JP2022538954A (ja) 2022-09-07
JP7297923B2 true JP7297923B2 (ja) 2023-06-26

Family

ID=78745375

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021557455A Active JP7297923B2 (ja) 2020-05-27 2020-07-07 3次元メモリデバイス及び方法

Country Status (6)

Country Link
US (1) US11695000B2 (ja)
EP (1) EP3939083B1 (ja)
JP (1) JP7297923B2 (ja)
KR (1) KR20210149031A (ja)
CN (2) CN111937148B (ja)
WO (4) WO2021237880A1 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210375915A1 (en) * 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices
US11532640B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a three-dimensional memory
US11404091B2 (en) 2020-06-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array word line routing
US11647634B2 (en) * 2020-07-16 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11355516B2 (en) 2020-07-16 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11423966B2 (en) * 2020-07-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
KR20220034273A (ko) * 2020-09-10 2022-03-18 삼성전자주식회사 3차원 반도체 메모리 장치 및 이를 포함하는 전자 시스템
US11482539B2 (en) * 2020-10-28 2022-10-25 Sandisk Technologies Llc Three-dimensional memory device including metal silicide source regions and methods for forming the same
US11424215B2 (en) * 2020-11-10 2022-08-23 Sandisk Technologies Llc Bonded assembly formed by hybrid wafer bonding using selectively deposited metal liners
CN112614854B (zh) * 2020-12-03 2022-06-10 长江存储科技有限责任公司 3d存储器件及其制造方法
WO2022120631A1 (en) * 2020-12-09 2022-06-16 Yangtze Memory Technologies Co., Ltd. Contact pads of three-dimensional memory device and fabrication method thereof
WO2022120630A1 (en) * 2020-12-09 2022-06-16 Yangtze Memory Technologies Co., Ltd. Contact pads of three-dimensional memory device and fabrication method thereof
CN115206988A (zh) * 2021-03-05 2022-10-18 长江存储科技有限责任公司 三维存储器及其制备方法
CN113169184A (zh) * 2021-03-22 2021-07-23 长江存储科技有限责任公司 三维存储器件及其形成方法
CN113078164B (zh) * 2021-03-26 2022-04-15 长江存储科技有限责任公司 一种半导体器件
CN113519055B (zh) * 2021-06-07 2023-07-21 长江存储科技有限责任公司 三维存储装置及其形成方法
CN113437070B (zh) * 2021-07-09 2023-05-23 福建省晋华集成电路有限公司 半导体装置及其形成方法
US11783896B2 (en) * 2021-08-12 2023-10-10 Micron Technology, Inc. Interleaved string drivers, string driver with narrow active region, and gated LDD string driver
US11756785B2 (en) * 2021-08-20 2023-09-12 Applied Materials, Inc. Molecular layer deposition contact landing protection for 3D NAND
WO2023024342A1 (en) * 2021-08-23 2023-03-02 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US20230284443A1 (en) * 2022-03-02 2023-09-07 Sandisk Technologies Llc Three-dimensional memory device containing a pillar contact between channel and source and methods of making the same
CN115867032A (zh) * 2022-11-16 2023-03-28 长江存储科技有限责任公司 半导体器件及其制备方法、存储***

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013093577A (ja) 2011-10-26 2013-05-16 Sk Hynix Inc 3次元不揮発性メモリ素子及びその製造方法
JP2014187321A (ja) 2013-03-25 2014-10-02 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
CN109686739A (zh) 2018-12-27 2019-04-26 长江存储科技有限责任公司 3d存储器件及其制造方法
CN109860197A (zh) 2019-02-27 2019-06-07 长江存储科技有限责任公司 三维存储器及形成三维存储器的方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101169058B1 (ko) * 2006-03-10 2012-07-26 엘지디스플레이 주식회사 박막 트랜지스터 및 그 제조방법
KR101763420B1 (ko) 2010-09-16 2017-08-01 삼성전자주식회사 3차원 반도체 기억 소자 및 그 제조 방법
KR101907446B1 (ko) * 2011-04-27 2018-10-12 삼성전자주식회사 3차원 반도체 기억 소자 및 그 제조 방법
KR20130095499A (ko) * 2012-02-20 2013-08-28 에스케이하이닉스 주식회사 비휘발성 메모리 장치, 그 동작 방법 및 그 제조 방법
US8908444B2 (en) * 2012-08-13 2014-12-09 Sandisk Technologies Inc. Erase for 3D non-volatile memory with sequential selection of word lines
KR102139944B1 (ko) * 2013-11-26 2020-08-03 삼성전자주식회사 3차원 반도체 메모리 장치
JP2015176620A (ja) * 2014-03-14 2015-10-05 株式会社東芝 半導体記憶装置
US9553146B2 (en) * 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
US9620514B2 (en) * 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
KR102310511B1 (ko) 2014-12-19 2021-10-08 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR102307059B1 (ko) * 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
WO2016205078A2 (en) 2015-06-15 2016-12-22 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
KR102461150B1 (ko) 2015-09-18 2022-11-01 삼성전자주식회사 3차원 반도체 메모리 장치
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US10242994B2 (en) 2016-03-16 2019-03-26 Sandisk Technologies Llc Three-dimensional memory device containing annular etch-stop spacer and method of making thereof
CN107204340A (zh) * 2016-03-17 2017-09-26 桑迪士克科技有限责任公司 Cp阱偏置方法
KR102607833B1 (ko) * 2016-05-23 2023-11-30 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9985098B2 (en) * 2016-11-03 2018-05-29 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
JP2018148071A (ja) 2017-03-07 2018-09-20 東芝メモリ株式会社 記憶装置
US10141331B1 (en) * 2017-05-29 2018-11-27 Sandisk Technologies Llc Three-dimensional memory device containing support pillars underneath a retro-stepped dielectric material and method of making thereof
US10199359B1 (en) 2017-08-04 2019-02-05 Sandisk Technologies Llc Three-dimensional memory device employing direct source contact and hole current detection and method of making the same
EP3580782A4 (en) 2017-08-21 2020-12-02 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL MEMORY COMPONENTS AND METHOD FOR SHAPING THEM
CN107658315B (zh) * 2017-08-21 2019-05-14 长江存储科技有限责任公司 半导体装置及其制备方法
TWI615950B (zh) 2017-09-11 2018-02-21 旺宏電子股份有限公司 製作三維記憶體元件的方法與裝置
US10629606B2 (en) * 2017-11-07 2020-04-21 Sandisk Technologies Llc Three-dimensional memory device having level-shifted staircases and method of making thereof
KR102549967B1 (ko) * 2017-11-21 2023-06-30 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
KR102462503B1 (ko) * 2017-11-27 2022-11-02 삼성전자주식회사 수직형 구조를 가지는 불휘발성 메모리 장치 및 이를 포함하는 메모리 시스템
US10283493B1 (en) * 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US11251297B2 (en) * 2018-03-01 2022-02-15 Ipower Semiconductor Shielded gate trench MOSFET devices
CN108447865B (zh) * 2018-04-19 2019-09-03 长江存储科技有限责任公司 三维存储器及其制造方法
US10566059B2 (en) * 2018-04-30 2020-02-18 Sandisk Technologies Llc Three dimensional NAND memory device with drain select gate electrode shared between multiple strings
JP7121141B2 (ja) 2018-05-03 2022-08-17 長江存儲科技有限責任公司 3次元メモリデバイスのスルーアレイコンタクト(tac)
KR102563689B1 (ko) 2018-05-18 2023-08-03 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 메모리 소자에서의 계단 형성
US10600800B2 (en) 2018-06-27 2020-03-24 Sandisk Technologies Llc Three-dimensional memory device containing multilevel drain select gate isolation and methods of making the same
US10475879B1 (en) * 2018-06-28 2019-11-12 Sandisk Technologies Llc Support pillar structures for leakage reduction in a three-dimensional memory device and methods of making the same
KR102651818B1 (ko) * 2018-07-20 2024-03-26 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3 차원 메모리 장치
CN109155320B (zh) * 2018-08-16 2019-09-10 长江存储科技有限责任公司 三维存储器件的嵌入式焊盘结构及其制造方法
CN109314118B (zh) 2018-08-21 2019-11-08 长江存储科技有限责任公司 具有贯穿阵列触点的三维存储器件及其形成方法
CN109065547B (zh) * 2018-09-21 2020-11-03 长江存储科技有限责任公司 三维存储器的制作方法
CN109273453B (zh) * 2018-09-21 2021-05-11 长江存储科技有限责任公司 3d存储器件的制造方法及3d存储器件
CN109037227B (zh) * 2018-09-21 2024-05-10 长江存储科技有限责任公司 3d存储器件及其制造方法
AU2018443831B2 (en) 2018-09-27 2022-03-10 Yangtze Memory Technologies Co., Ltd. Semiconductor plug protected by protective dielectric layer in three-dimensional memory device and method for forming the same
WO2020073158A1 (en) 2018-10-08 2020-04-16 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory device having channel structures with native oxide layer
WO2020073185A1 (en) 2018-10-09 2020-04-16 Yangtze Memory Technologies Co., Ltd. Methods for reducing defects in semiconductor plug in three-dimensional memory device
EP3830872A4 (en) * 2018-10-23 2022-03-16 Yangtze Memory Technologies Co., Ltd. THREE DIMENSIONAL STORAGE DEVICE WITH A SEMICONDUCTOR PLUG MOLDED BY BACK SUBSTRATE THINNING
KR102650996B1 (ko) * 2018-11-06 2024-03-26 삼성전자주식회사 반도체 장치
CN109256392B (zh) * 2018-11-20 2020-07-14 长江存储科技有限责任公司 三维存储器及其形成方法
KR102658194B1 (ko) 2018-12-21 2024-04-18 삼성전자주식회사 반도체 장치
WO2020140190A1 (en) 2019-01-02 2020-07-09 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices having through stair contacts and methods for forming the same
CN109742081B (zh) * 2019-01-02 2021-09-21 长江存储科技有限责任公司 存储器及其形成方法
CN109786387B (zh) * 2019-01-09 2023-10-17 长江存储科技有限责任公司 存储器及其形成方法、存储器的存储单元的选择方法
EP3931868A4 (en) 2019-04-12 2023-01-04 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL MEMORY DEVICE WITH DEPOSITED SEMICONDUCTOR PLUGS AND METHOD OF PRODUCTION THEREOF
CN110034124A (zh) * 2019-05-15 2019-07-19 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
CN110246846A (zh) * 2019-06-18 2019-09-17 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
CN111370423B (zh) 2020-03-16 2023-01-17 长江存储科技有限责任公司 三维存储器及其制作方法
WO2021207910A1 (en) * 2020-04-14 2021-10-21 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with backside source contact

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013093577A (ja) 2011-10-26 2013-05-16 Sk Hynix Inc 3次元不揮発性メモリ素子及びその製造方法
JP2014187321A (ja) 2013-03-25 2014-10-02 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
CN109686739A (zh) 2018-12-27 2019-04-26 长江存储科技有限责任公司 3d存储器件及其制造方法
CN109860197A (zh) 2019-02-27 2019-06-07 长江存储科技有限责任公司 三维存储器及形成三维存储器的方法

Also Published As

Publication number Publication date
US11695000B2 (en) 2023-07-04
WO2021237883A1 (en) 2021-12-02
CN111937148A (zh) 2020-11-13
WO2021237880A1 (en) 2021-12-02
WO2021237881A1 (en) 2021-12-02
EP3939083A1 (en) 2022-01-19
EP3939083A4 (en) 2022-12-14
WO2021237884A1 (en) 2021-12-02
JP2022538954A (ja) 2022-09-07
US20210391315A1 (en) 2021-12-16
CN111937148B (zh) 2021-04-16
CN113097218A (zh) 2021-07-09
EP3939083B1 (en) 2024-06-12
KR20210149031A (ko) 2021-12-08
CN113097218B (zh) 2023-05-19

Similar Documents

Publication Publication Date Title
JP7297923B2 (ja) 3次元メモリデバイス及び方法
TWI805929B (zh) 用於形成具有背面源極觸點的三維記憶體元件的方法
JP7273183B2 (ja) 3次元メモリデバイスを形成するための方法
JP7305774B2 (ja) 3次元メモリデバイス
US11901313B2 (en) Methods for forming three-dimensional memory devices with supporting structure for staircase region
US11557570B2 (en) Methods for forming three-dimensional memory devices
US11158622B1 (en) Three-dimensional memory devices
US11557601B2 (en) Three-dimensional memory devices
US11963349B2 (en) Methods for forming three-dimensional memory devices with backside source contacts
US11647632B2 (en) Three-dimensional memory devices with supporting structure for staircase region
CN112424933A (zh) 用于形成三维存储器件的方法
US20210375915A1 (en) Three-dimensional memory devices
CN112424934A (zh) 三维存储器件
TW202203434A (zh) 用於形成立體記憶元件的方法
CN112585754A (zh) 用于形成三维存储器件的方法
US11877448B2 (en) Methods for forming three-dimensional memory devices
TWI779331B (zh) 立體記憶體元件
TW202203380A (zh) 立體記憶體元件
TW202203421A (zh) 用於形成三維記憶體元件的方法
TW202145530A (zh) 三維記憶體元件及其製作方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210927

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230516

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230614

R150 Certificate of patent or registration of utility model

Ref document number: 7297923

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150